You are on page 1of 12

Chemical Vapour Deposition

Chemical vapour deposition (CVD) is a vacuum deposition method used to produce high
quality, high-performance, solid materials. The process is often used in the semiconductor
industry to produce thin films. Chemical vapour deposition (CVD) is used to deposit solid
material onto a substrate. This involves the reaction or decomposition of one or more
precursor gases in a chamber containing one or more heated objects to be coated. The
reactions occur on and near the hot surfaces, resulting in the deposition of a thin film on the
surface. The chemical by-products or unreacted gases are then eliminated from the reactor
chamber via the exhausting system. CVD must take place under vacuum to avoid the
inclusion in the film, or creation of side products from the reaction of the ambient
components with the precursor gases.

Chemical vapor deposition may be defined as the deposition of a solid on a heated surface
from a chemical reaction in the vapor phase. It belongs to the class of vapor-transfer
processes which is atomistic in nature that is the deposition species are atoms or molecules
or a combination of these. In typical CVD, the wafer (substrate) is exposed to one or more
volatile precursors, which react and/or decompose on the substrate surface to produce the
desired deposit. Frequently, volatile by-products are also produced, which are removed by
gas flow through the reaction chamber.

Micro fabrication processes widely use CVD to deposit materials in various forms, including:
monocrystalline, polycrystalline, amorphous, and epitaxial. These materials include: silicon
(dioxide, carbide, nitride, oxynitride), carbon (fiber, nanofibers, nanotubes, diamond and
graphene), fluorocarbons, filaments, tungsten, titanium nitride and various high-k
dielectrics.

Types of chemical vapour deposition

CVD is practiced in a variety of formats. These processes generally differ in the means by
which chemical reactions are initiated.

1 Classification on the basis of operating conditions:


▪ Atmospheric pressure CVD (APCVD) – CVD at atmospheric pressure.

▪ Low-pressure CVD (LPCVD) – CVD at sub-atmospheric pressures.[1] Reduced


pressures tend to reduce unwanted gas-phase reactions and improve film
uniformity across the wafer.

▪ Ultrahigh vacuum CVD (UHVCVD) – CVD at very low pressure, typically below
10−6 Pa (≈10−8 torr). Note that in other fields, a lower division between high
and ultra-high vacuum is common, often 10−7 Pa.

Most modern CVD is either LPCVD or UHVCVD.

2 Classification on the basis of physical characteristics of vapour:

▪ Aerosol assisted CVD (AACVD) – CVD in which the precursors are transported
to the substrate by means of a liquid/gas aerosol, which can be generated
ultrasonically. This technique is suitable for use with non-volatile precursors.

▪ Direct liquid injection CVD (DLICVD) – CVD in which the precursors are in
liquid form (liquid or solid dissolved in a convenient solvent). Liquid solutions
are injected in a vaporization chamber towards injectors (typically car
injectors). The precursor vapours are then transported to the substrate as in
classical CVD. This technique is suitable for use on liquid or solid precursors.
High growth rates can be reached using this technique.

3 Classification on the basis of the type of substrate heating:


▪ Hot wall CVD – CVD in which the chamber is heated by an external power
source and the substrate is heated by radiation from the heated chamber
walls. Thermal CVD is shown in Figure 1.
Figure 1: Thermal CVD

▪ Cold wall CVD – CVD in which only the substrate is directly heated either by
induction or by passing current through the substrate itself or a heater in
contact with the substrate. The chamber walls are at room temperature.
4 Plasma methods:

Plasma CVD is shown in Figure 2.

Figure 2: Plasma CVD


▪ Microwave plasma-assisted CVD (MPCVD)
▪ Plasma-Enhanced CVD (PECVD) – CVD that utilizes plasma to enhance
chemical reaction rates of the precursors. PECVD processing allows
deposition at lower temperatures, which is often critical in the manufacture
of semiconductors. The lower temperatures also allow for the deposition of
organic coatings, such as plasma polymers, that have been used for
nanoparticle surface functionalization.
▪ Remote plasma-enhanced CVD (RPECVD) – Similar to PECVD except that the
wafer substrate is not directly in the plasma discharge region. Removing the
wafer from the plasma region allows processing temperatures down to room
temperature.
▪ Low-Energy Plasma-Enhanced chemical vapour deposition (LEPECVD) - CVD
employing a high density, low energy plasma to obtain epitaxial deposition of
semiconductor materials at high rates and low temperatures.
5 Atomic-layer CVD (ALCVD) – Deposits successive layers of different substances to
produce layered, crystalline films. See Atomic layer epitaxy.
6 Combustion Chemical Vapour Deposition (CCVD) – Combustion Chemical Vapour
Deposition or flame pyrolysis is an open-atmosphere, flame-based technique for
depositing high-quality thin films and nanomaterials.
7 Hot filament CVD (HFCVD) – also known as catalytic CVD (Cat-CVD) or more
commonly, initiated CVD (iCVD), this process uses a hot filament to chemically
decompose the source gases. The filament temperature and substrate temperature
thus are independently controlled, allowing colder temperatures for better
absorption rates at the substrate and higher temperatures necessary for
decomposition of precursors to free radicals at the filament.
8 Hybrid Physical-Chemical Vapour Deposition (HPCVD) – This process involves both
chemical decomposition of precursor gas and vaporization of a solid source.
9 Metalorganic chemical vapour deposition (MOCVD) – This CVD process is based on
metalorganic precursors.
10 Rapid thermal CVD (RTCVD) – This CVD process uses heating lamps or other
methods to rapidly heat the wafer substrate. Heating only the substrate rather than
the gas or chamber walls helps reduce unwanted gas-phase reactions that can lead
to particle formation.
11 Vapour-phase epitaxy (VPE)
12 Photo-initiated CVD (PICVD) – This process uses UV light to stimulate chemical
reactions. It is similar to plasma processing, given that plasmas are strong emitters of
UV radiation. Under certain conditions, PICVD can be operated at or near
atmospheric pressure.
13 Laser Chemical vapour deposition (LCVD) - This CVD process uses lasers to heat
spots or lines on a substrate in semiconductor applications. In MEMS and in fiber
production the lasers are used rapidly to break down the precursor gas—process
temperature can exceed 2000 °C—to build up a solid structure in much the same
way as laser sintering based 3-D printers build up solids from powders.

Uses of chemical vapour deposition

CVD is commonly used to deposit conformal films and augment substrate surfaces in ways
that more traditional surface modification techniques are not capable of. CVD is extremely
useful in the process of atomic layer deposition at depositing extremely thin layers of
material. A variety of applications for such films exist. Gallium arsenide is used in some
integrated circuits (ICs) and photovoltaic devices. Amorphous polysilicon is used in
photovoltaic devices. Certain carbides and nitrides confer wear-resistance. Polymerization
by CVD, perhaps the most versatile of all applications, allows for super-thin coatings which
possess some very desirable qualities, such as lubricity, hydrophobicity and weather-
resistance to name a few. CVD of metal-organic frameworks, a class of crystalline
nanoporous materials, has recently been demonstrated. Applications for these films are
anticipated in gas sensing and low-k dielectrics CVD techniques are adventageous for
membrane coatings as well, such as those in desalination or water treatment, as these
coatings can be sufficiently uniform (conformal) and thin that they do not clog membrane
pores.
Important materials prepared by CVD

Polysilicon

Polycrystalline silicon is deposited from trichlorosilane (SiHCl3) or silane (SiH4), using the
following reactions:

SiHCl3 → Si + Cl2 + HCl

SiH4 → Si + 2 H2

This reaction is usually performed in LPCVD systems, with either pure silane feedstock, or a
solution of silane with 70–80% nitrogen. Temperatures between 600 and 650 °C and
pressures between 25 and 150 Pa yield a growth rate between 10 and 20 nm per minute. An
alternative process uses a hydrogen-based solution. The hydrogen reduces the growth rate,
but the temperature is raised to 850 or even 1050 °C to compensate. Polysilicon may be
grown directly with doping, if gases such as phosphine, arsine or diborane are added to the
CVD chamber. Diborane increases the growth rate, but arsine and phosphine decrease it.

Silicon dioxide

Silicon dioxide (usually called simply "oxide" in the semiconductor industry) may be
deposited by several different processes. Common source gases include silane and oxygen,
dichlorosilane (SiCl2H2) and nitrous oxide (N2O), or tetraethylorthosilicate (TEOS; Si(OC2H5)4).
The reactions are as follows:

SiH4 + O2 → SiO2 + 2 H2

SiCl2H2 + 2 N2O → SiO2 + 2 N2 + 2 HCl

Si(OC2H5)4 → SiO2 + byproducts

The choice of source gas depends on the thermal stability of the substrate; for instance,
aluminium is sensitive to high temperature. Silane deposits between 300 and 500 °C,
dichlorosilane at around 900 °C, and TEOS between 650 and 750 °C, resulting in a layer of
low- temperature oxide (LTO). However, silane produces a lower-quality oxide than the
other methods (lower dielectric strength, for instance), and it deposits nonconformally. Any
of these reactions may be used in LPCVD, but the silane reaction is also done in APCVD. CVD
oxide invariably has lower quality than thermal oxide, but thermal oxidation can only be
used in the earliest stages of IC manufacturing.

Oxide may also be grown with impurities (alloying or "doping"). This may have two
purposes. During further process steps that occur at high temperature, the impurities may
diffuse from the oxide into adjacent layers (most notably silicon) and dope them. Oxides
containing 5–15% impurities by mass are often used for this purpose. In addition, silicon
dioxide alloyed with phosphorus pentoxide ("P-glass") can be used to smooth out uneven
surfaces. P-glass softens and reflows at temperatures above 1000 °C. This process requires a
phosphorus concentration of at least 6%, but concentrations above 8% can corrode
aluminium. Phosphorus is deposited from phosphine gas and oxygen:

4 PH3 + 5 O2 → 2 P2O5 + 6 H2

Glasses containing both boron and phosphorus (borophosphosilicate glass, BPSG) undergo
viscous flow at lower temperatures; around 850 °C is achievable with glasses containing
around 5 weight % of both constituents, but stability in air can be difficult to achieve.
Phosphorus oxide in high concentrations interacts with ambient moisture to produce
phosphoric acid. Crystals of BPO4 can also precipitate from the flowing glass on cooling;
these crystals are not readily etched in the standard reactive plasmas used to pattern
oxides, and will result in circuit defects in integrated circuit manufacturing.

Besides these intentional impurities, CVD oxide may contain byproducts of the deposition.
TEOS produces a relatively pure oxide, whereas silane introduces hydrogen impurities, and
dichlorosilane introduces chlorine.

Lower temperature deposition of silicon dioxide and doped glasses from TEOS using ozone
rather than oxygen has also been explored (350 to 500 °C). Ozone glasses have excellent
conformality but tend to be hygroscopic – that is, they absorb water from the air due to the
incorporation of silanol (Si-OH) in the glass. Infrared spectroscopy and mechanical strain as a
function of temperature are valuable diagnostic tools for diagnosing such problems.

Silicon nitride
Silicon nitride is often used as an insulator and chemical barrier in manufacturing ICs. The
following two reactions deposit silicon nitride from the gas phase:

3 SiH4 + 4 NH3 → Si3N4 + 12 H2

3 SiCl2H2 + 4 NH3 → Si3N4 + 6 HCl + 6 H2

Silicon nitride deposited by LPCVD contains up to 8% hydrogen. It also experiences strong


tensile stress, which may crack films thicker than 200 nm. However, it has higher resistivity
and dielectric strength than most insulators commonly available in microfabrication (10 16
Ω·cm and 10 MV/cm, respectively).

Another two reactions may be used in plasma to deposit SiNH:

2 SiH4 + N2 → 2 SiNH + 3 H2

SiH4 + NH3 → SiNH + 3 H2

These films have much less tensile stress, but worse electrical properties (resistivity 106 to
1015 Ω·cm, and dielectric strength 1 to 5 MV/cm).[14]

Metals

CVD for tungsten is achieved from tungsten hexafluoride (WF6), which may be deposited in
two ways:

WF6 → W + 3 F2

WF6 + 3 H2 → W + 6 HF

Other metals, notably aluminium and copper, can be deposited by CVD. As of 2010,
commercially cost-effective CVD for copper did not exist, although volatile sources exist,
such as Cu(hfac)2. Copper is typically deposited by electroplating. Aluminum can be
deposited from triisobutylaluminium (TIBAL) and related organoaluminium compounds.

CVD for molybdenum, tantalum, titanium, nickel is widely used.[citation needed] These metals
can form useful silicides when deposited onto silicon. Mo, Ta and Ti are deposited by LPCVD,
from their pentachlorides. Nickel, molybdenum, and tungsten can be deposited at low
temperatures from their carbonyl precursors. In general, for an arbitrary metal M, the
chloride deposition reaction is as follows:

2 MCl5 + 5 H2 → 2 M + 10 HCl

Whereas the carbonyl decomposition reaction can happen spontaneously under thermal
treatment or acoustic cavitation and is as follows:

M(CO)n → M + n CO

the decomposition of metal carbonyls is often violently precipitated by moisture or air,


where oxygen reacts with the metal precursor to form metal or metal oxide along with
carbon dioxide.

Niobium(V) oxide layers can be produced by the thermal decomposition of niobium(V)


ethoxide with the loss of diethyl ether according to the equation:

2 Nb(OC2H5)5 → Nb2O5 + 5 C2H5OC2H5

Graphene

Many variations of CVD can be utilized to synthesize graphene. Although many


advancements have been made, the processes listed below are not commercially viable yet.

• Carbon source

The most popular carbon source that is used to produce graphene is methane gas. One of
the less popular choices is petroleum asphalt, notable for being inexpensive but more
difficult to work with.

Although methane is the most popular carbon source, hydrogen is required during the
preparation process to promote carbon deposition on the substrate. If the flow ratio of
methane and hydrogen are not appropriate, it will cause undesirable results. During the
growth of graphene, the role of methane is to provide a carbon source, the role of hydrogen
is to provide H atoms to corrode amorphous C, and improve the quality of graphene. But
excessive H atoms can also corrode graphene. As a result, the integrity of the crystal lattice
is destroyed, and the quality of graphene is deteriorated. Therefore, by optimizing the flow
rate of methane and hydrogen gases in the growth process, the quality of graphene can be
improved.

• Use of catalyst

The use of catalyst is viable in changing the physical process of graphene production.
Notable examples include iron nanoparticles, nickel foam, and gallium vapor. These
catalysts can either be used in situ during graphene buildup, or situated at some distance
away at the deposition area. Some catalysts require another step to remove them from the
sample material.

The direct growth of high-quality, large single-crystalline domains of graphene on a


dielectric substrate is of vital importance for applications in electronics and optoelectronics.
Combining the advantages of both catalytic CVD and the ultra-flat dielectric substrate,
gaseous catalyst-assisted CVD paves the way for synthesizing high-quality graphene for
device applications while avoiding the transfer process.

• Physical conditions

Physical conditions such as surrounding pressure, temperature, carrier gas, and chamber
material play a big role in production of graphene.

Most systems use LPCVD with pressures ranging from 1 to 1500 Pa. However, some still use
APCVD.[21] Low pressures are used more commonly as they help prevent unwanted
reactions and produce more uniform thickness of deposition on the substrate.

On the other hand, temperatures used range from 800–1050 °C. High temperatures
translate to an increase of the rate of reaction. Caution has to be exercised as high
temperatures do pose higher danger levels in addition to greater energy costs.

• Carrier gas

Hydrogen gas and inert gases such as argon are flowed into the system. These gases act as a
carrier, enhancing surface reaction and improving reaction rate, thereby increasing
deposition of graphene onto the substrate.

• Chamber material
Standard quartz tubing and chambers are used in CVD of graphene. Quartz is chosen
because it has a very high melting point and is chemically inert. In other words, quartz does
not interfere with any physical or chemical reactions regardless of the conditions.

• Methods of analysis of results

Raman spectroscopy, X-ray spectroscopy, transmission electron microscopy (TEM), and


scanning electron microscopy (SEM) are used to examine and characterize the graphene
samples.

Raman spectroscopy is used to characterize and identify the graphene particles; X-ray
spectroscopy is used to characterize chemical states; TEM is used to provide fine details
regarding the internal composition of graphene; SEM is used to examine the surface and
topography.

Sometimes, atomic force microscopy (AFM) is used to measure local properties such as
friction and magnetism.

Cold wall CVD technique can be used to study the underlying surface science involved in
graphene nucleation and growth as it allows unprecedented control of process parameters
like gas flow rates, temperature and pressure as demonstrated in a recent study. The study
was carried out in a home-built vertical cold wall system utilizing resistive heating by passing
direct current through the substrate. It provided conclusive insight into a typical surface-
mediated nucleation and growth mechanism involved in two-dimensional materials grown
using catalytic CVD under conditions sought out in the semiconductor industry.

Graphene nanoribbon

In spite of graphene's exciting electronic and thermal properties, it is unsuitable as a


transistor for future digital devices, due to the absence of a bandgap between the
conduction and valence bands. This makes it impossible to switch between on and off states
with respect to electron flow. Scaling things down, graphene nanoribbons of less than
10 nm in width do exhibit electronic bandgaps and are therefore potential candidates for
digital devices. Precise control over their dimensions, and hence electronic properties,
however, represents a challenging goal, and the ribbons typically possess rough edges that
are detrimental to their performance.

1.2 Advantages and Limitations of CVD

CVD has several important advantages which make it the preferred process in many cases.
These can be summarized as follows:

• It is not restricted to a line-of-sight deposition which is a general characteristic of


sputtering, evaporation and other PVD processes. As such, CVD has high throwing power.
Deep recesses, holes, and other difficult three-dimensional configurations can usually be
coated with relative ease. For instance, integrated circuit via holes with an aspect ratio of
10:1 can be completely filled with CVD tungsten.

• The deposition rate is high and thick coatings can be readily obtained (in some cases
centimeters thick) and the process is generally competitive and, in some cases, more
economical than the PVD processes.

• CVD equipment does not normally require ultrahigh vacuum and generally can be adapted
to many process variations. Its flexibility is such that it allows many changes in composition
during deposition and the codeposition of elements or compounds is readily achieved. CVD
however is not the universal coating panacea. It has several disadvantages, a major one
being that it is most versatile at temperatures of 600°C and above; many substrates are not
thermally stable at these temperatures. However, the development of plasma-CVD and
metalloorganic CVD partially offsets this problem. Another disadvantage is the requirement
of having chemical precursors (the starter materials) with high vapor pressure which are
often hazardous and at times extremely toxic. The by-products of the CVD reactions are also
toxic and corrosive and must be neutralized, which may be a costly operation

You might also like