Professional Documents
Culture Documents
`include "uvm_macros.svh"
`uvm_object_utils_begin(instruction)
`uvm_field_enum(inst_t,inst, UVM_ALL_ON)
`uvm_object_utils_end
endclass
`uvm_component_utils(producer)
endclass
`uvm_component_utils(consumer)
endclass
module tb_top;
initial begin
run_test("test");
end
endmodule