You are on page 1of 23

Review

www.advmattechnol.de

Toward Advanced High-k and Electrode Thin Films for


DRAM Capacitors via Atomic Layer Deposition
Se Eun Kim, Ju Young Sung, Jae Deock Jeon, Seo Young Jang, Hye Min Lee,
Sang Mo Moon, Jun Goo Kang, Han Jin Lim, Hyung-Suk Jung, and Sang Woon Lee*

the CPU, resulting in a bottleneck in data


Dynamic random access memories (DRAMs) are currently used as the core processing.[9,10] Therefore, when the CPU
memory in computing systems because of their high speed and density. Their operates, a core memory that can transfer
demand should continue to grow owing to increased data usage. A unit cell in data at a high speed is required, and high
density dynamic random access memories
a DRAM consists of one transistor and one capacitor, and the data are stored
(DRAMs) are currently playing this role.
in the capacitor. As the size of the unit cell decreases to improve the memory Accordingly, DRAM performance must be
density by aggressive scaling, it is important to secure sufficient capacitance improved for future data processing.
in the capacitor. In this regard, technological advances in the fabrication of A DRAM unit cell consists of one tran-
capacitors are of great importance; accordingly, the materials and processing sistor and one capacitor. It can store data
by distinguishing between the charge state
of high-k thin films require developmental innovations. Besides, it is neces-
of the capacitor.[11] In order to write data
sary to develop electrode materials that optimize the function of high-k thin to DRAM, a voltage is applied to the word
films. In this review, recent advances in achieving sufficient capacitance in line of the cell to turn on the transistor
DRAM capacitors are summarized from structural and material/process acting as a switch, and the bit line poten-
perspectives, and the future direction of DRAM capacitor development is tial is transferred to the cell capacitor, and
discussed. Atomic layer deposition (ALD) is a key technique that enables the data is stored in the capacitor. At this time,
0 and 1 data are recorded depending on
growth of functional thin films for DRAM capacitors; thus, recent advances
the case where the bit line potential is low
in the deposition of high-k and electrode thin films grown using the ALD (0 V) and high (VDD), respectively, where
technique are addressed. VDD is the operating voltage. To read
data, the transistor is turned on, and the
stored charge of the capacitor meets the
1. Introduction bit-line charge, then a sense amplifier recognizes the potential
change. Thus, 0 and 1 data can be distinguished, as shown in
Electrical devices such as laptops, tablets, and smartphones Figure 1. A sense amplifier exists in the peripheral area, along
enable data processing, enriching our lives.[1–6] As data with encoders and decoders.[11]
throughput continues to increase, the demand for fast data pro- When using a half VDD scheme, the potential change of the
cessing has expanded. Currently, the central processing unit bit-line can be expressed as ΔVB = 1/2VDDCC/(CB + CC), where
(CPU) and memory are separated, because data processing is CB and CC are the bit-line and cell capacitance, respectively.[12]
performed using the von Neumann architecture.[7,8] However, Therefore, it is necessary to achieve a sufficient cell capacitance
despite the high processing speed of the CPU, a flash-based to change the potential of the bit-line, which is then detected
solid-state disk cannot keep up with the high operating speed of by a sense amplifier. For the sense amplifier to read the data, a
capacitance of ≈10 fF/cell or more is required from each capac-
itor.[11] The cell capacitance is expressed as shown in following
S. E. Kim, J. Y. Sung, J. D. Jeon, S. Y. Jang, H. M. Lee, S. M. Moon, Equation (1):
S. W. Lee
Department of Energy Systems Research and Department of Physics
A
Ajou University C = ε 0k (1)
Gyeonggi-do 16499, Republic of Korea tphys
E-mail: slee01@ajou.ac.kr
J. G. Kang, H. J. Lim, H.-S. Jung where ε0 is the vacuum permittivity, k is the dielectric constant,
Memory Process Development Team A is the surface area of the capacitor, and tphys is the high-k film
Samsung Electronics
1, Samsungjeonja-ro, Hwaseong-si thickness.
Gyeonggi-do 18448, Republic of Korea To increase the DRAM density, the size of the DRAM cell
should be reduced to a late 10 nm technology node, such as
The ORCID identification number(s) for the author(s) of this article
can be found under https://doi.org/10.1002/admt.202200878.
1x, 1y, or 1z.[13] Figure 2 shows a DRAM technology node (i.e.,
design rule) trend of 6F2 (F is a minimum feature size) DRAM
DOI: 10.1002/admt.202200878 cells in which the node size decreases continuously and is

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (1 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

enhancing the capacitance.[12] However, there are technical chal-


lenges involved in increasing the capacitor height, including
the physical collapse of extremely tall and narrow capacitors,
despite the introduction of mechanical supporters such as
mechanically enhanced storage nodes for virtually unlimited
height.[15] Alternatively, it is urgent to develop high-k thin films
to enhance cell capacitance as shown in the previous equation
for the cell capacitance C. Moreover, owing to the high aspect
ratio of DRAM capacitors, it is essential to deposit these high-k
thin films using atomic layer deposition (ALD) with excellent
step coverage.[16] In addition to high-k thin films, electrode thin
films that optimize the function of high-k thin films for a capac-
itor operation must be developed via the ALD process. Further-
more, securing a low leakage current density is crucial because
the leakage current is related to the retention of stored data and
power consumption.[17,18]
In this review, the structural evolution of capacitors and
advances in high-k and electrode thin films for improving the
capacitance of DRAM capacitors are addressed. Finally, the
future direction of DRAM capacitor development is discussed.

Figure 1. DRAM cell equivalent circuit comprising one transistor and one
capacitor with sense amplifiers.
2. Development of DRAM Capacitors
expected to enter the low 10 nm range in the near future, as 2.1. Capacitor Structure Development Trends
indicated by 1a, 1b, and 1c.[14] However, as the device is scaled
to increase the memory density, a serious problem occurs; For fabricating capacitors on flat substrates, two main fab-
the capacitance of the unit capacitor is lowered because of the rication methods have been attempted to make 3D DRAM
reduction in the effective area. This makes data sensing diffi- capacitors.[19,20] The first is a stack-type method, in which the
cult because the ΔVB (the sum of the bit-line charge and capac- capacitor is stacked on the substrate.[21] The second is a trench-
itor cell charge) is reduced. Figure 3 shows the variation in type method, in which the capacitor is created by drilling a
DRAM cell capacitance and the potential change of the bit-line deep hole in the substrate. Recently, stack-type capacitors have
with decreasing node size, indicating a decrease in the potential become mainstream and have been developed into multi-fin
change of the bit-line owing to decreased cell capacitance.[13] and cylinder-type capacitors. Cylinder-type capacitors are cur-
To compensate for the capacitance decrease caused by rently mass-produced because both the inner and outer walls
DRAM size scaling, the surface area of the capacitors has of these 3D capacitors are used, providing a large surface
been expanded by increasing the capacitor height, thereby area (Figure 4).[22] Cylinder-type capacitors are composed of

Figure 2. Trend in the DRAM capacitor node size of 6F2 cell design which decreases continuously. Reproduced with permission.[14] Copyright 2021, IEEE.

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (2 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

Figure 3. a) DRAM cell capacitance and b) potential change of the bit-line with decreasing capacitor node size, indicating a decrease in the potential
change of the bit-line due to decreased cell capacitance. Reproduced with permission.[13] Copyright 2020, IEEE.

a metal (upper electrode) layer, a high-k insulator layer, and with that of a cylinder-type capacitor in Figure 5.[12,24] Buried cell
a second metal (lower electrode) layer. The lower electrode is array transistors (BCAT) are underneath those capacitors. The
formed from TiN, and the high-k thin film and upper electrode cylinder-type capacitor cell width occupies as much space as
are deposited on the inner wall of the cylinder via the ALD the thickness of its two lower electrodes, two upper electrodes,
process.[12,22] and four dielectric layers. In contrast, the pillar-type capacitor
Recently, owing to the reduction in device size according to cell width occupies as much space as the thickness of its upper
scaling, the inner space of cylinder-type capacitors has become electrode, lower electrode, and two dielectric layer.[11,12,24] Thus,
extremely small. Accordingly, the thickness of the high-k and the pillar-type capacitor occupies half the width of the cylinder-
electrode thin film have to be reduced to 5 nm or less, because type cell, and the area occupied by the unit capacitor is small,
of physical space limitations.[11] If the thickness of a high-k thin which has the advantage of increasing the degree of integra-
film in a DRAM capacitor is reduced to 5 nm, the leakage cur- tion. In the pillar-type capacitor design, the physical thickness
rent through the film increases, causing data loss in the capac- of the high-k thin film can be maintained at ≈10 nm.
itor.[11,23] In addition, a longer write time is necessary to charge As the effective area of the pillar-type capacitor is lower than
the capacitors because of the leakage current.[11] that of the cylinder-type capacitor, the height of the capacitor
To address this issue, a pillar-type structure has been pro- should be increased to compensate for the capacitor area. How-
posed to provide more physical space for high-k and electrode ever, it cannot be made infinitely tall because of the mechanical
films.[11] The structure of a pillar-type capacitor is compared instability of a capacitor with a high aspect ratio (approximately

Figure 4. Structural development trends of DRAM capacitors. Reproduced with permission.[21] Copyright 2010, IntechOpen.

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (3 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

the thinner areas of the film, leading to data loss. Therefore,


a thin-film deposition process with excellent step coverage is
essential, and the ALD process is the only feasible deposition
method.
The ALD process was proposed in Finland in the 1970s,
and its importance is increasing in various applications, such
as displays, semiconducting devices, solar cells, batteries, and
emerging nano/electrochemical devices.[25] More importantly,
ALD was introduced into the semiconductor industry in the
1990s to form thin films with excellent properties, which led to
an explosive increase in the applicability of ALD. ALD is cur-
rently used in various industries. Figure 6 shows the size of the
global ALD market, which is expected to grow to ≈1400 million
dollars by 2021.[26] In addition, research papers on the ALD pro-
cess are increasing every year, implying the wide applicability of
Figure 5. A schematic of a) cylinder-type and b) pillar-type capacitors ALD in scientific research.[26]
having BCAT underneath. The cylinder-type capacitor cell width occupies
The ALD process proceeds in four steps: precursor injection/
more space than that of pillar-type capacitor because of its two lower elec-
trodes, two upper electrodes, and four dielectric layers while the pillar- purge/reactant injection/purge, which make up one cycle.[27–30]
type capacitor cell width takes up space with its upper electrode, lower In the general metal oxide ALD process, one monolayer is
electrode, and two dielectric layers. formed by the self-limiting chemisorption characteristics of the
metal precursors.[27–30] When the metal precursor is injected, it
reacts with surface functional groups such as hydroxyl groups
a few tens), which would result in the capacitor falling over.[16] (OH), NH, and SH.[27–29] In this process, some ligands
Alternatively, the k value needs to be increased to compensate are released from the metal precursor and partially dissoci-
for the capacitance loss; thus, the development of higher-k ated metal precursor molecules are chemically adsorbed on
thin films is becoming increasingly important. In addition to the substrate surface. Additional precursor molecules other
the development of high-k thin films to achieve a high dielec- than the chemisorbed molecules on the substrate are purged
tric constant, the polysilicon electrodes have been replaced and removed by the injection of an inert gas, such as Ar or N2,
with metal films, such as TiN, to avoid the formation of a low and the substrate surface is saturated with the chemisorbed
dielectric layer at the interface with the high-k film.[12] Finally, precursor molecules. Subsequently, a reactant such as H2O or
an ALD process with excellent step coverage is required to uni- O3 is injected onto the chemisorbed precursor, the remnant
formly deposit high-k and electrode thin films in deep, narrow ligands are removed through ligand exchange or dissociation,
capacitor structures. and a metal oxide is formed via an injected oxygen source.[27–31]
Finally, a metal oxide of a single monolayer is formed when the
product is purged again with inert gas. Since the thin film is
3. Atomic Layer Deposition deposited in a single atomic layer unit per cycle under perfect
surface-reaction-limited conditions, the desired thickness of the
As mentioned above, because DRAM capacitors have a com- thin film can be obtained by controlling the number of ALD
plex 3D structure, it is important to form the high-k thin film cycles. The ALD process based on the self-limiting deposition
and an electrode thin film conformally. If the thickness of the conditions enables the uniform deposition of high-k and elec-
high-k thin film is not uniform, the leakage current increases trode thin films in the state-of-the-art DRAM capacitors with an
owing to the electric field concentration effect, particularly in aspect ratio as high as 80–100.[32]

Figure 6. a) The size of the global ALD market by year which is expected to grow to ≈1400 million dollars by 2021 and b) the publications of ALD-related
research that are increasing every year. Reproduced with permission.[26] Copyright 2019, American Vacuum Society.

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (4 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

Figure 7. a) Bandgap and dielectric constant of high-k candidates showing a general tendency for the bandgap to decrease as the dielectric constant
increases, and b) cell capacitance for various storage node heights and SiO2 equivalent oxide thickness (Tox). Reproduced with permission.[34] Copyright
2007, Elsevier.

4. High-k Thin Films for DRAM Capacitors dielectric constant is ≈20, similar to that of HfO2; it is ≈22 in
the case of amorphous ZrO2.[44] The dielectric constant of the
Various materials have been studied for high-k thin films and tetragonal phase increases to 47; therefore, it has been recog-
summarized according to the relationship between the dielec- nized as a high-k material.[45] Simulation works estimated that
tric constant and the bandgap, as shown in Figure 7a.[31–33] dielectric constants of cubic or tetragonal ZrO2 were in the
In general, as the dielectric constant increases, the bandgap range of 35–50.[46] It has been reported that the crystal struc-
decreases. This is owing to the general concept that when elec- ture is influenced by the ZrO2 film thickness, and the tetrag-
trons are strongly bound to the nucleus, the bandgap increases onal/cubic phase is stable for thinner films.[47–52] In tetragonal/
but the electronic polarization weakens. Originally, SiO2 and cubic ZrO2 thin films, a Tox of ≈0.6 nm has been achieved.[34]
Al2O3-based materials with a dielectric constant of 10 or less However, there is an issue of high leakage current through the
were used. The currently used ZrO2/Al2O3/ZrO2 stack, as well
as HfxZr1−xO2 and ABO3 perovskite-structured dielectric films
are expected to be utilized in next-generation DRAMs.
Figure 7b shows the required height of the storage node
according to variations in the SiO2 equivalent oxide thickness
(Tox).[34] Tox is commonly used in the same sense as capacitance
equivalent thickness. As the dielectric constant increases, the
capacitance increases and Tox decreases. This suggests that the
required height of the storage node can be lowered accordingly.
Simultaneously, the leakage current through the high-k thin
film must be extremely low (<10−7 A cm−2 at 0.7 V).[11,23,35] As the
leakage current is directly related to both data loss and writing
time, it is important to minimize the leakage current; therefore,
a high-k thin film with a large bandgap is advantageous.[36]

4.1. ZrO2/Al2O3/ZrO2

Currently, the material used in DRAM capacitors consists of


tetragonal (or cubic) ZrO2/amorphous Al2O3/tetragonal (or
cubic) ZrO2 tri-layers and is known as ZAZ.[37–39] Figure 8a
shows the top-view structure of a cylinder-type DRAM capacitor
using a ZAZ dielectric layer with TiN upper/lower electrode
films grown via ALD.[40] Figure 8b shows a top-view image at
high magnification using transmission electron microscopy
(TEM).[40]
ZrO2 has a large bandgap (5.8 eV) and can have mono- Figure 8. Top-view structure of DRAM capacitor using ZAZ with TiN elec-
clinic, orthorhombic, tetragonal, and cubic structures.[41–43] trodes. a) Low magnification and b) high magnification images. Repro-
Typically, the monoclinic phase is stable at 298 K and the duced with permission.[40] Copyright 2010, IEEE.

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (5 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

Figure 9. a) ZAZ leakage current as a function of temperature. b) Tox and leakage current for varying ZrO2 thicknesses in ZAZ tri-layers. Reproduced
with permission.[52] Copyright 2006, IEEE.

grain boundaries in polycrystalline ZrO2 films. To overcome 4.2. HfxZr1−xO2


this problem, a method for reducing the grain size has been
proposed. However, to prevent current leakage, it was found Recently, Hf-doped ZrO2 (HZO) thin films, which are solid
to be more effective to add an amorphous Al2O3 layer with a solutions of HfO2 and ZrO2, have attracted attention because
large bandgap (≈9 eV). Accordingly, an amorphous Al2O3 layer they exhibit ferroelectric (FE) characteristics at 300 K.[58,59] Since
was grown on the tetragonal ZrO2 layer, and ZrO2 was depos- HfO2 is mainly formed in a monoclinic structure and is an
ited to form the ZAZ tri-layers. The leakage current was clearly insulator with a dielectric constant of ≈20, it has been used as a
reduced by the insertion of the amorphous Al2O3 layer, when high-k thin film for metal-oxide-semiconductor field-effect tran-
compared to that of a single ZrO2 layer.[34] sistors, without hysteresis in the capacitance–voltage curve.[60]
The leakage current in TiN/ZAZ/TiN capacitors increases In 2011, it was reported that FE properties appeared in Si-doped
with increasing temperature, as shown in Figure 9a.[53] It has HfO2 along with hysteresis in the polarization–electric field
been suggested that the leakage current originates from Poole– (P–E) curve.[58] Owing to these novel properties, several doping
Frenkel conduction, which is a bulk-related conduction mecha- materials, such as Zr, Sr, Y, Al, La, and Ga, have been studied to
nism.[54] The overall thickness of the ZAZ triple layers had to be replace Si.[61] Residual polarizations of 15–25 µC cm−2 for doped
reduced because of the lack of physical space in the cylindrical HfO2 thin films have been reported, and up to 40 µC cm−2 has
structure, which makes it difficult to maintain the thickness of been achieved with La doping.[61–63]
the Al2O3 layer (>0.5 nm). It was observed that the leakage cur- HZO is an FE material that has been extensively studied.[64–68]
rent increased when the thickness of ZrO2 in the ZAZ layers In addition to the FE features of HZO thin films, they exhibit
decreased, as shown in Figure 9b,[53] and a decrease in the
Al2O3 layer accelerated the increase in the leakage current den-
sity. The leakage current through the ZAZ can be reduced using a
Pt electrode, because it has a higher work function (5.6–6.1 eV)[54]
than TiN (4.5–4.7 eV), implying an interface-limited conduction
mechanism (Figure 10).[55] Nonetheless, Pt thin films are difficult
to implement using the ALD process, and they are not appro-
priate for mass production because of their high processing costs.
High-k thin films must be deposited via the ALD process
with excellent step coverage for the application of DRAM capac-
itors. The aforementioned ZrO2 and Al2O3 thin films grown by
ALD are mature from a technological perspective; thus, they
are applied to mass production in the industry. As ZrO2 and
Al2O3 are simple binary oxides, their thin-film deposition
processes are easy to control. Therefore, the ZAZ stack was
introduced early in the mass production of DRAM capacitors.
However, as the DRAM storage node size decreases, the crys-
Figure 10. Leakage current through TiN/ZAZ/TiN and TiN/ZAZ/Pt
tallinity and defect generation in the ZAZ stack become more capacitors. The leakage current through the ZAZ can be reduced using
severe.[56,57] Accordingly, a dielectric constant higher than that Pt electrode having a higher work function than that of TiN. Reproduced
of ZAZ is necessary. with permission.[55] Copyright 2016, Elsevier.

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (6 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

Figure 11. P–E curves for various HZO compositions. FFE characteristics were observed in HZO films with a Zr content higher than 70% with an
antiferroelectric (AFE)-like double hysteresis. Reproduced with permission.[78] Copyright 2012, American Chemical Society.

field-induced ferroelectric (FFE) and dielectric characteristics super-cycle. After the deposition of an upper electrode, such as
under certain Zr compositions and film thickness.[60,61,69–71] The TiN, post-metallization annealing is performed at 500 °C for
FE features of HZO are typically observed at a 50% Zr content the crystallization of the HZO film.[70] Figure 12a shows the
in HZO and are suitable for non-volatile ferroelectric random glancing incidence X-ray diffraction spectra (XRD) for various
access memory (FeRAM).[61] The “0” and “1” data can be stored compositions of HZO (≈9.2 nm in thickness), in which the
because the residual polarization ±Pr value remains, even after tetragonal phase is predominantly observed.[70,77] The poly-
the electric field disappears.[72] Materials such as (Pb,Zr)TiO3 crystalline HZO film was confirmed to be randomly oriented
(PZT) and BaTiO3 (BTO), and SrBi2Ta2O9 are representative (Figure 12b).[70,77] Figure 12c shows the P–E curves for various
of FE characteristics,[61] but their leakage current characteris- compositions of HZO film.[70,77] AFE characteristics were
tics are poor because of their small bandgap (3–4 eV), and the observed for Zr-rich (>70%) films, whereas FE properties were
residual polarization decreases when the thickness decreases to observed for films with a Zr content <70%.[81]
<100 nm.[73,74] In addition, defect formation owing to the weak The origin of the FE characteristics of existing materials,
bonds between the metal ions and oxygen induces reliability such as PZT, is explained by the Landau–Ginzburg–Devon-
and fatigue issues.[75] Owing to these limitations, the commer- shire theory.[82] The minimum state of total energy exists in
cialization of FeRAM has not yet been realized. two locations, which results in the ±Pr values.[61] However, the
In this respect, HZO is more advantageous than PZT or characteristics of HfO2 and ZrO2 are not consistent with this
BTO because HfO2 and ZrO2 have large bandgaps (5.3–5.7 eV), explanation and have been reported to be closely related to field-
giving them an advantage in terms of leakage current.[76] Sur- induced phase transitions.[77] HfO2 and ZrO2 have different
prisingly, unlike FE materials such as PZT, the FE characteris- crystal structures depending on temperature and pressure.[83]
tics of HZO are realized even at extremely low thicknesses of Under atmospheric pressure, the monoclinic phase (m-phase,
10 nm or less.[69] space group P21/c) changes to a tetragonal phase (t-phase,
Despite the FE features of HZO thin films, they are not space group P42/nmc, Figure 13a) at 1973 K, and a cubic phase
appropriate for DRAM capacitor applications because of the (c-phase, space group Fm3m)[83] Under hydrostatic compressive
remnant polarization when the voltage is removed. Interest- pressure, an orthorhombic I phase (oI-phase, space group Pbca)
ingly, FFE characteristics were observed in HZO films with and an orthorhombic II phase (oII-phase, space group Pnma)
a Zr content higher than 70%, even at a thickness of ≈7 nm, may exist (Figure 13c).[84] These polymorphs cannot show FE
with an antiferroelectric (AFE)-like double hysteresis, as shown and AFE characteristics because of their inversion symmetry.
in the P–E curves for various compositions (Figure 11).[77,78] A However, orthorhombic III (oIII-phase, space group Pca21)
stable state exists in the HZO thin films owing to polarization phases can also exist, which are polar phases (Figure 13b).[84,85]
when a voltage is applied to these AFE properties.[79] When the When a field is applied, a field-induced phase transition from
voltage is removed, the polarization vectors are aligned in oppo- the m-phase to the oIII-phase is possible; thus, a Pr value exists
site directions inside the material (antiparallel), and the effec- along the polar c-axis.[60] According to this theory, the non-polar
tive polarization becomes 0. It has been suggested that these t-phase and polar oIII-phase transitions have a small activation
FFE characteristics originate from the field-induced phase energy of 35 meV per formula unit.[69] Materlik et al. reported
transition of the HZO film, which enables strong polarization that the energy difference is only 10 meV per formula unit in
under a sufficiently large electric field.[79] ZrO2 at 300 K, which is ten times lower than that of the t- and
Typically, HfO2 and ZrO2 thin films are grown by ALD at m-phases. This suggests that the phase transition between
250–300 °C using Hf[N(C2H5)CH3]4, Zr[N(C2H5)CH3]4, and the t- and o-phases is favorable.[61] The total energy of the AFE
O3 as the HF precursor, Zr precursor, and oxygen source, t-phase was predicted to be lower than that of the FE oIII-
respectively.[70,80] TiN thin films are typically used as the lower phase by only 1 meV per formula unit for ZrO2. In the case of
electrodes. The composition of the HZO film can be con- HfO2, the total energy of the FE oIII-phase was calculated to
trolled by changing the HfO2 and ZrO2 ALD sub-cycles in the be 23 meV lower than that of the AFE t-phase, which explains

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (7 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

Figure 12. a) GIXRD of HZO films of various compositions showing that the tetragonal phase is predominantly observed. b) HRTEM image of HZO
thin film. c) Corresponding P–E curves. Reproduced with permission.[70] Copyright 2014, Wiley-VCH GmbH.

why the AFE phase was well represented in the Zr-rich compo- the total amount of charge.[58] Using this calculation, a dielec-
sitions of HZO thin film. tric constant of 80 was reported at a specific voltage.[57] In the
The blue arrow in Figure 13 indicates the position of the O P–E graph, the FE switching polarization component disap-
atom.[84] In the cubic structure of HZO, the metal ions Hf and peared during the pre-poling process and only the field-induced
Zr form the FCC structure, and the O atom fills eight tetrahe- polarization was measured.[57] The P–E graph of HZO thin-film
dral sites.[84] The FE characteristics of the oIII-phase are caused was non-linear and a hysteresis appeared in both the positive
by spontaneous polarization, as indicated by the blue arrow in and negative parts of the hysteretic. In a positive electric field
Figure 13b.[84] The oI-phase shows an atomic alignment similar (4 MV cm−1), the polarization value of the HZO thin film was
to that of the oIII-phase, but the positions of the O atoms are dif- three times greater than that of ZAZ.[57]
ferent for each unit cell, resulting in a centrosymmetric phase.[84] Recently, the use of an HZO film was successfully demon-
Polymorphs can be defined by changes in the position of strated for 3D embedded DRAM applications using Intel.[87] The
the O atom.[86] The oI-phase-based AFE characteristic shows an AFE characteristics were utilized for a fully integrated DRAM,
atomic alignment similar to that of FE, but the position of the as shown in Figure 15.[87] A polarization switching time of 10 ns
O atom is different for each unit cell, resulting in a centrally was achieved for read and write operations. The operation
symmetrical phase.[86] Figure 14 shows the energy diagram of voltage was as low as 1.8 V, and the endurance characteristics
the polar and non-polar phases of an HZO thin film.[57] The reached 1012 cycles at 80 °C, implying promising performance.[87]
FFE properties appear only when a sufficiently large electric
field is applied to the non-polar phase. However, such a large
electric field (4–5 MV cm−1) is not suitable for DRAMs; there- 4.3. TiO2 and Al-Doped TiO2
fore, further optimization is required.
As it is difficult to measure the amount of charge stored TiO2 is a high-k material that has been extensively studied
in the DC, the stored charge was calculated by integrating for a long time because of its high dielectric constant (up to

Figure 13. HZO structure for FE and AFE characteristics. a) Tetragonal phase (t-phase). b) Orthorhombic III (oIII-phase). c) Orthorhombic I phase
(oI-phase). The blue arrow indicates the position of the O atom. Reproduced with permission.[84] Copyright 2020, AIP Publishing.

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (8 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

Figure 14. a) FFE characteristics caused by the field-induced phase transition of HZO thin films. b) P–E curve of Hf0.3Zr0.7O2 film showing non-linear
characteristic with a hysteresis (in contrast, ZAZ film showed linear P–E curve without any hysteresis). Reproduced with permission.[57] Copyright 2020,
Wiley-VCH GmbH.

170).[88,89] TiO2 can exhibit anatase, rutile, and brookite phase TiO2 deposition, as analyzed by X-ray photoelectron spectros-
polymorphs, and their dielectric constants differ depending copy (XPS) and XRD in Figure 16b,c.[12] A rutile TiO2 film was
on their crystalline structure.[90–92] The k values are 30–40 for successfully grown on the O3 pre-treated Ru substrate, even
anatase and 90–100 for rutile TiO2.[93,94] In particular, for the when H2O was used as the oxygen source during TiO2 ALD,
rutile phase, a high dielectric constant of 170 was reported indicating the importance of coherent lattice matching between
along the c-axis, whereas it was only ≈100 along the a-axis.[88] rutile TiO2 and RuO2.[96] The rutile TiO2 film showed a high
Although the anatase phase is stable in most cases, the rutile dielectric constant of 80–100, while the anatase TiO2 film had
phase is stable at high temperatures.[95] Thus, various strategies a constant of ≈37 (Figure 16a).[12] Figure 16d shows the Tox
for growing rutile have been attempted to ensure a high dielec- versus leakage current density for various O3 injection times in
tric constant. TiO2 ALD. Despite the high dielectric constant of rutile TiO2,
When a hexagonal close-packed Ru film was used as the leakage current was somewhat high (>10−4 A cm−2) at a Tox
the lower electrode, the growth of a rutile TiO2 phase was of 0.6 nm because of the small bandgap of TiO2 (≈3.1 eV).[97]
observed using O3 as the oxygen source in the TiO2 ALD A method using electrodes, such as RuO2 and SnO2, with iden-
process, whereas an anatase-phase TiO2 film was grown by tical rutile crystal structures has been suggested for the growth
ALD at 250 °C using H2O instead of O3 as the oxygen source of rutile TiO2 thin films.[98–101] When a TiO2 film was grown on
(Figure 16a).[12] Titanium tetraisopropoxide (TTIP) was used as RuO2 by ALD at 250 °C using H2O as the oxygen source, a rutile
a Ti precursor for TiO2 ALD. The surfaces of Ru electrodes are TiO2 film was obtained owing to coherent lattice matching, which
oxidized to RuO2 with a rutile structure, owing to the strong resulted in a high dielectric constant of ≈100. An even higher
oxidation power of O3 during the ALD of TiO2.[94] A control k value of 120–155 has been reported for rutile TiO2 thin films
experiment was performed using a Ru electrode whose sur- grown via ALD on RuO2 electrodes.[100] Polycrystalline TiO2 films
face was oxidized to RuO2 by O3 treatment at 250 °C prior to have been grown along an RuO2 lattice, showing local epitaxial

Figure 15. Cross-sectional TEM image of a) a fully integrated DRAM unit cell using the AFE characteristics of HZO films. b) Cell arrays of the integrated
DRAM. Reproduced with permission.[87] Copyright 2020, IEEE.

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (9 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

Figure 16. a) Anatase and rutile TiO2 films grown by ALD using H2O and O3 as the oxygen source. b) XPS and c) XRD spectra for TiO2 films using
H2O and O3. d) Tox versus leakage current characteristics using O3 as the oxygen source. a–c) Reproduced with permission.[12] Copyright 2010,
Wiley-VCH GmbH. d) Reproduced with permission.[97] Copyright 2007, AIP Publishing.

growth.[101] SnO2 electrodes have been adopted as the lower elec- patterns (Figure 17), indicating local epitaxial growth.[98] The SnO2
trodes for the growth of rutile TiO2 films.[98] A TiO2 film with a (110) and (200) planes were clearly observed, and no difference in
rutile phase was grown by ALD at 250 °C using TTIP and H2O2.[98] lattice spacing between the SnO2 and TiO2 was observed.[98] The
The coherent atomic alignment between TiO2 and SnO2 was epitaxial relationship of (110)TiO2‖(110)SnO2 was confirmed by
characterized using high-resolution TEM and electron diffraction the SAED pattern shown in Figure 17b.[98]

Figure 17. a) HR-TEM image of TiO2 grown on SnO2, indicating coherent lattice matching between TiO2 and SnO2. b) Corresponding electron diffrac-
tion pattern. Reproduced with permission.[98] Copyright 2010, IOP Publishing.

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (10 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

Figure 18. XRD spectra of showing a) anatase and b) rutile TiO2 thin films grown by ALD at 370 °C using H2O and O3 as the oxygen sources, respec-
tively. Reproduced with permission.[104] Copyright 2011, American Chemical Society.

The epitaxial growth of rutile TiO2 film has been reported, decreased markedly by ≈106 times, as shown in Figure 19b.[22]
which is favorable for lattice matching with α-Al2O3 (1102) Figure 19b represents the Tox versus leakage current character-
single crystals at a high ALD temperature of 425 °C.[102] Ther- istics of TiO2 and ATO thin films, and a Tox <0.5 nm was dem-
mally stable halide precursors, such as TiCl4 (or TiI4), were onstrated, along with a low leakage current (<10−7 A cm−2).[22]
used with H2O for the TiO2 ALD process.[103] The (101) plane The conduction mechanism is governed by Schottky emission,
of the rutile TiO2 was grown coherently along the c-axis of a as recognized by the variation of the leakage current as a func-
(1102) α-Al2O3 substrate and the in-plane orientation relation- tion of temperature.[22] The leakage current density increased
ships of [101]utile-TiO2‖[1101]α-Al2O3 have been reported.[102] linearly with E1/2, indicating Schottky emission. The Schottky
The bandgap of the epitaxially grown rutile TiO2 thin film was barrier height between TiO2 and Pt was increased from 1.05 to
determined to be 3.16 eV.[102] 1.54 eV by Al doping in TiO2 film, which was ascribed to the
High-temperature ALD at 370 °C was also attempted using reduction of leakage current (Figure 19a).[22] Al ions gather
an RuO2 electrode with a Ti(O-iPr)2(tmhd)2 precursor and n-type carriers in the film as acceptors. This lowers the Fermi
H2O.[104] In this case, an anatase TiO2 film was grown, and the level, which is consistent with ab initio calculations.[22] It
rutile phase was not obtained, even when using RuO2 as the should be noted that the increase in the bandgap caused by
lower electrode, as confirmed by XRD (Figure 18a). Unexpect- Al2O3 incorporation was estimated to be less than 0.1 eV, and
edly, the reduction of the electrode from RuO2 to Ru via the is not regarded as the origin of the leakage current reduction,
adsorption of the Ti precursor was observed during TiO2 ALD. as expected by ab initio calculations.[22,106] It has been proposed
It should be noted that TiCl4 did not induce the reduction of that the oxygen vacancies are reduced by Al doping, thereby
RuO2, which implies that the reduction occurred because of the decreasing the number of n-type carriers.[107] The reduction of
higher reactivity of the Ti(O-iPr)2(tmhd)2 precursor than that of oxygen vacancies via Al doping-doping was confirmed by XPS
TiCl4. The weak oxygen bond to Ru in RuO2 is another reason analysis, which showed a decrease in the intensity of the Ti3+
for this reduction during TiO2 ALD.[104,105] The oxygen supplied peak compared to that of Ti4+.[22] In the meantime, hydrogen-
from the RuO2 electrode reacted with the adsorbed Ti pre- free precursors TiCl4 and AlCl3 have been adopted in the ATO
cursor, leading to the reduction of RuO2 to Ru and resulting in films which exhibited a lower leakage current in ≈7 nm-thick
the failure of the growth of a rutile TiO2 film.[104] The reduction ATO thin films than that using TiCl4 and TMA as the Ti and Al
of the RuO2 electrode slowed down at lower ALD temperatures precursors.[108]
of 250 °C. Despite the reduction of the RuO2 electrode during One major concern in Al-doped TiO2 films is the degradation
TiO2 ALD at 370 °C, the growth of a rutile TiO2 film could be of the crystallinity of the TiO2 film owing to the incorporation
accomplished when O3 was used as the oxygen source instead of the amorphous Al2O3 layer, which hinders the crystallization
of H2O, because O3 re-oxidized the Ru surface to RuO2, which of the rutile TiO2 film. Consequently, the dielectric constant
maintained the growth of a rutile TiO2 phase underneath the of the rutile TiO2 thin film decreased from 78 to 51 after Al
RuO2 layer, allowing the growth of the rutile TiO2 film.[104] doping (Figure 20a).[109] Another study reported dielectric con-
Although the growth of the rutile phase of TiO2 was stants of 102 and 60 for TiO2 and ATO films, respectively.[110]
achieved, the small bandgap of TiO2 (≈3.1 eV) induces a large Due to the obstruction of the crystallization of the TiO2 film by
leakage current, as shown in Figure 16d, and needs improve- Al doping, the incorporated Al2O3 sub-cycles are minimized
ment.[99] In this respect, Al doping of TiO2 has been proposed in TiO2 ALD cycles; typically, 60–120 TiO2 cycles are followed
to create ATO thin films, reducing the high leakage current by 1 Al2O3 cycle (denoted as 1/60, 1/90, and 1/120).[22] The [Al]/
by an increase of Schottky barrier height (Figure 19a).[22] The [Al+Ti] atomic ratios of the ATO films were at 12%, 8%, and 6%
Al2O3 ALD sequence was added to the TiO2 ALD cycles at for the 1/60, 1/90, and 1/120 super-cycles, respectively.[22] The
250 °C using trimethylaluminum and TTIP as the Al and Ti leakage current was further decreased by using an Ir lower elec-
precursors, respectively, and O3 was used as the oxygen source. trode, which might be explained by the higher work function
The Al2O3 sub-cycles were added to the TiO2 cycles as part of of Ir (≈5.5 eV) compared to that of Ru (4.71 eV), as shown in
the super-cycle, and it was revealed that the leakage current Figure 20b.[22]

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (11 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

Figure 19. a) Schottky barrier height at the interface of Pt/TiO2 and ATO films. b) Leakage current characteristics of TiO2 and ATO films as a function
of Tox. c) Leakage current characteristics of TiO2 and ATO thin films using hydrogen-free Ti precursors. a,b) Reproduced with permission.[22] Copyright
2008, Wiley-VCH GmbH. c) Reproduced with permission.[108] Copyright 2014, Elsevier.

Figure 20. a) Tox as a function of TiO2 and ATO film thickness. b) Leakage current characteristics of TiO2 and ATO films on Ru and Ir electrodes.
Reproduced with permission.[109] Copyright 2011, Wiley-VCH GmbH.

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (12 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

High dielectric constants of 160 and 130 have been reported


for TiO2 and ATO thin films grown by ALD at 400 °C using
TiCl4 instead of TTIP.[111] However, the leakage current as a
function of the Tox curve was similar to that of ALD at 250 °C
using TTIP, implying comparable electrical performance in
both cases. The leakage current of TiO2 is markedly reduced by
Al doping, even for high-temperature TiO2 ALD at 400 °C.[111]

4.4. Perovskite SrTiO3 and BaxSr1−xTiO3

Perovskites with the crystalline form of ABO3 have exhibited Figure 22. a) XRD spectra of in situ crystallized STO grown by ALD at
various functions in numerous scientific studies.[112–116] SrTiO3 370 °C on a Ru substrate (comparison with and without a seed layer).
(STO) is a representative perovskite material that has various b) TEM image of the in situ crystallized STO film. Reproduced with per-
functions. Cubic STO is chemically stable with formal ionic mission.[124] Copyright 2008, AIP Publishing.
charges (Sr2+, Ti4+, and O2−) and has a high melting point
(2080 °C).[117] Stoichiometric STO (space group Pm3m, lattice as-grown STO film showed an amorphous phase with a low
parameter 0.3905 nm, Figure 21)[118] is a paraelectric insulator density.[122]
(Curie temperature 103 K, bandgap ≈3.2 eV) with a high dielec- To simultaneously secure a high dielectric constant with
tric constant of 300, which is greater than that of typical binary acceptable leakage current characteristics, the in situ crystalli-
oxides.[119] STO is made up of alternating stacks of TiO2 and zation of the STO film during the ALD process has been pro-
SrO layers along the [001] direction as shown in Figure 21. posed.[123] After developing a high-temperature (370 °C) ALD
Interestingly, its intrinsic conductivity can be tuned by extrinsic process using an STO seed layer with a thickness of 1–3 nm,
doping, and the conductance can be adjusted using an electric which was annealed at 600–700 °C, a crystalline STO thin
field, which provides resistive switching characteristics for non- film was obtained in an as-deposited state by ALD at 370 °C
volatile memory applications.[117] The high permittivity of STO (Figure 22).[124] With in situ crystallization, the leakage cur-
300 originates from its ABO3 structure and composition, where rent characteristics were remarkably reduced (<10−7 A cm−2 at
the B cations are located in the oxygen octahedron, as shown 0.8 V) and the dielectric constant reached as high as 150
in Figure 21. This implies promising electronic device applica- (Figure 23a,b).[123]
tions, especially for DRAM capacitors. The B cations move up For performing STO ALD at 370 °C, the lack of an appro-
and down to create polarization under an electric field (ionic priate Sr precursor was the most pressing issue. While Ti
polarization). precursors, such as TiCl4 and Ti(O-iPr)2(tmhd)2, are avail-
Perovskite high-k materials must be crystallized to exhibit able which are thermally stable at high temperatures without
a dielectric constant owing to ionic polarization. Amorphous thermal decomposition, Sr(tmhd)2 suffers from low vapor
perovskite materials show a low dielectric constant of only pressure and beta-diketonate Sr precursors exhibit low
10–20;[32,120–124] thus, securing crystallinity is extremely impor- reactivity, which leads to significant carbon impurities.[123]
tant. Typically, an STO film is deposited by ALD at 250–300 °C More recently, Sr precursors with cyclopentadienyl ligands,
as an amorphous phase.[32,120–124] Additional post-annealing is such as Sr(t-Bu3Cp)2 and Sr(i-Pr3Cp)2, were applied in STO
performed for the crystallization to the perovskite structure, and ALD.[32,125–127] The Sr(i-Pr3Cp)2 precursor, in particular, was
it is possible to crystallize into poly-crystalline, which shows a successfully implemented in high-temperature STO ALD
high dielectric constant >150 even in thin films (<20 nm).[122] (370 °C).[123] When using the cyclopentadienyl Sr precursor, a
However, there is a critical problem with the leakage cur- high GPC of 1.07 Å/cycle was obtained for the growth of the
rent; a large current flows through the grain boundaries as STO thin films, which was approximately seven times higher
the grains are formed during the STO crystallization process than that of Sr(tmhd)2.[123] Moreover, a Tox value of 0.57 nm with
at >600 °C.[122] The density of the STO film was substantially a dielectric constant of 146 was achieved, and a low leakage cur-
increased by crystallization annealing (>10–20%), whereas the rent of ≈10−8 A cm−2 was obtained via the in situ crystallization
of STO films grown at 370 °C.[123] In addition, an extremely
good step coverage of >90% in terms of thickness and composi-
tion was demonstrated for deposition inside a deep capacitor
hole (aspect ratio 1:10),[123] indicating self-limiting ALD growth
characteristics (Figure 23c,d).
In addition to using in situ crystallization to improve the
leakage current characteristics, decreasing the leakage current
using an Sr-rich STO film has been proposed by the IMEC
group.[128] The as-grown STO film was grown to an amor-
phous phase; thus, crystallization via thermal annealing was
necessary at 500–650 °C.[128] The STO film annealed at 500 °C
was amorphous, with a dielectric constant of ≈20; however,
Figure 21. Crystal structure of perovskite. the STO films annealed at temperatures higher than 550 °C

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (13 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

Figure 23. a) Tox versus film thickness showing the high dielectric constant of in situ crystallized STO film grown by ALD 370 °C. b) Leakage current
characteristics for various STO film thicknesses. c) ALD-grown STO film step coverage (>95%) in a deep hole structure (aspect ratio = 1:10). Reproduced
with permission.[123] Copyright 2011, American Chemical Society.

were perovskite-structured STO with high dielectric con- As STO has a relatively narrow bandgap (3.0–3.2 eV),
stants of ≈150 (Figure 24a).[128] The leakage current charac- various methods of reducing the leakage current have been
teristics were dependent on the composition of the STO thin studied.[130] Similar to Al doping in TiO2 film, Al doping was
films; the Sr-rich STO film exhibited a lower leakage current attempted in STO film to decrease the leakage current.[130]
than stoichiometric and Ti-rich STO thin films, as shown in Using the in situ crystallization of STO at the ALD tempera-
Figure 24b.[128] However, k ≈75 was obtained for the Sr-rich ture of 370 °C, Al doping was attempted at the upper (t-Al-
STO film.[128] With a further optimization of Ru/Sr-rich STO/ STO) and lower (b-Al-STO) sides of the STO layers.[130] A
Ru capacitor fabrication process, Tox of 0.4 nm was achieved decrease in the leakage current density (one and two orders
with a low leakage current (10−7 A cm−2 @ 1 V) as shown in of magnitude at a Tox of ≈0.7 and ≈0.6 nm, respectively)
Figure 24c.[129] was accomplished by Al doping in STO films, as shown in

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (14 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

Figure 24. a) Tox versus STO film thickness. b) Leakage current characteristics of stoichiometric, Ti-rich, and Sr-rich STO thin films. c) Tox versus
leakage current plot of STO and ATO thin films. a,b) Reproduced with permission.[128] Copyright 2009, Elsevier. c) Reproduced with permission.[129]
Copyright 2014, IEEE.

Figure 25b–d.[130] This was attributed to the same mecha- inside the O6 octahedron.[132,133] If a BaxSr1−xTiO3 (BSTO) thin
nism as that in the Al doping in TiO2, based on the removal film is deposited by doping STO with Ba, a higher dielectric
of oxygen vacancies by incorporating Al in the STO, which constant can be obtained.[134] However, it is difficult to imple-
donates electrons.[130] Accordingly, it was confirmed that the ment the ALD process for STO and BSTO at temperatures of
Schottky barrier height increased by ≈0.13 eV after Al doping, 350 °C or higher because the Sr and Ba precursors encounter
which reduced the leakage current, while the conduction problems with low vapor pressure, poor thermal equilibrium,
mechanism was dominated by Schottky emission. It should and low reactivity. Recently, an epitaxial growth of BSTO
be noted that the dielectric constant also decreased with Al thin films grown by ALD at 225 °C on STO single crystalline
doping in the STO films.[130] Dielectric constants of 120 and substrate was reported.[135] The as-grown BSTO films were
71 were achieved for t-Al-STO and b-Al-STO, respectively, amorphous, and crystallized by a postdeposition annealing
while a constant of 149 was observed for undoped STO films at 650–710 °C which showed a dielectric constant as high as
(Figure 25a).[130] The severe degradation of the dielectric con- 368. A coherent atomic arrangement of BSTO film on STO
stant was prominent in the case of Al doping on the lower was revealed as shown in Figure 26a. A misfit dislocation was
side (k was decreased from 149 to 71 by Al doping).[130] This observed with need for a further optimization for the perfect
indicates that the incorporation of Al on the lower side of the epitaxial growth (Figure 26b).
STO film degraded the crystallinity of the STO much more
than upper-side doping, because STO crystallinity is coher-
ently achieved by seeding via in situ crystallization during 4.5. Dead-Layer Effect
STO ALD. Thus, Al doping on the upper side of the STO layer
only marginally affected the crystallinity of the STO film.[130] Perovskite high-k materials are attracting attention as insulator
BTO is another well-known perovskite-structured oxide that materials for DRAM capacitors.[31,32,128,136,137] Unfortunately, the
exhibits FE properties at room temperature.[131] The crystalline dielectric constant of perovskites, such as STO, decreases as the
structure is identical to that of STO, but its lattice parameter film thickness decreases.[138] Most experiments based on thin-
(0.3994 nm) is slightly larger; thus, strain is observed when film STO capacitors have yielded capacitance values lower than
forming an STO/BTO superlattice, which can enhance its expected. Even if the insulator grows crystalline and epitaxial
polarizability.[132] Transition from a cubic to a tetragonal phase films, the measured capacitance is lower than the theoretically
induces ferroelectricity with a bistable location of Ti4+ ions calculated or expected value.

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (15 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

Figure 25. a) Tox versus Al-STO film thickness. b) Tox versus leakage current plot. c,d) Leakage current characteristics of STO and Al-STO thin films
with different film thicknesses. Reproduced with permission.[130] Copyright 2019, Wiley-VCH GmbH.

The experimentally observed decrease in the effective dielectric medium, Ci acts in series with the STO capacitance,
permittivity of nanoscale STO capacitors can generally be C0, to express the total capacitance, C.[139] An induced capaci-
expressed by a low interface capacitance density, Ci as shown in tance is generated owing to the interfacial layer between the
Equation (2). At the interface between the electrode and the dielectric medium and the electrode, leading to polarization
relaxation and reduction at the interface, which lowers the total
effective dielectric constant. This is called the interfacial dead-
layer effect.[139]

1 1 1 1
= + + (2)
C C i C0 C i

The effective dielectric constant of STO, BTO, BSTO, and


BTO/STO superlattice decreases significantly as the film thick-
ness decreases, as shown in Figure 27.[138,140] The dielectric con-
stant of BSTO is reduced to ≈100 at a film thickness of less than
10 nm.[138] However, the microscopic origin of the dead layer in
perovskites is not well understood.
Two competing models exist for interfacial dead layers.[138–140]
A finite electrostatic screening length was suggested based on
the polarization-compensating charge distribution on the metal
surface.[138–140] If the electron density of the metal electrode is
Figure 26. a) High-resolution TEM images of BSTO thin film grown on
STO substrate, showing an epitaxial growth. b) Magnified TEM image finite, the compensating charge will be distributed throughout
showing a misfit dislocation defect. Reproduced with permission.[135] the metal film thickness.[138–140] The existence of a finite
Copyright 2020, American Vacuum Society. screening length indicates that the electric field penetrates the

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (16 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

Figure 27. a) Decrease of the dielectric constant of BSTO films by the interfacial dead layer. b) Variations in the dielectric constant of STO, BTO, and
BTO/STO superlattice as a function of film thickness. a) Reproduced with permission.[138] Copyright 2002, AIP Publishing. b) Reproduced with permis-
sion.[140] Copyright 2006, AIP Publishing.

surface of the metal electrode. The finite screening length can reasons, such as defect generation during growth, strains, and
be expressed by the Thomas–Fermi screening length.[141] It grain boundaries, rather than as an intrinsic feature. As previ-
has been suggested that enhanced electronic screening by ele- ously mentioned, the origin of the dead layer has not yet been
mental metals can avoid the dead-layer effect in capacitors.[139] established.
In contrast, it has also been suggested that the high ionic polar- Interestingly, 2D nanosheets of TiO2, Sr2Nb3O10 (SNO), and
ization of metal electrodes such as SrRuO3 (SRO) can yield Ca2Nb3O10 (CNO), which are free from the dead-layer effect,
improved screening via optical phonon softening.[139,142] have been proposed.[145] A pioneering study on the delamina-
The intrinsic dead layer was proposed as the origin of the tion of single titanate nanosheets from layered titanates was
dead layer in perovskites, as dielectric polarization inevitably conducted by Sasaki et al. Chemical exfoliation is the easiest
suffers from the absence of a dielectric material beyond the die- way to prepare a single layer of nanosheets from thick-layered
lectric medium.[141] Natori et al. reported that an intrinsic dead compounds.[145] SNO and CNO are pseudo-perovskites, and
layer of ≈0.5 nm exists at the surface of the dielectric material, their high dielectric constants originate from their ionic polari-
which is unrelated to the dielectric material’s properties but is zations (Figure 28a).[146] The dielectric constant (>200) was pre-
an intrinsic effect owing to the presence of the film surface.[143] served down to 5 nm, which is highly promising for capacitor
However, a recent report suggests that the dielectric dead layer applications (Figure 28b).[145] To avoid the dead-layer effect,
might not be an intrinsic property, as demonstrated experi- SNO and CNO nanosheets should be grown in a 2D manner,
mentally using free-standing single crystalline lamellae (not that is, any chemical bonds along the c-axis should be elimi-
epitaxially grown thin films).[139] Dead-layer-free characteristics nated. This makes the growth of these 2D SNO nanosheets dif-
were observed for BTO (75 nm in thickness) with an Au inter- ficult. The ALD of 2D SNO and CNO nanosheets has not yet
face.[144] This implies that the dead layer originates for extrinsic been reported.

Figure 28. a) A nanosheet of SNO and CNO. b) Dielectric constants of various materials with film thickness, showing the dead-layer-free behavior for
SNO and CNO. a) Reproduced with permission.[146] Copyright 2010, American Chemical Society. b) Reproduced with permission.[145] Copyright 2011,
Wiley-VCH GmbH.

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (17 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

5. Electrode Thin Films film increased from 85 to 118 owing to the formation of an
interfacial SRO phase, which improved the crystallinity of STO
5.1. Nitride/Pure Metal Thin Film from the interface to the bulk material.[128] This approach was
attempted in the technological absence of SRO ALD.
The electrodes used in DRAM capacitors should not be easily Another candidate ABO3 electrode is required to replace
oxidized, and materials with a large work function that can SRO, where A can be Ca or Sr, and B can be V, Cr, Mn, Fe, Co,
lower the leakage current are required.[12] Suitable electrode Nb, or Mo. However, the ALD of these oxide electrodes has not
candidates include transition metal nitrides (e.g., TiN) and yet been achieved because of the difficulty in controlling the
noble metals such as Pt, Ru, and Ir, as they have relatively large valence state of B4+, as well as the lack of appropriate precursors.
work functions.[137,147,148]
TiN films are often utilized as electrodes in DRAM capaci-
tors grown by ALD using TiCl4 and NH3, which is a standard 5.3. Size Effect on Metal Resistivity
process in the semiconductor industry.[149–153] Another pre-
cursor, tetrakis-dimethyl-amino-titanium, has been reported for Since metal materials have low resistivity, they are used for
the growth of TiN film;[154,155] however, the electrical properties electrodes of logic and memory devices.[12,164,165] Thin metal
of TiN films using TiCl4 generally exhibit better performance. films, such as Cu films, have been used in interconnections
MoN and NbN have been researched as next-generation metal for logic and memory devices, and electrode materials, such
thin films, as they have higher work functions than TiN.[156] as nitride TiN, have also been used as electrode materials for
A study using Ru and RuO2 as the lower electrodes was also DRAMs and metal-oxide-semiconductor field-effect transis-
reported as an attempt to reduce the leakage current when tors.[151,153,166–168] As the degree of integration of semiconductor
using a ZAZ dielectric film.[38,157,158] Ru and RuO2 can reduce devices increases, the thickness and width of metal thin films
the leakage current owing to Schottky conduction because their continues to decrease.
work function is more than 5 eV, and the leakage current was However, when the physical size of the metal thin film
considerably reduced when a Ru electrode was experimen- decreases, especially when the physical size of the thin film
tally introduced.[38,157,158] However, Ru, like Pt, is problematic becomes smaller than the electron mean free path (MFP) of
because of the technically immature ALD process and high the metal material, the resistance of the metal increases rap-
process costs. Accordingly, studies have been conducted to idly, which is known as the “size effect” of resistivity.[169] In gen-
reduce the leakage current of a TiN electrode by introducing an eral, the resistivity of a metal thin film increases to more than
extremely thin Ru layer of ≈1 nm. However, it remains difficult 1000% of its bulk value when the thin-film thickness is reduced
to introduce Ru into the ALD process.[159] to ≈5 nm.[153] When the TiN thin-film thickness decreases from
30 to 5 nm or less, the size effect increases the resistivity by
more than 1000%.[153]
5.2. Perovskite Electrodes The size effect of resistivity in metal thin films is a serious
problem in integrated circuits because it causes RC delays and
To secure a high capacitance density in DRAM capacitors, the performance degradation.[153] Accordingly, to successfully imple-
dead-layer effect at the interface between the perovskites and ment a next-generation memory device, it is necessary to develop
electrodes must be minimized.[139] Studies on the dead layer a metal thin-film material and a thin-film deposition process
are ongoing, and perovskite electrodes such as SRO have with low resistivity, even at a small physical scale of 5 nm or less.
been highlighted because much higher dielectric constants of
STO and BSTO were observed with SRO electrodes, owing to
coherent lattice matching between perovskite high-k thin films 5.4. Scattering Mechanism
and SRO electrodes.[160,161] Thus, it is necessary to develop per-
ovskite-structured metal electrodes using ALD for next-genera- The resistance of a metal appears to be caused by electron scat-
tion DRAM capacitors. tering, which is expressed as the sum of the resistance com-
There have been few reports on ALD SRO because of the ponents caused by various scattering sources.[169–172] ρFS is the
difficulties of growing SrO and RuO2 altogether.[162,163] A typ- resistivity component owing to surface scattering based on
ical difficulty is the chemisorption of the Ru precursor onto the Fuchs and Sondheimer (FS) model, and ρMS is the resis-
the SrO surface, which hinders the growth of SrO and RuO2. tivity component owing to grain boundary scattering based
Alternatively, there was an attempt to form SRO by growing Sr- on the Mayadas and Shatzkes (MS) model.[170–172] The resis-
rich STO films on Ru electrodes to overcome the growth prob- tivity size effect in a metal thin film occurs because the elec-
lems of SRO electrodes by ALD.[122,128] First, an Sr-rich STO trons lose momentum owing to increased scattering caused
seed layer was grown on a Ru electrode. Then, rapid thermal by surface scattering and grain boundary scattering.[170–172] The
annealing was performed to induce the formation of the SRO resistivity of a metal film ρtotal can be expressed as shown in
phase at the interface of the STO and Ru electrodes.[128] Subse- Equation (3):[168]
quently, a Ti-rich STO film was grown on the SRO phase, fol-
lowed by crystallization annealing at 600 °C. The inter-diffusion ρ total = ρ 0 + ∆ρ MS + ∆ρ FS (3)
of Sr-rich and Ti-rich STO layers and the crystallization of STO
by annealing were observed, with a final Sr composition of at This scattering mechanism is quantitatively explained by the
≈48%. It was reported that the dielectric constant of the STO FS model for surface scattering and the MS model for grain

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (18 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

boundary scattering, which were derived based on Boltzmann leakage current characteristics, therefore, their nitrides such as
transport theory.[173–175] The FS model for surface scattering WNx, CoNx, and MoNx are expected to be desirable.
explains the phenomenon of increasing resistance owing to
fully inelastic scattering and partially elastic scattering at the
surface.[170] It is expressed as a relative fraction of specular scat- 6. Summary and Outlook
tering, in which the momentum of the electrons is preserved
during surface collision and does not increase the specific In this review, advances in capacitor structure for the develop-
resistance. In contrast, in diffuse scattering, the electrons lose ment of next-generation DRAM capacitor technology and the
momentum during collision and increase the specific resist- corresponding development trends of high-k thin-film mate-
ance. The specular reflection parameter, in which the elec- rials and process technologies are summarized. After the cur-
tron momentum is conserved, is expressed as p, and the dif- rently used ZAZ stack in DRAM capacitors, HZO may be
fuse reflection parameter, in which the electron momentum is utilized as the next high-k material in the near future, and the
not conserved, is expressed as 1−p. The parameter p becomes ABO3 perovskite material is expected to follow.
a specularity parameter and has a value between 0 and 1, An electrode material with a low resistance and a high work
depending on the degree of scattering of electrons from the function is preferred as the capacitor electrode. More impor-
surface. When p reaches 1, the momentum of the electrons is tantly, an electrode material that can minimize the occurrence
conserved, and the resistivity of the metal does not increase. of the dead layer at the interface with a high-k thin film is actu-
The MS model describes the effect of electron scattering ally needed such as perovskite-structured electrode materials.
at the grain boundary and the resulting resistance change. It Based on these discussions, we addressed a future development
expresses the scattering at the grain boundary by assuming direction for DRAM capacitors, which is crucial to the develop-
the average particle diameter, D, is the distance, d, between ment of DRAMs.
the grain boundaries.[170–172] The MS model is expressed as the Through these efforts on the development of high-k and
reflection coefficient R that appears when electrons are scat- electrode thin-film materials, DRAM performances will be
tered at the grain boundary, and 1−R is the fraction of elec- improved. In addition, semiconductor companies have recently
trons that are transmitted without being scattered at the grain attempted to develop 3D DRAMs to overcome the limitations
boundary. The FS and MS models are expressed by the fol- of the current architecture. As with flash memory, the develop-
lowing Equations (4) and (5):[172] ment of 3D stacked DRAMs still requires the aforementioned
high-k and electrode materials and processes.
−1
 1 1 1 − exp ( −kt ) 

3
ρ FS = ρ 0 1 −   (1 − p ) ∫  3 − 5  dt  (4)
  2k   t t  1 − p exp ( −kt ) 
1
Acknowledgements
−1
 3 1  S.E.K. and J.Y.S. contributed equally to this work. S.W.L. was supported
ρ MS = ρ 0 1 − α + 3α 2 − 3α 3 ln  1 +   (5) by the Basic Science Research Program through the National Research
 2  α
Foundation of Korea (NRF), funded by the Ministry of Science, ICT,
and Future Planning (No. NRF-2022R1F1A1073990), and the Basic
k: L/λ, L: thickness, λ: MFP, p: specularity parameter, Research Laboratory Project of the Korean Government (MSIP) (No.
α = (λ/g)R/(1 − R), g: grain size, R: reflection coefficient. NRF-2020R1A4A1018935).
In both models, the change in the resistivity was propor-
tional to ρ0·λ/D,[170–172] where ρ0 is the bulk resistivity, λ is the
MFP, and D is the metal film thickness or grain size. There-
Conflict of Interest
fore, a metal thin film with a large grain size is advantageous
for achieving a low resistivity. Accordingly, the growth of the epi- The authors declare no conflict of interest.
taxial layer can significantly increase D at ρ0·λ/D, thereby low-
ering the resistivity of the thin metal film. Maintaining excellent
crystallinity has become a popular method for reducing grain Keywords
boundary scattering.[176–178] However, the growth of epitaxial
thin-film is limited because of requirements such as a lattice atomic layer deposition, capacitors, dynamic random access memory,
electrodes, high-k, thin films
matching with the underlying substrate and high-temperature
processes. Even if grain boundary scattering is weakened, sur- Received: May 31, 2022
face scattering still exists, and even in such cases, a material with Revised: July 11, 2022
a short MFP remains advantageous for realizing low resistivity. Published online: October 26, 2022
Noble metals exhibit short MFP values, such as Ru
(6.59–6.6 nm), Rh (6.88–7.5 nm), Pd (3.3 nm), Ir (8.1–8.65 nm), and
Pt (2.8 nm);[169,173,179] however, noble metals are not appropriate
for mass production owing to their high costs and processing [1] J. S. Meena, S. M. Sze, U. Chand, T.-Y. Tseng, Nanoscale Res. Lett.
difficulties. W, Co, and Mo have relatively short MFP values 2014, 9, 526.
of 15.5, 11.8, and 11.2, respectively, thus, they might be appro- [2] S. S. Kim, S. K. Yong, W. Kim, S. Kang, H. W. Park, K. J. Yoon,
priate candidates for electrode materials.[179] However, higher D. S. Sheen, S. Lee, C. S. Hwang, Adv. Mater. 2022, 2200659,
work function of metal electrodes (>4.7 eV) is required for low https://doi.org/10.1002/adma.202200659.

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (19 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

[3] C. S. Hwang, B. Dieny, MRS Bull. 2018, 43, 330. [45] P. K. Park, S.-W. Kang, Appl. Phys. Lett. 2006, 89, 192905.
[4] M. T. Okano, presented at ICMIT, Bangkok, Thai, September 2017. [46] Y. Zhou, N. Kojima, K. Sasaki, J. Phys. D: Appl. Phys. 2008, 41,
[5] S. Lee, presented at ISSCC, San Francisco, CA, USA, February 175414.
2018. [47] D.-Y. Cho, H. S. Jung, I.-H. Yu, J. H. Yoon, H. K. Kim, S. Y. Lee,
[6] A. Rashid, A. Chaturvedi, Int. J. Comput. Sci. Eng. 2019, 7, 421. S. H. Jeon, S. Han, J. H. Kim, T. J. Park, Chem. Mater. 2012, 24,
[7] R. A. Iannucci, ACM SIGARCH Comput. Archit. News 1988, 16, 131. 3534.
[8] F. Yazdanpanah, C. Alvarez-Martinez, D. Jimenez-Gonzalez, [48] M. H. Park, H. J. Kim, Y. J. Kim, T. Moon, C. S. Hwang, Appl. Phys.
Y. Etsion, IEEE Trans. Parallel Distrib. Syst. 2013, 25, 1489. Lett. 2014, 104, 072901.
[9] A. Badam, V. S. Pai, presented at NSDI, 2011, pp. 211–214. [49] R. C. Garvie, J. Phys. Chem. 1965, 69, 1238.
[10] S. Kim, presented at ADMS, Seattle, WA, USA, September 2011. [50] R. Garvie, J. Phys. Chem. 1978, 82, 218.
[11] S. K. Kim, M. Popovici, MRS Bull. 2018, 43, 334. [51] M. W. Pitcher, S. V. Ushakov, A. Navrotsky, B. F. Woodfield, G. Li,
[12] S. K. Kim, S. W. Lee, J. H. Han, B. Lee, S. Han, C. S. Hwang, Adv. J. Boerio-Goates, B. M. Tissue, J. Am. Ceram. Soc. 2005, 88, 160.
Funct. Mater. 2010, 20, 2989. [52] D.-S. Kil, presented at Digest of Technical Papers - Symposium on
[13] A. Spessot, H. Oh, IEEE Trans. Electron Devices 2020, 67, 1382. VLSI Technology, Honolulu, HI, USA, June 2006.
[14] J. Choe, presented at SISPAD, Dallas, TX, USA, September 2021. [53] J. Robertson, R. M. Wallace, Mater. Sci. Eng., R 2015, 88, 1.
[15] D.-H. Kim, presented at IEDM, San Francisco, CA, USA, April [54] F. Gossenberger, T. Roman, K. Forster-Tonigold, A. Groß, Beilstein
2004. J. Nanotechnol. 2014, 5, 152.
[16] K.-S. Kim, presented at WCECS, San Francisco, CA, USA, October [55] K. Cho, presented at EUROSOI-ULIS, Bologna, Italy, January 2015.
2012. [56] C. H. An, W. Lee, S. H. Kim, C. J. Cho, D. G. Kim, D. S. Kwon,
[17] D. E. Kotecki, Integr. Ferroelectr. 1997, 16, 1. S. T. Cho, S. H. Cha, J. I. Lim, W. Jeon, Phys. Status Solidi RRL 2019,
[18] J. Scott, Annu. Rev. Mater. Sci. 1998, 28, 79. 13, 1800454.
[19] C.-C. Hsu, US Patent 5,946,558, 1999. [57] S. D. Hyun, H. W. Park, M. H. Park, Y. H. Lee, Y. B. Lee, B. Y. Kim,
[20] M. Koyanagi, IEEE J. Solid-State Circuits 2008, 13, 37. H. H. Kim, B. S. Kim, C. S. Hwang, Adv. Electron. Mater. 2020, 6,
[21] H. Sunami, Advances in Solid State Circuit Technologies 2000631.
(Eds: P. K. Chu) 2010. [58] T. Böscke, J. Müller, D. Bräuhaus, U. Schröder, U. Böttger, Appl.
[22] S. K. Kim, G.-J. Choi, S. Y. Lee, M. Seo, S. W. Lee, J. H. Han, Phys. Lett. 2011, 99, 102903.
H.-S. Ahn, S. Han, C. S. Hwang, Adv. Mater. 2008, 20, 1429. [59] T. Böscke, S. Teichert, D. Bräuhaus, J. Müller, U. Schröder,
[23] G. C. Jegert, PhD Thesis, Technical University of Munich, (Munich, U. Böttger, T. Mikolajick, Appl. Phys. Lett. 2011, 99, 112904.
Germany) 2012. [60] M. H. Park, H. J. Kim, Y. J. Kim, W. Lee, T. Moon, K. D. Kim,
[24] M. Popovici, presented at IEDM, San Francisco, CA, USA, C. S. Hwang, Appl. Phys. Lett. 2014, 105, 072902.
December 2018. [61] M. H. Park, Y. H. Lee, H. J. Kim, Y. J. Kim, T. Moon, K. D. Kim,
[25] T. Suntola, J. Antson, U.S. Patent 4,058,430, 1977. J. Mueller, A. Kersch, U. Schroeder, T. Mikolajick, Adv. Mater. 2015,
[26] H. C. Knoops, T. Faraz, K. Arts, W. M. Kessels, J. Vac. Sci. Technol., 27, 1811.
A 2019, 37, 030902. [62] A. Q. Jiang, H. J. Lee, G. H. Kim, C. S. Hwang, Adv. Mater. 2009,
[27] S. M. George, Chem. Rev. 2010, 110, 111. 21, 2870.
[28] N. E. Richey, C. d. Paula, S. F. Bent, J. Chem. Phys. 2020, 152, [63] M. H. Park, H. J. Lee, G. H. Kim, Y. J. Kim, J. H. Kim, J. H. Lee,
040902. C. S. Hwang, Adv. Funct. Mater. 2011, 21, 4305.
[29] X. Wang, Chem. Mater. 2021, 33, 6251. [64] U. Schroeder, E. Yurchuk, J. Müller, D. Martin, T. Schenk,
[30] J. Sheng, J.-H. Lee, W.-H. Choi, T. Hong, M. Kim, J.-S. Park, J. Vac. P. Polakowski, C. Adelmann, M. I. Popovici, S. V. Kalinin,
Sci. Technol., A 2018, 36, 060801. T. Mikolajick, Jpn. J. Appl. Phys. 2014, 53, 08LE02.
[31] R. D. Clark, Materials 2014, 7, 2913. [65] J. Müller, presented at VLSIT, Honolulu, HI, USA, June 2012.
[32] J. H. Shim, H. J. Choi, Y. Kim, J. Torgersen, J. An, M. H. Lee, [66] M. H. Park, H. J. Kim, Y. J. Kim, T. Moon, K. D. Kim, C. S. Hwang,
F. B. Prinz, J. Mater. Chem. C 2017, 5, 8000. Nano Energy 2015, 12, 131.
[33] M. Esro, G. Vourlias, C. Somerton, W. I. Milne, G. Adamopoulos, [67] K. D. Kim, Y. H. Lee, T. Gwon, Y. J. Kim, H. J. Kim, T. Moon,
Adv. Funct. Mater. 2015, 25, 134. S. D. Hyun, H. W. Park, M. H. Park, C. S. Hwang, Nano Energy
[34] H. J. Cho, Y. D. Kim, D. S. Park, E. Lee, C. H. Park, J. S. Jang, 2017, 39, 390.
K. B. Lee, H. W. Kim, Y. J. Ki, I. K. Han, Solid-State Electron. 2007, [68] S. Smith, A. Kitahara, A. M. Rodriguez, M. Henry, M. Brumbach,
51, 1529. J. Ihlefeld, Appl. Phys. Lett. 2017, 110, 072901.
[35] M. B. Hachemi, B. Salem, V. Consonni, H. Roussel, A. Garraud, [69] J. Müller, T. Böscke, D. Bräuhaus, U. Schröder, U. Böttger,
G. Lefevre, S. Labau, S. Basrour, A. Bsiesy, AIP Adv. 2021, 11, J. Sundqvist, P. Kücher, T. Mikolajick, L. Frey, Appl. Phys. Lett. 2011,
085004. 99, 112901.
[36] K. Yim, Y. Yong, J. Lee, K. Lee, H.-H. Nahm, J. Yoo, C. Lee, [70] M. H. Park, H. J. Kim, Y. J. Kim, T. Moon, K. D. Kim, C. S. Hwang,
C. S. Hwang, S. Han, NPG Asia Mater. 2015, 7, e190. Adv. Energy Mater. 2014, 4, 1400610.
[37] S. Knebel, U. Schroeder, D. Zhou, T. Mikolajick, G. Krautheim, [71] A. Chernikova, M. Kozodaev, A. Markeev, Y. Matveev, D. Negrov,
IEEE Trans. Device Mater. Reliab. 2012, 14, 154. O. Orlov, Microelectron. Eng. 2015, 147, 15.
[38] W. Lee, C. H. An, S. Yoo, W. Jeon, M. J. Chung, S. H. Kim, [72] T. Mittmann, F. P. Fengler, C. Richter, M. H. Park, T. Mikolajick,
C. S. Hwang, Phys. Status Solidi RRL 2018, 12, 1800356. U. Schroeder, Microelectron. Eng. 2017, 178, 48.
[39] S. H. Cha, C. H. An, S. T. Cho, D.-G. Kim, D. S. Kwon, J. I. Lim, [73] J. Scott, Berlin, Springer, Ferrolectric Memories, Berlin 2000.
W. Jeon, C. S. Hwang, Phys. Status Solidi RRL 2019, 13, 1900282. [74] N. Setter, D. Damjanovic, L. Eng, G. Fox, S. Gevorgian, S. Hong,
[40] D. James, presented at ASMC, San Francisco, CA, USA, July 2010. A. Kingon, H. Kohlstedt, N. Park, G. Stephenson, J. Appl. Phys.
[41] D. Vanderbilt, X. Zhao, D. Ceresoli, Thin Solid Films 2005, 486, 125. 2006, 100, 109901.
[42] X. Zhao, D. Vanderbilt, Phys. Rev. B 2002, 65, 075105. [75] N. Setter, D. Damjanovic, L. Eng, G. Fox, S. Gevorgian, S. Hong,
[43] Y. W. Yoo, W. Jeon, W. Lee, C. H. An, S. K. Kim, C. S. Hwang, ACS A. Kingon, H. Kohlstedt, N. Park, G. Stephenson, I. Stolitchnov,
Appl. Mater. Interfaces 2014, 6, 22474. A. K. Taganstev, D. V. Taylor, T. Yamada, S. Streiffer, J. Appl. Phys.
[44] S. K. Kim, C. S. Hwang, Electrochem. Solid-State Lett. 2007, 11, G9. 2006, 100, 051606.

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (20 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

[76] E. Bersch, S. Rangan, R. A. Bartynski, E. Garfunkel, E. Vescovo, [109] S. K. Kim, S. Han, J. H. Han, W. Lee, C. S. Hwang, Phys. Status
Phys. Rev. B 2008, 78, 085114. Solidi RRL 2011, 5, 262.
[77] M. H. Park, C. S. Hwang, Rep. Prog. Phys. 2019, 82, 124502. [110] J. H. Han, S. Han, W. Lee, S. W. Lee, S. K. Kim, J. Gatineau,
[78] J. Muller, T. S. Boscke, U. Schroder, S. Mueller, D. Brauhaus, C. Dussarrat, C. S. Hwang, Appl. Phys. Lett. 2011, 99, 022901.
U. Bottger, L. Frey, T. Mikolajick, Nano Lett. 2012, 12, 4318. [111] J. Aarik, B. Hudec, K. Hušeková, R. Rammula, A. Kasikov,
[79] C. Kittel, Phys. Rev. 1951, 82, 729. T. Arroval, T. Uustare, K. Fröhlich, Semicond. Sci. Technol. 2012, 27,
[80] B. Y. Kim, H. W. Park, S. D. Hyun, Y. B. Lee, S. H. Lee, M. Oh, 074007.
S. K. Ryoo, I. S. Lee, S. Byun, D. Shim, Adv. Electron. Mater. 2021, [112] E. A. R. Assirey, Saudi Pharm. J. 2019, 27, 817.
2100042. [113] A. Weidenkaff, Adv. Eng. Mater. 2004, 6, 709.
[81] S. Starschich, T. Schenk, U. Schroeder, U. Boettger, Appl. Phys. [114] H. C. Wang, Z. Bao, H. Y. Tsai, A. C. Tang, R. S. Liu, Small 2018,
Lett. 2017, 110, 182905. 14, 1702433.
[82] A. F. Devonshire, London, Edinburgh Dublin Philos. Mag. J. Sci. [115] T. Wu, P. Gao, Materials 2018, 11, 999.
1949, 40, 1040. [116] L. Xiong, Y. Guo, J. Wen, H. Liu, G. Yang, P. Qin, G. Fang, Adv.
[83] O. Ohtaka, H. Fukui, T. Kunisada, T. Fujisawa, K. Funakoshi, Funct. Mater. 2018, 28, 1802757.
W. Utsumi, T. Irifune, K. Kuroda, T. Kikegawa, J. Am. Ceram. Soc. [117] D. Deak, Mater. Sci. Technol. 2007, 23, 127.
2001, 84, 1369. [118] F. He, B. Wells, S. Shapiro, Phys. Rev. Lett. 2005, 94, 176101.
[84] K. Chae, J. Hwang, E. Chagarov, A. Kummel, K. Cho, J. Appl. Phys. [119] D. Fuchs, C. Schneider, R. Schneider, H. Rietschel, J. Appl. Phys.
2020, 128, 054101. 1999, 85, 7362.
[85] S. E. Reyes-Lillo, K. F. Garrity, K. M. Rabe, Phys. Rev. B 2014, 90, 140103. [120] M. D. McDaniel, T. Q. Ngo, S. Hu, A. Posadas, A. A. Demkov,
[86] R. Materlik, C. Künneth, A. Kersch, J. Appl. Phys. 2015, 117, 134109. J. G. Ekerdt, Appl. Phys. Rev. 2015, 2, 041301.
[87] S.-C. Chang, presented at IEDM, San Francisco, CA, USA, [121] M. D. McDaniel, A. Posadas, T. Q. Ngo, A. Dhamdhere,
December 2020. D. J. Smith, A. A. Demkov, J. G. Ekerdt, J. Vac. Sci. Technol., A 2013,
[88] U. Diebold, Surf. Sci. Rep. 2003, 48, 53. 31, 01A136.
[89] M. Osada, Y. Ebina, H. Funakubo, S. Yokoyama, T. Kiguchi, [122] N. Menou, M. Popovici, S. Clima, K. Opsomer, W. Polspoel,
K. Takada, T. Sasaki, Adv. Mater. 2006, 18, 1023. B. Kaczer, G. Rampelberg, K. Tomida, M. Pawlak, C. Detavernier, J.
[90] I. Djerdj, A. Tonejc, M. Bijelić, V. Vranes, A. Turković, Vacuum Appl. Phys. 2009, 106, 094101.
2005, 80, 371. [123] S. W. Lee, J. H. Han, S. Han, W. Lee, J. H. Jang, M. Seo, S. K. Kim,
[91] S. K. Kim, S. Hoffmann-Eifert, S. Mi, R. Waser, J. Electrochem. Soc. C. Dussarrat, J. Gatineau, Y.-S. Min, Chem. Mater. 2011, 23,
2009, 156, D296. 2227.
[92] J. Aarik, A. Aidla, T. Uustare, K. Kukli, V. Sammelselg, M. Ritala, [124] S. W. Lee, O. S. Kwon, J. H. Han, C. S. Hwang, Appl. Phys. Lett.
M. Leskelä, Appl. Surf. Sci. 2002, 193, 277. 2008, 92, 222903.
[93] M. Schuisky, J. Aarik, K. Kukli, A. Aidla, A. Hårsta, Langmuir 2001, [125] S. Rentrop, T. Moebus, B. Abendroth, R. Strohmeyer, A. Schmid,
17, 5508. T. Weling, J. Hanzig, F. Hanzig, H. Stöcker, D. Meyer, Thin Solid
[94] S. K. Kim, W.-D. Kim, K.-M. Kim, C. S. Hwang, J. Jeong, Appl. Phys. Films 2014, 550, 53.
Lett. 2004, 85, 4112. [126] S. Rentrop, B. Abendroth, J. Walter, J. Rensberg, W. Münchgesang,
[95] M. Kadoshima, M. Hiratani, Y. Shimamoto, K. Torii, H. Miki, R. Strohmeyer, H. Stöcker, C. Ronning, S. Gemming, D. Meyer,
S. Kimura, T. Nabatame, Thin Solid Films 2003, 424, 224. Thin Solid Films 2015, 577, 134.
[96] S. K. Kim, G. W. Hwang, W.-D. Kim, C. S. Hwang, Electrochem. [127] M. Popovici, K. Tomida, J. Swerts, P. Favia, A. Delabie, H. Bender,
Solid-State Lett. 2005, 9, F5. C. Adelmann, H. Tielens, B. Brijs, B. Kaczer, Phys. Status Solidi A
[97] S. K. Kim, S. Y. Lee, M. Seo, G.-J. Choi, C. S. Hwang, J. Appl. Phys. 2011, 208, 1920.
2007, 102, 024109. [128] J. Kittl, K. Opsomer, M. Popovici, N. Menou, B. Kaczer, X. P. Wang,
[98] H. Wang, S. Xu, R. G. Gordon, Electrochem. Solid-State Lett. 2010, C. Adelmann, M. Pawlak, K. Tomida, A. Rothschild, Microelectron.
13, G75. Eng. 2009, 86, 1789.
[99] A. Chaker, P. Szkutnik, J. Pointet, P. Gonon, C. Vallée, A. Bsiesy, [129] J. Swerts, M. Popovici, B. Kaczer, M. Aoulaiche, A. Redolfi,
J. Appl. Phys. 2016, 120, 085315. S. Clima, C. Caillat, W. C. Wang, V. V. Afanas’ev, N. Jourdan, IEEE
[100] K. Fröhlich, M. Ťapajna, A. Rosová, E. Dobročka, K. Hušeková, Electron Device Lett. 2014, 35, 753.
J. Aarik, A. Aidla, Electrochem. Solid-State Lett. 2008, 11, G19. [130] S. H. Kim, W. Lee, C. H. An, Y. Kim, D. S. Kwon, D.-G. Kim,
[101] K. Fröhlich, J. Aarik, M. Ťapajna, A. Rosová, A. Aidla, E. Dobročka, S. H. Cha, S. T. Cho, J. Lim, C. S. Hwang, Phys. Status Solidi RRL
K. Hušková, J. Vac. Sci. Technol., B: Microelectron. Nanometer 2019, 13, 1900373.
Struct.–Process., Meas., Phenom. 2009, 27, 266. [131] G. Samara, Ferroelectrics 1971, 2, 277.
[102] J. Aarik, A. Aidla, H. Mändar, T. Uustare, M. Schuisky, A. Hårsta, [132] H. Tabata, H. Tanaka, T. Kawai, Appl. Phys. Lett. 1994, 65, 1970.
J. Cryst. Growth 2002, 242, 189. [133] S. Mukherjee, D. Phuyal, C. U. Segre, S. Das, O. Karis,
[103] M. Schuisky, A. Hårsta, A. Aidla, K. Kukli, A. A. Kiisler, J. Aarik, T. Edvinsson, H. Rensmo, J. Phys. Chem. C 2021, 125, 14910.
J. Electrochem. Soc. 2000, 147, 3319. [134] D. E. Kotecki, J. D. Baniecki, H. Shen, R. B. Laibowitz,
[104] S. W. Lee, J. H. Han, S. K. Kim, S. Han, W. Lee, C. S. Hwang, K. L. Saenger, J. J. Lian, T. M. Shaw, S. D. Athavale, C. Cabral,
Chem. Mater. 2011, 23, 976. P. R. Duncombe, IBM J. Res. Dev. 1999, 43, 367.
[105] M. Green, M. Gross, L. Papa, K. Schnoes, D. Brasen, J. Electro- [135] T. T. Le, J. G. Ekerdt, J. Vac. Sci. Technol., A 2020, 38, 032401.
chem. Soc. 1985, 132, 2677. [136] A. B. Afif, A. L. Dadlani, S. Burgmann, P. Köllensperger,
[106] S. K. Kim, G. J. Choi, J. H. Kim, C. S. Hwang, Chem. Mater. 2008, J. Torgersen, Mater. Des. Process. Commun. 2020, 2, e115.
20, 3723. [137] C. Henkel, S. Abermann, O. Bethge, E. Bertagnolli, Semicond. Sci.
[107] A. Von Richthofen, R. Cremer, R. Domnick, D. Neuschütz, Thin Technol. 2009, 24, 125013.
Solid Films 1998, 315, 66. [138] C. S. Hwang, J. Appl. Phys. 2002, 92, 432.
[108] L. Aarik, T. Arroval, R. Rammula, H. Mändar, V. Sammelselg, [139] M. Stengel, N. A. Spaldin, Nature 2006, 443, 679.
B. Hudec, K. Hušeková, K. Fröhlich, J. Aarik, Thin Solid Films 2014, [140] J. Lee, L. Kim, J. Kim, D. Jung, U. V. Waghmare, J. Appl. Phys. 2006,
565, 19. 100, 051613.

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (21 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

[141] M. Majdoub, R. Maranganti, P. Sharma, Phys. Rev. B 2009, 79, [160] H. Zhang, R. Qi, N. Ding, R. Huang, L. Sun, C. Duan, C. A. Fisher,
115412. J. Chu, Y. Ikuhara, AIP Adv. 2016, 6, 015010.
[142] C. T. Black, J. J. Welser, IEEE Trans. Electron Devices 1999, 46, 776. [161] Y. Gu, C. Song, Q. Zhang, F. Li, H. Tan, K. Xu, J. Li, M. S. Saleem,
[143] K. Natori, D. Otani, N. Sano, Appl. Phys. Lett. 1998, 73, 632. M. U. Fayaz, J. Peng, F. Hu, L. Gu, W. Liu, Z. Zhang, F. Pan, ACS
[144] M. Saad, P. Baxter, R. Bowman, J. Gregg, F. Morrison, J. Scott, Appl. Mater. Interfaces 2020, 12, 6707.
J. Phys.: Condens. Matter 2004, 16, L451. [162] J. H. Han, W. Lee, W. Jeon, S. W. Lee, C. S. Hwang, C. Ko,
[145] M. Osada, T. Sasaki, Adv. Mater. 2012, 24, 210. J. Gatineau, Chem. Mater. 2012, 24, 4686.
[146] B.-W. Li, M. Osada, T. C. Ozawa, Y. Ebina, K. Akatsuka, R. Ma, [163] J.-H. Ahn, J.-Y. Kim, Microelectron. Eng. 2016, 149, 62.
H. Funakubo, T. Sasaki, ACS Nano 2010, 4, 6673. [164] P. C. Andricacos, C. Uzoh, J. O. Dukovic, J. Horkans, H. Deligianni,
[147] J. M. Hwang, S.-M. Han, H. Yang, S. Yeo, S.-H. Lee, C. W. Park, IBM J. Res. Dev. 1998, 42, 567.
G. H. Kim, B. K. Park, Y. Byun, T. Eom, T.-M. Chung, J. Mater. [165] C. Zhao, J. Xiang, Appl. Sci. 2019, 9, 2388.
Chem. C 2021, 9, 3820. [166] E. N. Shauly, J. Low Power Electron. Appl. 2018, 8, 20.
[148] T. Aaltonen, M. Ritala, Y.-L. Tung, Y. Chi, K. Arstila, K. Meinander, [167] M. Ritala, M. Leskelä, E. Rauhala, P. Haussalo, J. Electrochem. Soc.
M. Leskelä, J. Mater. Res. 2004, 19, 3353. 1995, 142, 2731.
[149] C. Ahn, S. Cho, H. Lee, K. Park, S. Jeong, Met. Mater. Int. 2001, 7, 621. [168] Y. Mochizuki, Y. Okamoto, A. Ishitani, K. Hirose, T. T. T. Takada,
[150] K.-E. Elers, J. Winkler, K. Weeks, S. Marcus, J. Electrochem. Soc. Jpn. J. Appl. Phys. 1995, 34, L326.
2005, 152, G589. [169] E. Milosevic, S. Kerdsongpanya, A. Zangiabadi, K. Barmak,
[151] M. Q. Snyder, B. A. McCool, J. DiCarlo, C. P. Tripp, W. J. DeSisto, K. R. Coffey, D. Gall, J. Appl. Phys. 2018, 124, 165105.
Thin Solid Films 2006, 514, 97. [170] K. Fuchs, Math. Proc. Cambridge Philos. Soc. 1938, 34, 100.
[152] W. Zhang, presented at ICEPT, Xi’an, China, August 2010. [171] E. H. Sondheimer, Adv. Phys. 2001, 50, 499.
[153] H. J. Lee, J. H. Hwang, J.-Y. Park, S. W. Lee, ACS Appl. Electron. [172] A. Mayadas, M. Shatzkes, Phys. Rev. B 1970, 1, 1382.
Mater. 2021, 3, 999. [173] S. Dutta, K. Sankaran, K. Moors, G. Pourtois, S. Van Elshocht,
[154] H. K. Kim, J. Y. Kim, J. Y. Park, Y. Kim, Y. D. Kim, H. Jeon, J. Bömmels, W. Vandervorst, Z. Tőkei, C. Adelmann, J. Appl. Phys.
W. M. Kim, J. Korean Phys. Soc. 2002, 41, 739. 2017, 122, 025107.
[155] J. Elam, M. Schuisky, J. D. Ferguson, S. George, Thin Solid Films [174] K. Sankaran, presented at IITC, Santa Clara, CA, USA, June 2018.
2003, 436, 145. [175] D. Gall, presented at IITC, Santa Clara, CA, USA, June 2018.
[156] P. Alén, Thin Solid Films 2005, 491, 235. [176] P. Sandvik, K. Mi, F. Shahedipour, R. McClintock, A. Yasan,
[157] W. Jeon, Y. Kim, C. H. An, C. S. Hwang, P. Gonon, C. Vallée, IEEE P. Kung, M. Razeghi, J. Cryst. Growth 2001, 231, 366.
Trans. Electron Devices 2018, 65, 660. [177] A. Mogilatenko, V. Küller, A. Knauer, J. Jeschke, U. Zeimer,
[158] C. H. An, W. Lee, S. H. Kim, C. J. Cho, D.-G. Kim, D. S. Kwon, M. Weyers, G. Tränkle, J. Cryst. Growth 2014, 402, 222.
S. T. Cho, S. H. Cha, J. I. Lim, W. Jeon, C. S. Hwang, Phys. Status [178] S. H. Lee, K. M. Lee, Y.-B. Kim, Y.-J. Moon, S. B. Kim, D. Bae,
Solidi RRL 2019, 13, 1800454. T. J. Kim, Y. D. Kim, S.-K. Kim, S. W. Lee, J. Alloys Compd. 2019,
[159] D. S. Kwon, C. H. An, S. H. Kim, D. G. Kim, J. Lim, W. Jeon, 780, 400.
C. S. Hwang, J. Mater. Chem. C 2020, 8, 6993. [179] D. Gall, J. Appl. Phys. 2016, 119, 085101.

Se Eun Kim is a Ph.D. candidate under the supervision of Prof. Sang Woon Lee at Ajou University.
She received her bachelor’s and master’s degrees from the Department of Physics at Ajou
University. Her research interests involve advanced high-k and electrode thin films using ALD for
memory devices.

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (22 of 23) © 2022 Wiley-VCH GmbH
2365709x, 2023, 20, Downloaded from https://onlinelibrary.wiley.com/doi/10.1002/admt.202200878 by National Cheng Kung University, Wiley Online Library on [17/12/2023]. See the Terms and Conditions (https://onlinelibrary.wiley.com/terms-and-conditions) on Wiley Online Library for rules of use; OA articles are governed by the applicable Creative Commons License
www.advancedsciencenews.com www.advmattechnol.de

Ju Young Sung is a Ph.D. student under the supervision of Prof. Sang Woon Lee at Ajou
University. She received her bachelor’s degree in the electronical and computer engineering at
Ajou University. She pursues the development of advanced metal thin films for next-generation
memory devices.

Sang Woon Lee is an associate professor in the Department of Physics and Department of Energy
Systems Research at Ajou University. He received his bachelor’s and Ph.D. degrees from Seoul
National University (Department of Materials Science and Engineering). During his postdoc, he
researched on 2D electron gas at oxide heterostructures for memory/transistor applications using
ALD at Harvard University. His current research focuses on advanced thin film materials and
processes for next-generation memories and transistors.

Adv. Mater. Technol. 2023, 8, 2200878 2200878 (23 of 23) © 2022 Wiley-VCH GmbH

You might also like