You are on page 1of 45

1 2 3 4 5 6 7 8

MA7 BLOCK DIAGRAM


CPU THERMAL Yonah / CALISTOGA / ICH-7m
14.318MHz
SENSOR
MAX1993 VGACORE
A
CPU Yonah/Merom PG 3 (1.2V/NB_CORE/1.25V) A
PG 42
CPUCLK,CPUCLK# CLOCK GEN
479 Pins (uPGA) ICSXXXX
56pins CPU CORE ISL6260+6208
PG 3,4 HTREFCLK OSC14M POWER 1.2V/44A PG 43
NBSRCCLK, NBSRCCLK#
SBLINKCLK, SBLINKCLK#
DDR2_1.8VSUS, 0.9V
PG 2
FSB
VIDEO RAM PG 41
GDDR3 PG 21
SYSTEM MAX8743 POWER(3/5V)
LCD Panel
DDRII 533/667 MHz PG 39
DDRII-SODIMM1 ATI M54P
NORTH BRIDGE PG 22
PG 11
Calistoga PCI-EXPRESS
MAX8743 1.5VS_5/+1.05V/2.5V
DDRII 533/667 MHz 880 PCBGA
1466 BGA CRT port
DDRII-SODIMM2 INTEGRADED VGA FUNCTION
PG 40
PG 11 PG 23
B PG 5,6,7,8,9,10 CHARGER MAX8724 & B
FINGER PRINT SELECTER
USB7
PG 16,17,18,19,20 S-VIDEO PG 37,38
PG 35 DMI LINK
32.768KHz FORCalistoga (LCD/CRT/S-VIDEO) PG 23
4X PCI-E
Bluetooth DISCHARGE
USB6 NBSRCCLK, NBSRCCLK# PG 36
PG 31
33MHZ, 3.3V PCI
USB PORT X 4 USB 2.0
USB0~3 PG 33 PCI-E
ICH7-M 24.576MHz 48MHz

PATA 652 BGA


FIX ODD Azalia
PG 32
Azalia MINI-Card CARDBUS / IEEE 1394
Marvell LAN X1 CONTROLLER/CF
SATA PG12,13,14,15 STAC-9250
Internal HDD C1A & C2A 88E8036 TI PCI-8412
PG 32 PG 24,25
C PG 29 PG 31 PG 26,27,28 C
25MHz

MODEM AMP 4 IN 1 CARDBUS 1394


DAA CARD SLOT X1 CONN
MAX9710ETP READER
SD/MMC,
LPC PG 30 PG 30 SM, MS
32.768KHz PG 27 PG 26
PG 28
WIRE

PCI DEVICES IRQ ROUTING


RJ11 JACK RJ45
PC87541V JACK HEADPHONE, JACK DEVICE IDSEL # REQ/GNT # PCI_INT
2ND HEADPHONE,
CardBus/1394 AD25 2 B,C,D
MIC
D TQFP 176 PG 24 PG 30 PG 24 D
PIN
PG 34

PROJECT : MA7
FAN Touchpad Keyboard FLASH Quanta Computer Inc.
Size Document Number Rev
Custom 3A
PG 35 PG 35 PG 35 PG 34 BLOCK DIAGRAM
Date: Friday, December 23, 2005 Sheet 1 of 45
1 2 3 4 5 6 7 8
A B C D E

FSC FSB FSA CPU SRC PCI L26


ACB2012L-120-T 25 mils
1 0 1 100 100 33 +3V VDD_SRC_CPU +3V
(3,7,8,9,11,12,13,14,15,16,17,18,19,22,23,24,28,31,32,34,35,36,39,42,43) +3V

0 0 1 133 100 33 Default 120 ohms@100Mhz C697 C456 C447 C693 C455 +1.05V
(3,4,5,7,8,9,12,15,36,40) +1.05V
0.1U 0.1U 0.1U 0.1U 10U-6.3V_8
0 1 1 166 100 33
0 1 0 200 100 33 R506 2.2/F_6 VDD_A

0 0 0 266 100 33 C696 C695


0.1U 10U-6.3V_8
1 0 0 333 100 33 VDD_A
4 Close to IC <500mils 4
1 1 0 400 100 33 C411 33P CG_XIN
1 1 1 200 100 33

37

38
Y3 CL=20 - 22P U14 Place these termination to close CK410M.
25 mils 14.318MHZ 50 52 14M_REF R240 33

VDDA

GNDA
XTAL_IN REF0 14M_ICH (14)
L44
ACB2012L-120-T C451 33P CG_XOUT RHCLK_CPU RP38 1 2 33X2

1
49 XTAL_OUT CPU0 44 CLK_CPU_BCLK (3)
VDD_PCI +3V R281 *10K 43 RHCLK_CPU# 3 4 C395
+3V CPU0# CLK_CPU_BCLK# (3)
*10P
120 ohms@100Mhz C452 C461 C701 (43) VR_PWRGD_CK410# VR_PWRGD_CK410# 10 41 RHCLK_MCH RP39 1 2 33X2
Vtt_PwrGd#/PD CPU1 CLK_MCH_BCLK (5)
0.1U 0.1U 10U-6.3V_8 (14) PM_STPPCI# PM_STPPCI# 55 40 RHCLK_MCH# 3 4
PCI/SRC_STOP# CPU1# CLK_MCH_BCLK# (5)
PM_STPCPU# 54
(14) PM_STPCPU# CPU_STOP#
36
Place these termination
CPU2_ITP/SRC7 T220
R516 2.2/F_6 VDD_48
C700 (11,31) CGCLK_SMB
CGCLK_SMB
CGDAT_SMB CPU2#_ITP/SRC7# 35 T221 to close CK410M.
C462 10U-6.3V_8 (11,31) CGDAT_SMB RSRC_MINICARD1 RP41 1 2 33X2 RP35 49.9/FX2
0.1U R305 15 R_48M
46 SCLK CK-410M SRC6 33
RSRC_MINICARD1#
SRC_MINICARD1 (31)
CLK_CPU_BCLK
(14) CLKUSB_48 47 SDATA SRC6# 32 3 4 SRC_MINICARD1# (31) 1 2
(28) CLK48M R316 15 CLK_CPU_BCLK# 3 4
CLK_BSEL0 R315 2.2K 12 31 R_PCIE_LAN RP42 1 2 33X2 RP36 49.9/FX2
FSA/USB_48MHz SRC5 CLK_PCIE_LAN (24)
CLK_BSEL1 16 30 R_PCIE_LAN# 3 4 CLK_MCH_BCLK 1 2
VDD_REF FSB/TEST_MODE SRC5# CLK_PCIE_LAN# (24)
R515 1_6 CLK_BSEL2 R238 4.7K 53 CLK_MCH_BCLK# 3 4
C699 FSC/REF1 R_SATA RP48 3
SRC4_SATA 26 4 33X2 CLK_PCIE_SATA (12)
C698 VDD_REF 48 27 R_SATA# 1 2
VDD_REF SRC4#_SATA CLK_PCIE_SATA# (12)
0.1U 10U-6.3V_8 VDD_SRC_CPU 42 VDD_CPU R_PCIE_PEG RP47 3
SRC3 24 4 33X2 CLK_PCIE_PEG (16)
VDD_PCI 1 25 R_PCIE_PEG# 1 2
VDD_PCI_1 SRC3# CLK_PCIE_PEG# (16)
7 RP51 49.9/FX2
+3V VDD_PCI_2 R_MCH RP46 3
3
SRC2 22 4 33X2 CLK_PCIE_3GPLL (7)
CLK_PCIE_ICH# 1 2 3
U16 VDD_SRC_CPU 21 23 R_MCH# 1 2 CLK_PCIE_ICH 3 4
VDD_SRC0 SRC2# CLK_PCIE_3GPLL# (7)
5 1 28 RP55 49.9/FX2
VR_PWRGD_CK410# VDD_SRC1 R_PCIE_ICH RP45 3
2 34 VDD_SRC2 SRC1 19 4 33X2 CLK_PCIE_ICH (13)
CLK_PCIE_LAN 1 2
4 3 20 R_PCIE_ICH# 1 2 CLK_PCIE_LAN# 3 4
(14) VR_PWRGD_CK410 SRC1# CLK_PCIE_ICH# (13)
VDD_48 11 RP58 49.9/FX2
SN74LVC1G04DCKR VDD_48 R_DREFSSCLK RP44 3
SRC0/DREFSSCLK 17 4 33X2 DREFSSCLK (7)
SRC_MINICARD1 1 2
Iref=5mA, R509 475/F_6 IREF 39 18 R_DREFSSCLK# 1 2 SRC_MINICARD1# 3 4
IREF SRC0#/DREFSSCLK# DREFSSCLK# (7)
Ioh=4*Iref
5 R_PCLK_551 R284 33
PCI5 PCLK_551 (34)
4 R_PCLK_7412 R283 33
PCI4 PCLK_7412 (26)
RP43 3 RP54 49.9/FX2

GND_PCI_1
GND_PCI_2
PCI3 T225

GND_SRC
GND_CPU
DREFCLK R_DOT96 CLK_PCIE_SATA#

GND_REF
(7) DREFCLK 1 2 14 DOT96MHz PCI2 56 T222 1 2

GND_48
DREFCLK# 3 4 R_DOT96# 15 9 R_PCLK_ICH R304 33 CLK_PCIE_SATA 3 4
(7) DREFCLK# DOT96MHz# PCIF1/100_96M# PCLK_ICH (13)
8 R_PCLK R303 33
PCIF0/ITP_EN PCLK_LPC_DEBUG (31)
33X2
R285 10K
ICS954206AG-T RP53 49.9/FX2

13
51

29
45
R313 *10K CLK_PCIE_PEG#

2
6
+3V 1 2
CLK_PCIE_PEG 3 4
RP52 49.9/FX2
R314 *10K CLK_PCIE_3GPLL# 1 2
+3V
CLK_PCIE_3GPLL 3 4
SMbus address D2 /IDT R286 10K

IDT CV140 /56pins CLK ATI INTEL


DREFSSCLK Frequency Select. RP50 49.9/FX2
ICS954206AGT /56pins "0" : 96MHz RP53 49.9 X DREFSSCLK# 1 2
"1" : 100MHz RP47 33 X DREFSSCLK 3 4
2 RP49 49.9/FX2 2
DREFCLK# 1 2
DREFCLK 3 4

+3V

+1.05V R318 56

R317 0 CLK_BSEL0 R320 1K MCH_BSEL0 (7) R241 R242


(3) CPU_BSEL0
10K 10K

2
R319 1K Q14 PCLK_ICH
R328 *0 RHU002N06 PCLK_551
3 1 CGDAT_SMB PCLK_7412
(14) PDAT_SMB
XDP_BPM#1 (3)
+3V

+1.05V R236 1K
2

Q15
RHU002N06
R233 0 CLK_BSEL1 R234 1K MCH_BSEL1 (7) 3 1 CGCLK_SMB
(3) CPU_BSEL1 (14) PCLK_SMB
C472 C473 C478
R235 *0 These are for backdrive issue
R220 *0 15P *10P 15P

XDP_BPM#2 (3)
1 1

Stuff 0 ohm for 533MHz, NC for 667MHz

+1.05V R223 1K

R224 0 CLK_BSEL2 R222 1K


PROJECT : MA7
(3) CPU_BSEL2 MCH_BSEL2 (7)
R225 *0
Quanta Computer Inc.
R221 *0 Size Document Number Rev
Custom 3A
XDP_BPM#3 (3)
CLOCK GENERATOR
Date: Friday, December 23, 2005 Sheet 2 of 45
A B C D E
5 4 3 2 1

T27
U44A
(5) H_A#[31:3] +1.05V
H_A#3 J4 H1
A[3]# ADS# H_ADS# (5)
H_A#4 L4 E2
A[4]# BNR# H_BNR# (5)
H_A#5 M3 G5 +1.05V +1.05V (2,4,5,7,8,9,12,15,36,40)
A[5]# BPRI# H_BPRI# (5)
H_A#6 K5
H_A#7 M1 A[6]#
A[7]# DEFER# H5 H_DEFER# (5)

ADDR GROUP 0
H_A#8 N2 F21
A[8]# DRDY# H_DRDY# (5)
H_A#9 J1 E1 R23 Near to CPU <500mils
A[9]# DBSY# H_DBSY# (5)
H_A#10 N3 56

CONTROL
H_A#11 P5 A[10]#
A[11]# BR0# F1 H_BREQ#0 (5)
D H_A#12 P2 D
H_A#13 L1 A[12]#
A[13]# IERR# D20 (5) H_D#[63:0] H_D#[63:0] (5)
H_A#14 P4 B3
A[14]# INIT# H_INIT# (12)
H_A#15 P1 U44B
A[15]# T190
H_A#16 R1 H4 H_D#0 E22 AA23 H_D#32
A[16]# LOCK# H_LOCK# (5) D[0]# D[32]#
L2 H_D#1 F24 AB24 H_D#33
(5) H_ADSTB#0 ADSTB[0]# H_CPURST# (5) D[1]# D[33]#
B1 H_D#2 E26 V24 H_D#34
(5) H_REQ#[4:0] RESET# D[2]# D[34]#
H_REQ#0 K3 F3 H_RS#0 H_D#3 H22 V26 H_D#35
REQ[0]# RS[0]# D[3]# D[35]#

DATA GRP 0
H_REQ#1 H_RS#1 H_D#4 F23 W25 H_D#36

DATA GRP 2
H2 REQ[1]# RS[1]# F4 D[4]# D[36]#
H_REQ#2 K2 G3 H_RS#2 H_RS#[2:0] (5) H_D#5 G25 U23 H_D#37
H_REQ#3 REQ[2]# RS[2]# H_D#6 E25 D[5]# D[37]#
J3 REQ[3]# TRDY# G2 H_TRDY# (5) D[6]# D[38]# U25 H_D#38
H_REQ#4 L5 H_D#7 E23 U22 H_D#39
REQ[4]# T26 D[7]# D[39]#
G6 H_D#8 K24 AB25 H_D#40
(5) H_A#[31:3] HIT# H_HIT# (5) D[8]# D[40]#
H_A#17 Y2 E4 H_D#9 G24 W22 H_D#41
A[17]# HITM# H_HITM# (5) D[9]# D[41]#
H_A#18 U5 H_D#10 J24 Y23 H_D#42
A[18]# T32 D[10 D[42]#
H_A#19 R3 AD4 XDP PU_R < 0.2" H_D#11 J23 AA26 H_D#43
A[19]# BPM[0]# XDP_BPM#0 D[11]# D[43]#
H_A#20 W6 AD3 H_D#12 H26 Y26 H_D#44
A[20]# BPM[1]# XDP_BPM#1 (2) D[12]# D[44]#
H_A#21 H_D#13 F26 Y22 H_D#45

XDP/ITP SIGNALS
U4 A[21]# BPM[2]# AD1 XDP_BPM#2 (2) D[13]# D[45]#
H_A#22 Y5 AC4 H_D#14 K22 AC26 H_D#46
A[22]# BPM[3]# XDP_BPM#3 (2) D[14]# D[46]#
H_A#23 U2 A[23]# PRDY# AC2 XDP_BPM#4 T205
H_D#15 H25
D[15]# D[47]# AA24 H_D#47
H_A#24 R4 AC1 XDP_BPM#5 H23 W24
A[24]# PREQ# +1.05V +1.05V (5) H_DSTBN#0 DSTBN[0]# DSTBN[2]# H_DSTBN#2 (5)
H_A#25 T5 AC5 XDP_TCK G22 Y25
A[25]# TCK (5) H_DSTBP#0 DSTBP[0]# DSTBP[2]# H_DSTBP#2 (5)
H_A#26 T3 AA6 XDP_TDI J26 V23
A[26]# TDI (5) H_DINV#0 DINV[0]# DINV[2]# H_DINV#2 (5)
H_A#27 W3 AB3 XDP_TDO
C A[27]# TDO T206 (5) H_D#[63:0] H_D#[63:0] (5) C
H_A#28 W5 AB5 XDP_TMS R21
H_A#29 A[28]# TMS XDP_TRST# 56 R674 H_D#16 N22
Y4 A[29]# TRST# AB6 D[16]# D[48]# AC22 H_D#48
H_A#30 W2 C20 XDP_DBRESET# *330 H_D#17 K25 AC23 H_D#49
A[30]# DBR# XDP_DBRESET# (14) D[17]# D[49]#
H_A#31 Y1 H_D#18 P26 AB22 H_D#50
A[31]# D[18]# D[50]#

2
(5) H_ADSTB#1 V4 ADSTB[1]# PROCHOT D21 H_PROCHOT# H_D#19 R23
D[19]# D[51]# AA21 H_D#51

DATA GRP 1
H_THERMDA H_D#20 L25 AB21 H_D#52

DATA GRP 3
THERMDA A24 D[20]# D[52]#
THERM

A6 A25 H_THERMDC 1 3 H_D#21 L22 AC25 H_D#53


(12) H_A20M# A20M# THERMDC VR_TT# (43) D[21]# D[53]#
A5 H_D#22 L23 AD20 H_D#54
(12) H_FERR# FERR# Q52 D[22]# D[54]#
C4 C7 H_D#23 M23 AE22 H_D#55
(12) H_IGNNE# IGNNE# THERMTRIP# PM_THRMTRIP# (7,12) *MMBT3904 D[23]# D[55]#
T28 H_D#24 P25 AF23 H_D#56
R55 0 H_STPCLK_R# D5 H_D#25 P22 D[24]# D[56]#
(12) H_STPCLK# STPCLK# D[25]# D[57]# AD24 H_D#57
C6 H_D#26 P23 AE21 H_D#58
H CLK

(12) H_INTR LINT0 T8 +1.05V D[26]# D[58]#


(12) H_NMI B4 A22 H_D#27 T24 AD21 H_D#59
LINT1 BCLK[0] CLK_CPU_BCLK (2) D[27]# D[59]#
(12) H_SMI# A3 A21 H_D#28 R24 AE25 H_D#60
SMI# BCLK[1] CLK_CPU_BCLK# (2) D[28]# D[60]#
H_D#29 L26 AF25 H_D#61
T7 D[29]# D[61]#
T200 TP_A32# AA1 H_D#30 T25 AF22 H_D#62
T202 RSVD[01]# D[30]# D[62]#
TP_A33# AA4 RSVD[02]# RSVD[12]# T22 TP_EXTBREF T9
R15 H_D#31 N24
D[31]# D[63]# AF26 H_D#63 25/25mils
T204 TP_A34# AB2 1K/F M24 AD23
RSVD[03]# (5) H_DSTBN#1 DSTBN[1]# DSTBN[3]# H_DSTBN#3 (5)
T201 TP_A35#
RESERVED

AA3 RSVD[04]# (5) H_DSTBP#1 N25 DSTBP[1]# DSTBP[3]# AE24 H_DSTBP#3 (5)
T196 TP_A36# M4 D2 TP_SPARE0 M26 AC20
RSVD[05]# RSVD[13]# T21 (5) H_DINV#1 DINV[1]# DINV[3]# H_DINV#3 (5) +1.05V
T197 TP_A37# N5 F6 TP_SPARE1
RSVD[06]# RSVD[14]# T12
T198 TP_A38# T2 D3 TP_SPARE2 H_GTLREF AD26 R26 COMP0 R17 27.4/F
RSVD[07]# RSVD[15]# T16 GTLREF COMP[0]
T199 TP_A39# V3 C1 TP_SPARE3 MISC U26 COMP1 R16 54.9/F
RSVD[08]# RSVD[16]# T195 COMP[1]
B T194 TP_APM0# B2 AF1 TP_SPARE4 U1 COMP2 R62 27.4/F B
RSVD[09]# RSVD[17]# T203 COMP[2]
T17 TP_APM1# C3 D22 TP_SPARE5 R678 *1K C26 V1 COMP3 R61 54.9/F
RSVD[10]# RSVD[18]# T5 20/15mils TEST1 COMP[3] T193
C23 TP_SPARE6 R54
RSVD[19]# T6 R677 51 T33
T191 TP_HFPLL B25 C24 TP_SPARE7 D25 E5 *200
RSVD[11]# RSVD[20]# T192 TEST2 DPRSTP# ICH_DPRSTP# (12,43)
R14 B5
DPSLP# H_DPSLP# (12)
PZ47903-2741-01 2K/F D24
Layout note: 0.5" max for GTLREF DPWR# H_DPWR# (5)
(2) CPU_BSEL0 B22 BSEL[0] PWRGOOD D6 H_PWRGD (12)
(2) CPU_BSEL1 B23 BSEL[1] SLP# D7 H_CPUSLP# (5,12)
(11,17) THCLK_SMB (2) CPU_BSEL2 C21 BSEL[2] PSI# AE6 PSI# (43)
+3V +3V PZ47903-2741-01
XDP_DBRESET# R19 *54.9/F
+1.05V
2

Q51 R654 220_6 6648VCC


RHU002N06
XDP PU_R < 0.2" +1.05V ABCLK 3 1 THCLK_SMB
(34) ABCLK
C835
XDP_TMS R59 54.9/F R660 R650 R640 R675 0.1U
10K 10K 10K *10K
(11,17) THDAT_SMB
U41
+3V
2

XDP_TDI R60 54.9/F Q50 8 1


RHU002N06 SCLK VCC H_THERMDA
ABDATA 3 1 THDAT_SMB 7 2
(34) ABDATA SDA DXP C830
A XDP_BPM#5 R58 54.9/F R636 *0 6 3 2200P_6 A
(14,34) THERM_ALERT# ALERT# DXN
+3V 4 5 H_THERMDC
OVERT# GND
2

XDP_TCK R56 54.9/F Q48


RHU002N06 G781P8
PROJECT : MA7
(39) SYS_SHDN# 3 1 6648OVERT# ADDRESS: 98H Quanta Computer Inc.
XDP_TRST# R57 54.9/F Size Document Number Rev
B 3A
CPU(1 OF 2 )
Date: Friday, December 23, 2005 Sheet 3 of 45
5 4 3 2 1
5 4 3 2 1

U44D
VCC_CORE VCC_CORE A4 P6
+1.05V VSS[001] VSS[082]
+1.05V (2,3,5,7,8,9,12,15,36,40) A8 VSS[002] VSS[083] P21
U44C VCC_CORE VCC_CORE (36,43) A11 P24
+1.5V VSS[003] VSS[084]
A7 VCC[001] VCC[68] AB20 +1.5V (7,8,9,13,15,31,36,40) A14 VSS[004] VSS[085] R2
A9 VCC[002] VCC[69] AB7 A16 VSS[005] VSS[086] R5
A10 VCC[003] VCC[70] AC7 A19 VSS[006] VSS[087] R22
A12 VCC[004] VCC[71] AC9 A23 VSS[007] VSS[088] R25
A13 VCC[005] VCC[72] AC12 A26 VSS[008] VSS[089] T1
A15 VCC[006] VCC[73] AC13 B6 VSS[009] VSS[090] T4
D D
A17 VCC[007] VCC[74] AC15 B8 VSS[010] VSS[091] T23
A18 VCC[008] VCC[75] AC17 B11 VSS[011] VSS[092] T26
A20 VCC[009] VCC[76] AC18 B13 VSS[012] VSS[093] U3
B7 VCC[010] VCC[77] AD7 B16 VSS[013] VSS[094] U6
B9 VCC[011] VCC[78] AD9 B19 VSS[014] VSS[095] U21
B10 VCC[012] VCC[79] AD10 B21 VSS[015] VSS[096] U24
VCC_CORE B12 AD12 B24 V2
VCC[013] VCC[80] VSS[016] VSS[097]
B14 VCC[014] VCC[81] AD14 C5 VSS[017] VSS[098] V5
B15 VCC[015] VCC[82] AD15 C8 VSS[018] VSS[099] V22
B17 VCC[016] VCC[83] AD17 C11 VSS[019] VSS[100] V25
B18 VCC[017] VCC[84] AD18 C14 VSS[020] VSS[101] W1
C105 C846 C847 C851 B20 AE9 C16 W4
22U-10V_8 22U-10V_8 22U-10V_8 22U-10V_8 VCC[018] VCC[85] VSS[021] VSS[102]
C9 VCC[019] VCC[86] AE10 C19 VSS[022] VSS[103] W23
C10 VCC[020] VCC[87] AE12 C2 VSS[023] VSS[104] W26
C12 VCC[021] VCC[88] AE13 C22 VSS[024] VSS[105] Y3
C13 VCC[022] VCC[89] AE15 C25 VSS[025] VSS[106] Y6
C15 VCC[023] VCC[90] AE17 D1 VSS[026] VSS[107] Y21
C68 C110 C850 C71 C17 AE18 D4 Y24
22U-10V_8 22U-10V_8 22U-10V_8 22U-10V_8 VCC[024] VCC[91] VSS[027] VSS[108]
C18 VCC[025] VCC[92] AE20 D8 VSS[028] VSS[109] AA2
D9 VCC[026] VCC[93] AF9 D11 VSS[029] VSS[110] AA5
D10 VCC[027] VCC[94] AF10 D13 VSS[030] VSS[111] AA8
D12 VCC[028] VCC[95] AF12 D16 VSS[031] VSS[112] AA11
D14 VCC[029] VCC[96] AF14 D19 VSS[032] VSS[113] AA14
C57 C70 C848 C841 D15 AF15 D23 AA16
C VCC[030] VCC[97] VSS[033] VSS[114] C
22U-10V_8 22U-10V_8 22U-10V_8 22U-10V_8 D17 AF17 D26 AA19
VCC[031] VCC[98] VSS[034] VSS[115]
D18 VCC[032] VCC[99] AF18 E3 VSS[035] VSS[116] AA22
E7 AF20 +1.05V E6 AA25
VCC[033] VCC[100] VSS[036] VSS[117]
E9 VCC[034] E8 VSS[037] VSS[118] AB1
E10 VCC[035] VCCP[01] V6 E11 VSS[038] VSS[119] AB4
C843 C101 C83 C96 E12 G21 E14 AB8
22U-10V_8 22U-10V_8 22U-10V_8 22U-10V_8 VCC[036] VCCP[02] C140 VSS[039] VSS[120]
E13 VCC[037] VCCP[03] J6 E16 VSS[040] VSS[121] AB11
E15 K6 + 330U/2.5V/ESR-9/POS E19 AB13
VCC[038] VCCP[04] VSS[041] VSS[122]
E17 VCC[039] VCCP[05] M6 E21 VSS[042] VSS[123] AB16
E18 VCC[040] VCCP[06] J21 E24 VSS[043] VSS[124] AB19
E20 VCC[041] VCCP[07] K21 F5 VSS[044] VSS[125] AB23
C84 C85 C842 C86 F7 M21 F8 AB26
22U-10V_8 22U-10V_8 22U-10V_8 22U-10V_8 VCC[042] VCCP[08] VSS[045] VSS[126]
F9 VCC[043] VCCP[09] N21 F11 VSS[046] VSS[127] AC3
F10 VCC[044] VCCP[10] N6 F13 VSS[047] VSS[128] AC6
F12 VCC[045] VCCP[11] R21 F16 VSS[048] VSS[129] AC8
F14 VCC[046] VCCP[12] R6 F19 VSS[049] VSS[130] AC11
F15 VCC[047] VCCP[13] T21 F2 VSS[050] VSS[131] AC14
C67 C31 C61 C853 F17 T6 F22 AC16
22U-10V_8 22U-10V_8 22U-10V_8 22U-10V_8 VCC[048] VCCP[14] +1.5V VSS[051] VSS[132]
F18 VCC[049] VCCP[15] V21 F25 VSS[052] VSS[133] AC19
F20 W21 +1.5V G4 AC21
VCC[050] VCCP[16] VSS[053] VSS[134]
AA7 VCC[051] G1 VSS[054] VSS[135] AC24
AA9 VCC[052] VCCA B26 G23 VSS[055] VSS[136] AD2
AA10 VCC[053] G26 VSS[056] VSS[137] AD5
B C845 C844 C43 C104 AA12 H3 AD8 B
22U-10V_8 22U-10V_8 22U-10V_8 22U-10V_8 VCC[054] C45 C95 VSS[057] VSS[138]
AA13 VCC[055] VID[0] AD6 H_VID0 (43) H6 VSS[058] VSS[139] AD11
VCC_CORE
AA15 VCC[056] VID[1] AF5 H_VID1 (43) H21 VSS[059] VSS[140] AD13
AA17 AE5 0.01U 10U-6.3V_8 H24 AD16
VCC[057] VID[2] H_VID2 (43) VSS[060] VSS[141]
AA18 VCC[058] VID[3] AF4 H_VID3 (43) J2 VSS[061] VSS[142] AD19
AA20 VCC[059] VID[4] AE3 H_VID4 (43) J5 VSS[062] VSS[143] AD22
C62 C39 C852 C111 AB9 AF2 J22 AD25
VCC[060] VID[5] H_VID5 (43) VSS[063] VSS[144]
22U-10V_8 22U-10V_8 22U-10V_8 22U-10V_8 AC10 AE2 J25 AE1
VCC[061] VID[6] H_VID6 (43) VSS[064] VSS[145]
AB10 R689 K1 AE4
VCC[062] 100/F VSS[065] VSS[146]
AB12 VCC[063] K4 VSS[066] VSS[147] AE8
AB14 VCC[064] K23 VSS[067] VSS[148] AE11
AB15 VCC[065] VCCSENSE AF7 VCCSENSE (43) K26 VSS[068] VSS[149] AE14
AB17 VCC[066] L3 VSS[069] VSS[150] AE16
AB18 VCC[067] VSSSENSE AE7 VSSSENSE (43) L6 VSS[070] VSS[151] AE19
L21 VSS[071] VSS[152] AE23
PZ47903-2741-01 L24 AE26
Connect to PWM , special layout VSS[072] VSS[153]
M2 VSS[073] VSS[154] AF3
R690 M5 AF6
100/F VSS[074] VSS[155]
M22 VSS[075] VSS[156] AF8
M25 VSS[076] VSS[157] AF11
VCC_CORE N1 AF13
+1.05V VSS[077] VSS[158]
N4 VSS[078] VSS[159] AF16
N23 VSS[079] VSS[160] AF19
N26 VSS[080] VSS[161] AF21
A C41 C107 C40 C108 C127 C126 P3 AF24 A
C58 C87 C849 C89 VSS[081] VSS[162]
22U-10V_8 22U-10V_8 22U-10V_8 22U-10V_8 0.1U_6 0.1U_6 0.1U_6 0.1U_6 0.1U_6 0.1U_6 PZ47903-2741-01

PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
B 3A
CPU(2 OF 2 )
Date: Friday, December 23, 2005 Sheet 4 of 45
5 4 3 2 1
5 4 3 2 1

H_XRCOMP
(3) H_D#[63:0] H_A#[31:3] (3)
U34A
H_D#0 F1 H9 H_A#3
R585 H_D#1 H_D#_0 H_A#_3 H_A#4 +1.05V
15 mils/10mils J1 H_D#_1 H_A#_4 C9 +1.05V (2,3,4,7,8,9,12,15,36,40)
24.9/F_6 H_D#2 H1 E11 H_A#5
H_D#3 H_D#_2 H_A#_5 H_A#6
J6 H_D#_3 H_A#_6 G11
H_D#4 H3 F11 H_A#7
H_D#5 H_D#_4 H_A#_7 H_A#8
K2 H_D#_5 H_A#_8 G12
H_D#6 G1 F9 H_A#9
H_D#7 H_D#_6 H_A#_9 H_A#10
G2 H_D#_7 H_A#_10 H11
D H_D#8 H_A#11 D
K9 H_D#_8 H_A#_11 J12
+1.05V H_D#9 K1 G14 H_A#12
H_D#10 H_D#_9 H_A#_12 H_A#13
K7 H_D#_10 H_A#_13 D9
H_D#11 J8 J14 H_A#14
H_D#12 H_D#_11 H_A#_14 H_A#15
H4 H_D#_12 H_A#_15 H13
R35 H_D#13 J3 J15 H_A#16
54.9/F H_D#14 H_D#_13 H_A#_16 H_A#17
K11 H_D#_14 H_A#_17 F14
H_D#15 G4 D12 H_A#18
H_XSCOMP H_D#16 H_D#_15 H_A#_18 H_A#19
T10 H_D#_16 H_A#_19 A11
H_D#17 W11 C11 H_A#20
H_D#18 H_D#_17 H_A#_20 H_A#21
T3 H_D#_18 H_A#_21 A12
H_D#19 U7 A13 H_A#22
+1.05V H_D#20 H_D#_19 H_A#_22 H_A#23
U9 H_D#_20 H_A#_23 E13
H_D#21 U11 G13 H_A#24
H_D#22 H_D#_21 H_A#_24 H_A#25
T11 H_D#_22 H_A#_25 F12
H_D#23 W9 B12 H_A#26
H_D#24 H_D#_23 H_A#_26 H_A#27
T1 H_D#_24 H_A#_27 B14
R33 H_D#25 T8 C12 H_A#28
221/F H_D#26 H_D#_25 H_A#_28 H_A#29 +1.05V
T4 H_D#_26 H_A#_29 A14
H_D#27 W7 C14 H_A#30
H_XSWING H_D#28 H_D#_27 H_A#_30 H_A#31
U5 H_D#_28 H_A#_31 D14
H_D#29 T9
H_D#30 H_D#_29 R30
W6 H_D#_30 H_ADS# E8 H_ADS# (3)
H_D#31 T5 B9 100/F
C H_D#_31 H_ADSTB#_0 H_ADSTB#0 (3) C
R34 C82 H_D#32 AB7 C13
H_D#_32 H_ADSTB#_1 H_ADSTB#1 (3)
100/F 0.1U H_D#33 AA9 J13 H_VREF
H_D#34 H_D#_33 H_VREF_0
W4 H_D#_34 H_BNR# C6 H_BNR# (3)
H_D#35 W3 F6 C76

HOST
H_D#_35 H_BPRI# H_BPRI# (3)
H_D#36 Y3 C7 0.1U R29
H_D#_36 H_BREQ#0 H_BREQ#0 (3)
H_D#37 Y7 B7 R604 0 200/F
H_D#_37 H_CPURST# H_CPURST# (3)
H_D#38 W5 A7
H_D#_38 H_DBSY# H_DBSY# (3)
H_D#39 Y10 C3
+1.05V H_D#_39 H_DEFER# H_DEFER# (3)
H_D#40 AB8 J9
H_D#_40 H_DPWR# H_DPWR# (3)
H_D#41 W2 H8
H_D#_41 H_DRDY# H_DRDY# (3)
H_D#42 AA4 K13 H_VREF
H_D#43 H_D#_42 H_VREF_1
AA7 H_D#_43 H_DINV#[3:0] (3)
R577 H_D#44 AA2 J7 H_DINV#0 C75
54.9/F H_D#45 H_D#_44 H_DINV#_0 H_DINV#1 0.1U
AA6 H_D#_45 H_DINV#_1 W8
H_D#46 AA10 U3 H_DINV#2
H_YSCOMP H_D#47 H_D#_46 H_DINV#_2 H_DINV#3
Y8 H_D#_47 H_DINV#_3 AB10
H_D#48 AA1 H_D#_48 H_DSTBN#[3:0] (3)
H_D#49 AB4 K4 H_DSTBN#0
H_D#50 H_D#_49 H_DSTBN#_0 H_DSTBN#1
AC9 H_D#_50 H_DSTBN#_1 T7
+1.05V H_D#51 AB11 Y5 H_DSTBN#2
H_D#52 H_D#_51 H_DSTBN#_2 H_DSTBN#3
AC11 H_D#_52 H_DSTBN#_3 AC4
H_D#53 AB3 H_D#_53 H_DSTBP#[3:0] (3)
H_D#54 AC2 K3 H_DSTBP#0
H_D#55 H_D#_54 H_DSTBP#_0 H_DSTBP#1
B AD1 H_D#_55 H_DSTBP#_1 T6 B
R575 H_D#56 AD9 AA5 H_DSTBP#2
221/F H_D#57 H_D#_56 H_DSTBP#_2 H_DSTBP#3
AC1 H_D#_57 H_DSTBP#_3 AC5
H_D#58 AD7
H_YSWING H_D#59 H_D#_58
AC6 H_D#_59
H_D#60 AB5 D3
H_D#_60 H_HIT# H_HIT# (3)
H_D#61 AD10 D4
H_D#_61 H_HITM# H_HITM# (3)
H_D#62 AD4 B3
H_D#_62 H_LOCK# H_LOCK# (3)
R573 C797 H_D#63 AC8
100/F 0.1U H_D#_63
H_XRCOMP E1 H_XRCOMP H_REQ#[4:0] (3)
H_XSCOMP E2 D8 H_REQ#0
H_XSWING H_XSCOMP H_REQ#_0 H_REQ#1
E4 H_XSWING H_REQ#_1 G8
B8 H_REQ#2
H_YRCOMP H_REQ#_2 H_REQ#3
Y1 H_YRCOMP H_REQ#_3 F8
H_YSCOMP U1 A8 H_REQ#4
H_YRCOMP H_YSWING H_YSCOMP H_REQ#_4
W1 H_YSWING H_RS#[2:0] (3)
T170
AG2
H_RS#_0 B4
E6
H_RS#0
H_RS#1
PROJECT : MA7
(2) CLK_MCH_BCLK H_CLKIN H_RS#_1
R571
24.9/F_6
(2) CLK_MCH_BCLK# AG1 H_CLKIN# H_RS#_2 D6 H_RS#2 Quanta Computer Inc.
T169 E3 Size Document Number Rev
H_SLPCPU# H_CPUSLP# (3,12)
15 mils/10mils B 3A
Short Stub < 100mils H_TRDY# E7 H_TRDY# (3) GMCH HOST(1 OF 6 )
A Calistoga Date: Friday, December 23, 2005 Sheet 5 of 45 A
extract from same point

5 4 3 2 1
5 4 3 2 1

(11) M_B_DQ[63:0]
U34E
M_B_DQ0 AK39
D M_B_DQ1 SB_DQ0 D
AJ37 SB_DQ1 SB_BS_0 AT24 M_B_BS#0 (11)
M_B_DQ2 AP39 AV23
SB_DQ2 SB_BS_1 M_B_BS#1 (11)
M_B_DQ3 AR41 AY28
SB_DQ3 SB_BS_2 M_B_BS#2 (11)
M_B_DQ4 AJ38 SB_DQ4 M_B_CAS# (11)
M_B_DQ5 AK38 AR24
SB_DQ5 SB_CAS# M_B_DM[7:0] (11)
M_B_DQ6 AN41 AK36 M_B_DM0
M_B_DQ7 SB_DQ6 SB_DM_0 M_B_DM1
AP41 SB_DQ7 SB_DM_1 AR38
M_B_DQ8 AT40 AT36 M_B_DM2
M_B_DQ9 SB_DQ8 SB_DM_2 M_B_DM3
AV41 SB_DQ9 SB_DM_3 BA31
M_B_DQ10 AU38 AL17 M_B_DM4
M_B_DQ11 SB_DQ10 SB_DM_4 M_B_DM5
AV38 SB_DQ11 SB_DM_5 AH8
M_B_DQ12 AP38 BA5 M_B_DM6
(11) M_A_DQ[63:0] SB_DQ12 SB_DM_6
U34D M_B_DQ13 AR40 AN4 M_B_DM7
M_A_DQ0 M_A_BS#0 M_B_DQ14 SB_DQ13 SB_DM_7
AJ35 SA_DQ0 SA_BS_0 AU12 M_A_BS#0 (11) AW38 SB_DQ14 M_B_DQS[7:0] (11)
M_A_DQ1 AJ34 AV14 M_A_BS#1 M_B_DQ15 AY38 AM39 M_B_DQS0

B
M_A_DQ2 SA_DQ1 SA_BS_1 M_A_BS#1 (11) SB_DQ15 SB_DQS_0
AM31 BA20 M_A_BS#2 M_B_DQ16 BA38 AT39 M_B_DQS1
M_A_DQ3 SA_DQ2 SA_BS_2 M_A_BS#2 (11) SB_DQ16 SB_DQS_1
AM33 M_B_DQ17 AV36 AU35 M_B_DQS2
M_A_DQ4 SA_DQ3 M_A_CAS# (11) SB_DQ17 SB_DQS_2
AJ36 AY13 M_A_CAS# M_B_DQ18 AR36 AR29 M_B_DQS3
SA_DQ4 SA_CAS# M_A_DM[7:0] (11) SB_DQ18 SB_DQS_3
M_A_DQ5 AK35 AJ33 M_A_DM0 M_B_DQ19 AP36 AR16 M_B_DQS4
M_A_DQ6 SA_DQ5 SA_DM_0 M_A_DM1 M_B_DQ20 SB_DQ19 SB_DQS_4 M_B_DQS5
AJ32 AM35 BA36 AR10

MEMORY
M_A_DQ7 SA_DQ6 SA_DM_1 M_A_DM2 M_B_DQ21 SB_DQ20 SB_DQS_5 M_B_DQS6
AH31 SA_DQ7 SA_DM_2 AL26 AU36 SB_DQ21 SB_DQS_6 AR7
M_A_DQ8 AN35 AN22 M_A_DM3 M_B_DQ22 AP35 AN5 M_B_DQS7
M_A_DQ9 SA_DQ8 SA_DM_3 SB_DQ22 SB_DQS_7 M_B_DQS#[7:0] (11)
AP33 AM14 M_A_DM4 M_B_DQ23 AP34 AM40 M_B_DQS#0
M_A_DQ10 SA_DQ9 SA_DM_4 M_A_DM5 M_B_DQ24 SB_DQ23 SB_DQS#_0 M_B_DQS#1
C AR31 SA_DQ10 SA_DM_5 AL9 AY33 SB_DQ24 SB_DQS#_1 AU39 C
M_A_DQ11 AP31 AR3 M_A_DM6 M_B_DQ25 BA33 AT35 M_B_DQS#2
M_A_DQ12 SA_DQ11 SA_DM_6 M_A_DM7 M_B_DQ26 SB_DQ25 SB_DQS#_2 M_B_DQS#3
AN38 SA_DQ12 SA_DM_7 AH4 AT31 SB_DQ26 SB_DQS#_3 AP29
M_A_DQ13 AM36 M_B_DQ27 AU29 AP16 M_B_DQS#4
M_A_DQ14 SA_DQ13 M_A_DQS[7:0] (11) SB_DQ27 SB_DQS#_4
AM34 AK33 M_A_DQS0 M_B_DQ28 AU31 AT10 M_B_DQS#5
M_A_DQ15
M_A_DQ16
AN33
AK26
SA_DQ14
SA_DQ15
SA_DQ16
A SA_DQS_0
SA_DQS_1
SA_DQS_2
AT33
AN28
M_A_DQS1
M_A_DQS2
M_B_DQ29
M_B_DQ30
AW31
AV29
SB_DQ28
SB_DQ29
SB_DQ30
SB_DQS#_5
SB_DQS#_6
SB_DQS#_7
AT7
AP5
M_B_DQS#6
M_B_DQS#7
M_A_DQ17 AL27 AM22 M_A_DQS3 M_B_DQ31 AW29
M_A_DQ18 SA_DQ17 SA_DQS_3 SB_DQ31 M_B_A[13:0] (11)
AM26 AN12 M_A_DQS4 M_B_DQ32 AM19 AY23 M_B_A0
M_A_DQ19 SA_DQ18 SA_DQS_4 M_A_DQS5 M_B_DQ33 SB_DQ32 SB_MA_0 M_B_A1

SYSTEM
AN24 AN8 AL19 AW24
MEMORY
M_A_DQ20 SA_DQ19 SA_DQS_5 M_A_DQS6 M_B_DQ34 SB_DQ33 SB_MA_1 M_B_A2
AK28 SA_DQ20 SA_DQS_6 AP3 AP14 SB_DQ34 SB_MA_2 AY24
M_A_DQ21 AL28 AG5 M_A_DQS7 M_B_DQ35 AN14 AR28 M_B_A3
SA_DQ21 SA_DQS_7 M_A_DQS#[7:0] (11) SB_DQ35 SB_MA_3
M_A_DQ22 AM24 AK32 M_A_DQS#0 M_B_DQ36 AN17 AT27 M_B_A4
M_A_DQ23 SA_DQ22 SA_DQS#_0 M_A_DQS#1 M_B_DQ37 SB_DQ36 SB_MA_4 M_B_A5
AP26 SA_DQ23 SA_DQS#_1 AU33 AM16 SB_DQ37 SB_MA_5 AT28
M_A_DQ24 AP23 AN27 M_A_DQS#2 M_B_DQ38 AP15 AU27 M_B_A6
M_A_DQ25 SA_DQ24 SA_DQS#_2 M_A_DQS#3 M_B_DQ39 SB_DQ38 SB_MA_6 M_B_A7
AL22 SA_DQ25 SA_DQS#_3 AM21 AL15 SB_DQ39 SB_MA_7 AV28
M_A_DQ26 AP21 AM12 M_A_DQS#4 M_B_DQ40 AJ11 AV27 M_B_A8
M_A_DQ27 SA_DQ26 SA_DQS#_4 M_A_DQS#5 M_B_DQ41 SB_DQ40 SB_MA_8 M_B_A9
AN20 SA_DQ27 SA_DQS#_5 AL8 AH10 SB_DQ41 SB_MA_9 AW27
M_A_DQ28 AL23 AN3 M_A_DQS#6 M_B_DQ42 AJ9 AV24 M_B_A10
M_A_DQ29 SA_DQ28 SA_DQS#_6 M_A_DQS#7 M_B_DQ43 SB_DQ42 SB_MA_10 M_B_A11
AP24 SA_DQ29 SA_DQS#_7 AH5 AN10 SB_DQ43 SB_MA_11 BA27
M_A_DQ30 AP20 M_B_DQ44 AK13 AY27 M_B_A12
SA_DQ30 M_A_A[13:0] (11) SB_DQ44 SB_MA_12
M_A_DQ31 AT21 AY16 M_A_A0 M_B_DQ45 AH11 AR23 M_B_A13
SA_DQ31 SA_MA_0 SB_DQ45 SB_MA_13

DDR
M_A_DQ32 M_A_A1 M_B_DQ46
SYSTEM

AR12 SA_DQ32 SA_MA_1 AU14 AK10 SB_DQ46


M_A_DQ33 AR14 AW16 M_A_A2 M_B_DQ47 AJ8 AU23
SA_DQ33 SA_MA_2 SB_DQ47 SB_RAS# M_B_RAS# (11)
B M_A_DQ34 AP13 BA16 M_A_A3 M_B_DQ48 BA10 AK16 TP_MB_RCVENIN# B
SA_DQ34 SA_MA_3 SB_DQ48 SB_RCVENIN# T19
M_A_DQ35 AP12 BA17 M_A_A4 M_B_DQ49 AW10 AK18 TP_MB_RCVENOUT#
SA_DQ35 SA_MA_4 SB_DQ49 SB_RCVENOUT# T25
M_A_DQ36 AT13 AU16 M_A_A5 M_B_DQ50 BA4 AR27
SA_DQ36 SA_MA_5 SB_DQ50 SB_WE# M_B_WE# (11)
M_A_DQ37 AT12 AV17 M_A_A6 M_B_DQ51 AW4
M_A_DQ38 SA_DQ37 SA_MA_6 M_A_A7 M_B_DQ52 SB_DQ51
AL14 SA_DQ38 SA_MA_7 AU17 AY10 SB_DQ52
M_A_DQ39 AL12 AW17 M_A_A8 M_B_DQ53 AY9
M_A_DQ40 SA_DQ39 SA_MA_8 M_A_A9 M_B_DQ54 SB_DQ53
AK9 SA_DQ40 SA_MA_9 AT16 AW5 SB_DQ54
M_A_DQ41 AN7 AU13 M_A_A10 M_B_DQ55 AY5
M_A_DQ42 SA_DQ41 SA_MA_10 M_A_A11 M_B_DQ56 SB_DQ55
AK8 SA_DQ42 SA_MA_11 AT17 AV4 SB_DQ56
M_A_DQ43 AK7 AV20 M_A_A12 M_B_DQ57 AR5
M_A_DQ44 SA_DQ43 SA_MA_12 M_A_A13 M_B_DQ58 SB_DQ57
AP9 SA_DQ44 SA_MA_13 AV12 AK4 SB_DQ58
DDR

M_A_DQ45 AN9 M_B_DQ59 AK3


M_A_DQ46 SA_DQ45 M_B_DQ60 SB_DQ59
AT5 SA_DQ46 SA_RAS# AW14 M_A_RAS# (11) AT4 SB_DQ60
M_A_DQ47 AL5 AK23 TP_MA_RCVENIN# M_B_DQ61 AK5
SA_DQ47 SA_RCVENIN# T30 SB_DQ61
M_A_DQ48 AY2 AK24 TP_MA_RCVENOUT# M_B_DQ62 AJ5
SA_DQ48 SA_RCVENOUT# T36 SB_DQ62
M_A_DQ49 AW2 AY14 M_B_DQ63 AJ3
SA_DQ49 SA_WE# M_A_WE# (11) SB_DQ63
M_A_DQ50 AP1
M_A_DQ51 SA_DQ50 Calistoga
AN2 SA_DQ51
M_A_DQ52 AV2
M_A_DQ53 SA_DQ52
AT3 SA_DQ53
M_A_DQ54 AN1
M_A_DQ55 SA_DQ54
AL2 SA_DQ55
M_A_DQ56 AG7
M_A_DQ57 SA_DQ56
AF9 SA_DQ57
A M_A_DQ58 AG4 A
M_A_DQ59 SA_DQ58
AF6 SA_DQ59
M_A_DQ60 AG9
M_A_DQ61 SA_DQ60
AH6 SA_DQ61
M_A_DQ62
M_A_DQ63
AF4
AF8
SA_DQ62 PROJECT : MA7
SA_DQ63
Calistoga
Quanta Computer Inc.
Size Document Number Rev
B 3A
GMCH DDR(2 OF 6)
Date: Friday, December 23, 2005 Sheet 6 of 45
5 4 3 2 1
5 4 3 2 1

U34B SMDDR_VREF_MCH SMDDR_VREF_MCH R561 0 SMDDR_VREF


T42 CLK_MCH_OE# H32 20mils/20mils space
T41 MCH_RSVD_1 RSVD_0 R673 *100K +V1.5_PCIE
T32 RSVD_1 SM_CK_0 AY35 M_CLK_DDR0 (11)
T40 MCH_RSVD_2 R32 AR1 R560 *10K/F_6 1.8VSUS
RSVD_2 SM_CK_1 M_CLK_DDR1 (11)
T175 MCH_RSVD_3 F3 AW7 R672 *100K U34C
RSVD_3 SM_CK_2 M_CLK_DDR2 (11)
T183 MCH_RSVD_4 F7 AW40 (22) DPST_PWM D32 D40 EXP_A_COMPX R583 24.9/F_6
RSVD_4 SM_CK_3 M_CLK_DDR3 (11) L_BKLTCTL EXP_A_COMPI

RSVD
T13 MCH_RSVD_5 AG11 R559 (18,22) BLON R671 *0 J30 D38
T11 MCH_RSVD_6 RSVD_5 1U-10V_6 R619 2.2K L_CLKCTLA L_BKLTEN EXP_A_COMPO
AF11 RSVD_6 SM_CK#_0 AW35 M_CLK_DDR#0 (11) +2.5V T38 H30 L_CLKCTLA PEG_RXN[15:0] (16)
T10 MCH_RSVD_7 H7 AT1 R620 2.2K L_CLKCTLB H29 F34 PEG_RXN0
RSVD_7 SM_CK#_1 M_CLK_DDR#1 (11) T43 D_CLK_1 L_CLKCTLB EXP_A_RXN_0
T29 MCH_RSVD_8 J19 AY7 (17,22) EDID_CLK R634 *0 G26 G38 PEG_RXN1
RSVD_8 SM_CK#_2 M_CLK_DDR#2 (11) D_DATA_1 L_DDC_CLK EXP_A_RXN_1
T37 TV_DCONSEL0 K30 AY40 (17,22) EDID_DATA R635 *0 G25 H34 PEG_RXN2
RSVD_9 SM_CK#_3 M_CLK_DDR#3 (11) L_DDC_DATA EXP_A_RXN_2
T35 TV_DCONSEL1 J29 R617 1.5K/F_6 L_IBG B38 J38 PEG_RXN3
T189 MCH_RSVD_11 RSVD_10 L_VBG L_IBG EXP_A_RXN_3 PEG_RXN4
A41 RSVD_11 SM_CKE_0 AU20 M_CKE0 (11) T46 C35 L_VBG EXP_A_RXN_4 L34
T184 MCH_RSVD_12 A35 AT20 (18,22) DISP_ON R670 *0 F32 M38 PEG_RXN5
RSVD_12 SM_CKE_1 M_CKE1 (11) L_VDDEN EXP_A_RXN_5
D T187 MCH_RSVD_13 A34 BA29 C33 N34 PEG_RXN6 D
RSVD_13 SM_CKE_2 M_CKE2 (11) +3V L_VREFH EXP_A_RXN_6
T39 MCH_RSVD_14 D28 AY29 C32 P38 PEG_RXN7
RSVD_14 SM_CKE_3 M_CKE3 (11) L_VREFL EXP_A_RXN_7
T188 MCH_RSVD_15 D27 R34 PEG_RXN8
RSVD_15 L_CLKCTLA R657 10K/F TLCO-_1 EXP_A_RXN_8 PEG_RXN9
SM_CS#_0 AW13 M_CS#0 (11) A33 LA_CLK# EXP_A_RXN_9 T38
AW12 L_CLKCTLB R669 10K/F TLCO+_1 A32 V34 PEG_RXN10
SM_CS#_1 M_CS#1 (11) LA_CLK EXP_A_RXN_10

MUXING
K16 AY21 TUCO-_1 E27 W38 PEG_RXN11
(2) MCH_BSEL0 CFG_0 SM_CS#_2 M_CS#2 (11) LB_CLK# EXP_A_RXN_11
K18 AW21 TUCO+_1 E26 Y34 PEG_RXN12
(2) MCH_BSEL1 CFG_1 SM_CS#_3 M_CS#3 (11) LB_CLK EXP_A_RXN_12
(2) MCH_BSEL2 J18 15mils/15mils AA38 PEG_RXN13
CFG_2 EXP_A_RXN_13

LVDS
MCH_CFG_3 F18 AL20 M_OCDCOMP_0 TLO0-_1 C37 AB34 PEG_RXN14
T24 MCH_CFG_4 CFG_3 SM_OCDCOMP_0 M_OCDCOMP_1 TLO1-_1 LA_DATA#_0 EXP_A_RXN_14 PEG_RXN15
T18
E15 CFG_4 SM_OCDCOMP_1 AF10 LVDS ATI INTEL B35 LA_DATA#_1 EXP_A_RXN_15 AC38
MCH_CFG_5 F15 TLO2-_1 A37
T22 CFG_5 R671 X 0 LA_DATA#_2 PEG_RXP[15:0] (16)
MCH_CFG_6 E18 BA13 D34 PEG_RXP0
CFG_6 SM_ODT_0 M_ODT0 (11) EXP_A_RXP_0
MCH_CFG_7 D19 BA12 R619 2.2K X F38 PEG_RXP1
M_ODT1 (11)

GRAPHICS
MCH_CFG_8 CFG_7 SM_ODT_1 R31 R51 EXP_A_RXP_1 PEG_RXP2
T14 D16 CFG_8 SM_ODT_2 AY20 M_ODT2 (11) EXP_A_RXP_2 G34
R620 2.2K X

CFG
MCH_CFG_9 G16 AU21 *40.2/F *40.2/F TLO0+_1 B37 H38 PEG_RXP3
CFG_9 SM_ODT_3 M_ODT3 (11) LA_DATA_0 EXP_A_RXP_3
MCH_CFG_10 E16 TLO1+_1 B34 J34 PEG_RXP4
R634 X 0

DDR
MCH_CFG_11 CFG_10 M_RCOMP# TLO2+_1 LA_DATA_1 EXP_A_RXP_4 PEG_RXP5
D15 CFG_11 SM_RCOMP# AV9 A36 LA_DATA_2 EXP_A_RXP_5 L38
MCH_CFG_12 G15 CFG_12 SM_RCOMP AT9 M_RCOMP R635 X 0 EXP_A_RXP_6 M34 PEG_RXP6
(8) MCH_CFG_13 MCH_CFG_13 K15 Layout as short as passable N38 PEG_RXP7
MCH_CFG_14 C15
CFG_13
AK1 NC from WW45 R670 X 0 TUO0-_1 G30
EXP_A_RXP_7
P34 PEG_RXP8
T15 CFG_14 SM_VREF_0 SMDDR_VREF_MCH LB_DATA#_0 EXP_A_RXP_8
MCH_CFG_15 H16 AK41 TUO1-_1 D30 R38 PEG_RXP9
T23 MCH_CFG_16 CFG_15 SM_VREF_1 TUO2-_1 LB_DATA#_1 EXP_A_RXP_9 PEG_RXP10
G18 CFG_16 F29 LB_DATA#_2 EXP_A_RXP_10 T34
T20 MCH_CFG_17 H15 V38 PEG_RXP11
MCH_CFG_18 CFG_17 EXP_A_RXP_11 PEG_RXP12
J25 CFG_18 G_CLKIN# AF33 CLK_PCIE_3GPLL# (2) EXP_A_RXP_12 W34
MCH_CFG_19 K27 AG33 Y38 PEG_RXP13
CFG_19 G_CLKIN CLK_PCIE_3GPLL (2) EXP_A_RXP_13
MCH_CFG_20 J26 A27 TUO0+_1 F30 AA34 PEG_RXP14
DREFCLK# (2)
CLK
CFG_20 D_REFCLKIN# TUO1+_1 LB_DATA_0 EXP_A_RXP_14 PEG_RXP15
A26 DREFCLK (2) D29 AB38

PCI-EXPRESS
D_REFCLKIN TUO2+_1 LB_DATA_1 EXP_A_RXP_15
(14) PM_BMBUSY# G28 PM_BMBUSY# D_REFSSCLKIN# C40 DREFSSCLK# (2) F28 LB_DATA_2
(11) PM_EXTTS#0 F25 D41 F36 PEG_TXN0
PM_EXTTS#_0 D_REFSSCLKIN DREFSSCLK (2) EXP_A_TXN_0
PM

(14) PM_EXTTS#1 H26 G40 PEG_TXN1 CRT ATI INTEL


PM_EXTTS#_1 DMI_TXN[3:0] (13) EXP_A_TXN_1
(3,12) PM_THRMTRIP# G6 H36 PEG_TXN2
PM_THRMTRIP# EXP_A_TXN_2 R665 X 39
C
(14,43) DELAY_VR_PWRGOOD AH33 PWROK DMI_RXN_0 AE35 DMI_TXN0 EXP_A_TXN_3 J40 PEG_TXN3 C
AH34 RSTIN# DMI_RXN_1 AF39 DMI_TXN1 (17,23) TV_COMP TV_COMP R628 *0 TV_COMP_A A16 TV_DACA_OUT EXP_A_TXN_4 L36 PEG_TXN4 R666 X 39
R69 RST IN# MCH AG35 DMI_TXN2 (17,23) TV_Y/G TV_Y/G R629 *0 TV_Y/G_A C18 M40 PEG_TXN5
(13) PLT_RST-R# DMI_RXN_2 TV_DACB_OUT EXP_A_TXN_5
100/F
DMI_RXN_3 AH39 DMI_TXN3 (17,23) TV_C/R TV_C/R R630 *0 TV_C/R_A A19 TV_DACC_OUT EXP_A_TXN_6 N36 PEG_TXN6 R648 0 X
MISC

TV
T34 H28 0 R686 P40 PEG_TXN7
H27
SDVO_CTRLCLK R618 R615 150/F +1.5V TVIREF J20 EXP_A_TXN_7
R36 PEG_TXN8 R649 0 X
T31 SDVO_CTRLDATA TV_IREF EXP_A_TXN_8
+3V K28 AC35 DMI_TXP0 *0_6 R614 150/F R676 *4.99K/F B16 T40 PEG_TXN9 R616 X 255
R658 *10K/F LT_RESET# DMI_RXP_0 DMI_TXP1 R613 150/F R633 *0_6 TV_IRTNA EXP_A_TXN_9 PEG_TXN10
(13) MCH_ICH_SYNC AE39 B18 V36
DMI_RXP_1
AF35 DMI_TXP2 B19
TV_IRTNB EXP_A_TXN_10
W40 PEG_TXN11 R603 0 X
T177 TP_MCH_NC0 DMI_RXP_2 DMI_TXP3 R631 0 +1.5V 0 TV_IRTNC EXP_A_TXN_11 PEG_TXN12
D1 NC0 DMI_RXP_3 AG39 DMI_TXP[3:0] (13) EXP_A_TXN_12 Y36 R646 0 X
T176 TP_MCH_NC1 C41 +1.5V R632 AA40 PEG_TXN13
NC1 DMI_RXN[3:0] (13) EXP_A_TXN_13 R643 0 X
T178 TP_MCH_NC2 C1 AB36 PEG_TXN14
T162 TP_MCH_NC3 NC2 EXP_A_TXN_14
BA41 NC3 DMI_TXN_0 AE37 DMI_RXN0 < 0.1" . 15mils/15mils space EXP_A_TXN_15 AC40 PEG_TXN15
R644 0 X
T159 TP_MCH_NC4 BA40 AF41 DMI_RXN1
NC4 DMI_TXN_1
NC

T161 TP_MCH_NC5 BA39 NC5 DMI_TXN_2 AG37DMI_RXN2 (17,23) CRT_B_COM


CRT_B_COM R664 *0 CRT_BLUE E23 CRT_BLUE EXP_A_TXP_0 D36 PEG_TXP0 R661 X 150
T164 TP_MCH_NC6 BA3 AH41 DMI_RXN3 D23 F40 PEG_TXP1
T165 TP_MCH_NC7 BA2
NC6 DMI_TXN_3 CRT_G_COM R663 *0 CRT_GREEN C22
CRT_BLUE# EXP_A_TXP_1
G36 PEG_TXP2 R645 X 150
DMI

NC7 (17,23) CRT_G_COM CRT_GREEN EXP_A_TXP_2

VGA
T166 TP_MCH_NC8 BA1 B22 H40 PEG_TXP3 R647 X 150
T180 TP_MCH_NC9 NC8 CRT_GREEN# EXP_A_TXP_3
B41 NC9 DMI_TXP_0 AC37 DMI_RXP0 (17,23) CRT_R_COM
CRT_R_COM R662 *0 CRT_RED A21 CRT_RED EXP_A_TXP_4 J36 PEG_TXP4
T181 TP_MCH_NC10 B2 AE41 DMI_RXP1 CRT_COM# B21 L40 PEG_TXP5 R662 X 0
T160 TP_MCH_NC11 NC10 DMI_TXP_1 CRT_RED# EXP_A_TXP_5
AY41 NC11 DMI_TXP_2 AF37 DMI_RXP2 EXP_A_TXP_6 M36 PEG_TXP6
R663 X 0
T167 TP_MCH_NC12 AY1 AG41 DMI_RXP3 N40 PEG_TXP7
NC12 DMI_TXP_3 DDCCLK_R EXP_A_TXP_7
T163 TP_MCH_NC13 AW41 NC13 (17,23) DDCCLK
DDCCLK R668 *0 C26 CRT_DDC_CLK EXP_A_TXP_8 P36 PEG_TXP8 R664 X 0
T168 TP_MCH_NC14 AW1 DDCDATA R667 *0 DDCDATA_R C25 R40 PEG_TXP9
T186 TP_MCH_NC15 A40
NC14 (17,23) DDCDATA
HSYNC_COM R665 *39 HSYNC G23
CRT_DDC_DATA EXP_A_TXP_9
T36 PEG_TXP10 R602 0 X
NC15 (17,23) HSYNC_COM CRTIREF CRT_HSYNC EXP_A_TXP_10
T179 TP_MCH_NC16 A4 R616 *255/F J22 V40 PEG_TXP11 R601 X 0
NC16 DMI_RXP[3:0] (13) VSYNC CRT_IREF EXP_A_TXP_11
T185 TP_MCH_NC17 A39 R666 *39 H23 W36 PEG_TXP12
1.8VSUS NC17 (17,23) VSYNC_COM CRT_VSYNC EXP_A_TXP_12 R668 X 0
T182 TP_MCH_NC18 A3 Y40 PEG_TXP13
NC18 EXP_A_TXP_13 PEG_TXP14
EXP_A_TXP_14 AA36 R667 X 0
+3V Calistoga PEG_TXP15
RP65 *0X2 INT VGA NC DC Blocked Cap. EXP_A_TXP_15 AB40
B B
(18,22) TXLCLKOUT- 1 2 TLCO-_1 Calistoga
R40 R652 10K/F PM_EXTTS#0 4 TLCO+_1 PEG_TXP_C[0..15]
80.6/F
(18,22) TXLCLKOUT+ 3 PEG_TXP_C[0..15] (16) INT VGA NC PEG_TXN_C[0..15]
+1.05V PEG_TXN_C[0..15] (16)
EXT VGA NC

RP61 *0X2 PEG_TXP0 C210 0.1U PEG_TXP_C0


M_RCOMP# R656 *10K/F PM_EXTTS#1 1 2 TUCO-_1 PEG_TXN0 C211 0.1U PEG_TXN_C0
(18,22) TXUCLKOUT- PEG_TXP_C1
15mils/10mils (18,22) TXUCLKOUT+ 3 4 TUCO+_1 PEG_TXP1 C808 0.1U CRT_COM# R602 0
M_RCOMP R601 *0 PEG_TXN1 C807 0.1U PEG_TXN_C1 CRT ATI INTEL TV ATI INTEL
RP68 *0X2 PEG_TXP2 C212 0.1U PEG_TXP_C2
(18,22) TXLOUT0- 1 2 TLO0-_1 VSYNC R649 0 PEG_TXN2 C213 0.1U PEG_TXN_C2 R665 X 39 R676 X 4.99K
3 4 TLO0+_1 PEG_TXP3 C806 0.1U PEG_TXP_C3 HSYNC R648 0
R44
(18,22) TXLOUT0+
PEG_TXN3 C805 0.1U PEG_TXN_C3
R666 X 39 R686 0 X
80.6/F RP66 *0X2 PEG_TXP4 C214 0.1U PEG_TXP_C4 R648 0 X R628 X 0
MCH_CFG_9 R624 *10K/F 1 2 TLO1-_1 PEG_TXN4 C215 0.1U PEG_TXN_C4
+3V (18,22) TXLOUT1-
3 4 TLO1+_1 PEG_TXP5 C804 0.1U PEG_TXP_C5 +1.05V R649 0 X R629 X 0
(18,22) TXLOUT1+
PEG_TXN5 C803 0.1U PEG_TXN_C5 R616 X 255 R630 X 0
RP67 *0X2 PEG_TXP6 C216 0.1U PEG_TXP_C6 CRTIREF R603 0
MCH_CFG_[12:5] 1 2 TLO2-_1 CRT_BLUE R646 0 PEG_TXN6 C217 0.1U PEG_TXN_C6 R603 0 X R615 0 150
(8) MCH_CFG_[12:5] (18,22) TXLOUT2-
MCH_CFG_[20:16] 3 4 TLO2+_1 PEG_TXP7 C802 0.1U PEG_TXP_C7 CRT_GREEN R644 0 R646 0 X R614 0 150
(8) MCH_CFG_[20:16] (18,22) TXLOUT2+
CRT_RED R643 0 PEG_TXN7 C800 0.1U PEG_TXN_C7
RP64 *0X2 PEG_TXP8 C218 0.1U PEG_TXP_C8 R661 *150/F R643 0 X R613 0 150
(18,22) TXUOUT0- 1 2 TUO0-_1 R645 *150/F PEG_TXN8 C219 0.1U PEG_TXN_C8 R644 0 X R618 X 0
3 4 TUO0+_1 PEG_TXP9 C798 0.1U PEG_TXP_C9 R647 *150/F
(18,22) TXUOUT0+
PEG_TXN9 C796 0.1U PEG_TXN_C9 R661 X 150 R631 0 X
RP63 *0X2 PEG_TXP10 C220 0.1U PEG_TXP_C10
1 2 TUO1-_1 PEG_TXN10 C221 0.1U PEG_TXN_C10 R645 X 150 R633 X 0
(18,22) TXUOUT1-
3 4 TUO1+_1 PEG_TXP11 C795 0.1U PEG_TXP_C11 R647 X 150 R632 0 X
(18,22) TXUOUT1+
PEG_TXN11 C794 0.1U PEG_TXN_C11
SMDDR_VREF RP62 *0X2 PEG_TXP12 C222 0.1U PEG_TXP_C12 R662 X 0
SMDDR_VREF (11,36,41)
1.8VSUS 1.8VSUS (8,11,36,41,42) (18,22) TXUOUT2- 1 2 TUO2-_1 PEG_TXN12 C223 0.1U PEG_TXN_C12 R663 X 0
3 4 TUO2+_1 PEG_TXP13 C793 0.1U PEG_TXP_C13
+1.05V (2,3,4,5,8,9,12,15,36,40) (18,22) TXUOUT2+
A
+V1.5_PCIE +V1.5_PCIE (9) PEG_TXN13 C792 0.1U PEG_TXN_C13 R664 X 0 A
+3V PEG_TXP14 C224 0.1U PEG_TXP_C14
+3V (2,3,8,9,11,12,13,14,15,16,17,18,19,22,23,24,28,31,32,34,35,36,39,42,43)
PEG_TXN14 C225 0.1U PEG_TXN_C14
R602 0 X
PEG_TXP15 C791 0.1U PEG_TXP_C15 R601 X 0
PEG_TXN15 C789 0.1U PEG_TXN_C15

PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
Custom 3A
GMCH DMI VEDIO(3 OF 6)
Date: Friday, December 23, 2005 Sheet 7 of 45
5 4 3 2 1
5 4 3 2 1

U34G U34F
+1.05_+1.5VGT C34 AA33 +1.05_+1.5VGT AD27
VCC_0 VCC_NCTF0
W33 VCC_1 AC27 VCC_NCTF1 VSS_NCTF0 AE27

330U/2.5V/ESR-9/POS
P33 25mils + C46 C49 C97 C90 C88 C94 AB27 AE26
+ VCC_2 0.1U 0.1U 0.1U VCC_NCTF2 VSS_NCTF1
N33 VCC_3 AA27 VCC_NCTF3 VSS_NCTF2 AE25
L33 AU41 C748 0.47U_6 C33 10U-6.3V_8 10U-6.3V_8 1U-10V_4 Y27 AE24
VCC_4 VCC_SM_0 VCC_NCTF4 VSS_NCTF3
J33 VCC_5 VCC_SM_1 AT41 VCC_SM1 W27 VCC_NCTF5 VSS_NCTF4 AE23
AA32 VCC_6 VCC_SM_2 AM41VCC_SM2 C754 0.47U_6 330U/2.5V/ESR-9/POS V27 VCC_NCTF6 VSS_NCTF5 AE22
Y32 VCC_7 VCC_SM_3 AU40 U27 VCC_NCTF7 VSS_NCTF6 AE21
W32 VCC_8 VCC_SM_4 BA34 T27 VCC_NCTF8 VSS_NCTF7 AE20
V32 VCC_9 VCC_SM_5 AY34 R27 VCC_NCTF9 VSS_NCTF8 AE19
P32 VCC_10 VCC_SM_6 AW34 AD26 VCC_NCTF10 VSS_NCTF9 AE18
1.8VSUS
N32 VCC_11 VCC_SM_7 AV34 120mils AC26 VCC_NCTF11 VSS_NCTF10 AC17
D
M32 VCC_12 VCC_SM_8 AU34 AB26 VCC_NCTF12 VSS_NCTF11 Y17 D
L32 VCC_13 VCC_SM_9 AT34 AA26 VCC_NCTF13 VSS_NCTF12 U17
J32 VCC_14 VCC_SM_10 AR34 Y26 VCC_NCTF14
AA31 BA30 + PC93 C122 C117 C179 C103 W26
VCC_15 VCC_SM_11 330U-2.5V C109 C106 0.1U 0.1U 0.1U VCC_NCTF15
W31 VCC_16 VCC_SM_12 AY30 V26 VCC_NCTF16
V31 AW30 10U-6.3V_8 10U-6.3V_8 0.47U_6 U26 +1.5V_AUX
VCC_17 VCC_SM_13 VCC_NCTF17
T31 VCC_18 VCC_SM_14 AV30 T26 VCC_NCTF18
R31 VCC_19 VCC_SM_15 AU30 R26 VCC_NCTF19 VCCAUX_NCTF0 AG27
P31 VCC_20 VCC_SM_16 AT30 AD25 VCC_NCTF20 VCCAUX_NCTF1 AF27 100mils
N31 VCC_21 VCC_SM_17 AR30 AC25 VCC_NCTF21 VCCAUX_NCTF2 AG26
M31 VCC_22 VCC_SM_18 AP30 AB25 VCC_NCTF22 VCCAUX_NCTF3 AF26
AA30 VCC_23 VCC_SM_19 AN30 AA25 VCC_NCTF23 VCCAUX_NCTF4 AG25
Y30 VCC_24 VCC_SM_20 AM30 Y25 VCC_NCTF24 VCCAUX_NCTF5 AF25
W30 AM29 L77 *5A_8 +1.5V W25 AG24
VCC_25 VCC_SM_21 +1.05_+1.5VGT VCC_NCTF25 VCCAUX_NCTF6
V30 VCC_26 VCC_SM_22 AL29 V25 VCC_NCTF26 VCCAUX_NCTF7 AF24
U30 VCC_27 VCC_SM_23 AK29 U25 VCC_NCTF27 VCCAUX_NCTF8 AG23
T30 AJ29 L78 *5A_8 +1.5V T25 AF23
VCC_28 VCC_SM_24 VCC_NCTF28 VCCAUX_NCTF9
R30 VCC_29 VCC_SM_25 AH29 R25 VCC_NCTF29 VCCAUX_NCTF10 AG22
P30 VCC_30 VCC_SM_26 AJ28 AD24 VCC_NCTF30 VCCAUX_NCTF11 AF22
N30 AH28 L79 5A_8 +1.05V AC24 AG21
VCC_31 VCC_SM_27 VCC_NCTF31 VCCAUX_NCTF12
M30 VCC_32 VCC_SM_28 AJ27 AB24 VCC_NCTF32 VCCAUX_NCTF13 AF21
L30 VCC_33 VCC_SM_29 AH27 AA24 VCC_NCTF33 VCCAUX_NCTF14 AG20
AA29 BA26 L80 5A_8 +1.05V Y24 AF20
VCC_34 VCC_SM_30 VCC_NCTF34 VCCAUX_NCTF15
Y29 VCC_35 VCC_SM_31 AY26 W24 VCC_NCTF35 VCCAUX_NCTF16 AG19
W29 VCC_36 VCC_SM_32 AW26 V24 VCC_NCTF36 VCCAUX_NCTF17 AF19
V29 VCC_37 VCC_SM_33 AV26 U24 VCC_NCTF37 VCCAUX_NCTF18 R19
U29 VCC_38 VCC_SM_34 AU26 T24 VCC_NCTF38 VCCAUX_NCTF19 AG18
R29 VCC_39 VCC_SM_35 AT26 R24 VCC_NCTF39 VCCAUX_NCTF20 AF18
P29 VCC_40 VCC_SM_36 AR26 AD23 VCC_NCTF40 VCCAUX_NCTF21 R18
M29 VCC_41 VCC_SM_37 AJ26 V23 VCC_NCTF41 VCCAUX_NCTF22 AG17
C L29 VCC_42 VCC_SM_38 AH26 U23 VCC_NCTF42 VCCAUX_NCTF23 AF17 C
AB28 VCC_43 VCC_SM_39 AJ25 T23 VCC_NCTF43 VCCAUX_NCTF24 AE17
AA28 VCC_44 VCC_SM_40 AH25 R23 VCC_NCTF44 VCCAUX_NCTF25 AD17
Y28 VCC_45 VCC_SM_41 AJ24 AD22 VCC_NCTF45 VCCAUX_NCTF26 AB17
V28 VCC_46 VCC_SM_42 AH24 V22 VCC_NCTF46 VCCAUX_NCTF27 AA17
U28 VCC_47 VCC_SM_43 BA23 U22 VCC_NCTF47 VCCAUX_NCTF28 W17
T28 VCC_48 VCC_SM_44 AJ23 T22 VCC_NCTF48 VCCAUX_NCTF29 V17
R28 BA22 C121 R22 T17
VCC_49 VCC_SM_45 C129 0.47U_6 VCC_NCTF49 VCCAUX_NCTF30
P28 AY22 AD21 R17
N28
M28
VCC_50
VCC_51
VCC_52
VCC_SM_46
VCC_SM_47
VCC_SM_48
AW22
AV22
0.47U_6
place C121 on BA23 Ball
V21
U21
VCC_NCTF50
VCC_NCTF51
VCC_NCTF52
NCTF VCCAUX_NCTF31
VCCAUX_NCTF32
VCCAUX_NCTF33
AG16
AF16
L28 VCC_53 VCC_SM_49 AU22 T21 VCC_NCTF53 VCCAUX_NCTF34 AE16
P27 VCC_54 VCC_SM_50 AT22 place C129 on AJ23 Ball R21 VCC_NCTF54 VCCAUX_NCTF35 AD16
N27 VCC_55 VCC_SM_51 AR22 AD20 VCC_NCTF55 VCCAUX_NCTF36 AC16
M27 VCC_56 VCC_SM_52 AP22 V20 VCC_NCTF56 VCCAUX_NCTF37 AB16
L27 VCC_57 VCC_SM_53 AK22 U20 VCC_NCTF57 VCCAUX_NCTF38 AA16
P26 VCC_58 VCC_SM_54 AJ22 T20 VCC_NCTF58 VCCAUX_NCTF39 Y16
N26 AK21 (4,7,9,13,15,31,36,40) +1.5V_AUX +1.5V_AUX R20 W16
VCC_59 VCC_SM_55 VCC_NCTF59 VCCAUX_NCTF40
L26 VCC_60 VCC_SM_56 AK20 AD19 VCC_NCTF60 VCCAUX_NCTF41 V16
N25 BA19 (2,3,4,5,7,9,12,15,36,40) +1.05V +1.05V V19 U16
VCC_61 VCC_SM_57 VCC_NCTF61 VCCAUX_NCTF42
M25 VCC_62 VCC_SM_58 AY19 U19 VCC_NCTF62 VCCAUX_NCTF43 T16
L25 AW19 (7,11,36,41,42) 1.8VSUS 1.8VSUS T19 R16
VCC_63 VCC_SM_59 VCC_NCTF63 VCCAUX_NCTF44
P24 VCC_64 VCC_SM_60 AV19 AD18 VCC_NCTF64 VCCAUX_NCTF45 AG15
N24 VCC_65 VCC_SM_61 AU19 AC18 VCC_NCTF65 VCCAUX_NCTF46 AF15
M24 VCC_66 VCC_SM_62 AT19 AB18 VCC_NCTF66 VCCAUX_NCTF47 AE15
AB23 VCC_67 VCC_SM_63 AR19 AA18 VCC_NCTF67 VCCAUX_NCTF48 AD15
AA23 AP19 Y18 AC15
Y23
P23
VCC_68
VCC_69
VCC_70
VCC VCC_SM_64
VCC_SM_65
VCC_SM_66
AK19
AJ19
W18
V18
VCC_NCTF68
VCC_NCTF69
VCC_NCTF70
VCCAUX_NCTF49
VCCAUX_NCTF50
VCCAUX_NCTF51
AB15
AA15
B
N23 VCC_71 VCC_SM_67 AJ18 U18 VCC_NCTF71 VCCAUX_NCTF52 Y15 B
M23 VCC_72 VCC_SM_68 AJ17 T18 VCC_NCTF72 VCCAUX_NCTF53 W15
L23 VCC_73 VCC_SM_69 AH17 VCCAUX_NCTF54 V15
AC22 VCC_74 VCC_SM_70 AJ16 VCCAUX_NCTF55 U15
AB22 VCC_75 VCC_SM_71 AH16 place C118 on BA15 Ball VCCAUX_NCTF56 T15
Y22 VCC_76 VCC_SM_72 BA15 VCCAUX_NCTF57 R15
W22 VCC_77 VCC_SM_73 AY15 GMCH Strap pin
P22 AW15 C118 Calistoga
VCC_78 VCC_SM_74 0.47U_6
N22 VCC_79 VCC_SM_75 AV15
M22 AU15 R611 *2.2K
VCC_80 VCC_SM_76 (7) MCH_CFG_5 1.MCH_CFG_5 Low = DMI X2, High=DMIX4
L22 VCC_81 VCC_SM_77 AT15
AC21 VCC_82 VCC_SM_78 AR15
AA21 AJ15 R607 *2.2K 2.MCH_CFG_6 DDR : Low =Moby Dick, High= Calistoga (Default)
VCC_83 VCC_SM_79 (7) MCH_CFG_6
W21 VCC_84 VCC_SM_80 AJ14
N21 AJ13 3.MCH_CFG_7 CPU Strap Low=RSVD, High=Mobile CPU
VCC_85 VCC_SM_81 R605 *2.2K
M21 VCC_86 VCC_SM_82 AH13 (7) MCH_CFG_7
L21 AK12 4.MCH_CFG_9 PCI Exp Graphics Lane: Low =Reserved,High=Mobility
VCC_87 VCC_SM_83
AC20 VCC_88 VCC_SM_84 AJ12
AB20 AH12 R625 *2.2K 5.MCH_CFG_10 Host PLL VCC Select: Low=Reserved, High=Mobility
VCC_89 VCC_SM_85 (7) MCH_CFG_9
Y20 VCC_90 VCC_SM_86 AG12
W20 AK11 6.MCH_CFG_11: No Stuff.
VCC_91 VCC_SM_87 R626 *2.2K
P20 VCC_92 VCC_SM_88 BA8 (7) MCH_CFG_10
N20 AY8 7.MCH_CFG_16 FSB Dynmic ODT: Low=Dynamic ODT Disabled,
VCC_93 VCC_SM_89
M20 VCC_94 VCC_SM_90 AW8 High=Dynamic ODT Enabled.
L20 AV8 R609 *2.2K
VCC_95 VCC_SM_91 (7) MCH_CFG_11 8.MCH_CFG_18 VCC Select: LOW=1.05V, High=1.5V
AB19 VCC_96 VCC_SM_92 AT8
AA19 VCC_97 VCC_SM_93 AR8
Y19 AP8 R610 *2.2K 9.MCH_CFG_19 DMI LANE Reversal:Low=Normal,High=LANES Reversed.
VCC_98 VCC_SM_94 (7) MCH_CFG_12
N19 VCC_99 VCC_SM_95 BA6
M19 AY6 10.MCH_CFG_20 PCIE Backward interpoerability mode: Low= only SDVO
VCC_100 VCC_SM_96 R612 *2.2K
A L19 VCC_101 VCC_SM_97 AW6 (7) MCH_CFG_13 or PCIE x1 is operational (defaults) ,High=SDVO and PCIE x1 are A
N18 VCC_102 VCC_SM_98 AV6 operation simultaneously via the PEG port.
M18 VCC_103 VCC_SM_99 AT6
L18 AR6 R627 *2.2K +3V
VCC_104 VCC_SM_100 (7) MCH_CFG_16
P17 VCC_105 VCC_SM_101 AP6 R641
N17 VCC_106 VCC_SM_102 AN6
M17 AL6 25mils R655 *1K CFG_RSVD_0_R *0/B
VCC_107 VCC_SM_103 (7) MCH_CFG_18
N16
M16
VCC_108 VCC_SM_104 AK6
AJ6
PROJECT : MA7
VCC_109 VCC_SM_105
L16 VCC_110 VCC_SM_106 AV1 VCC_SM106 C761
AJ1 VCC_SM107
0.47U_6
(7) MCH_CFG_19
R653 *1K +3V Quanta Computer Inc.
VCC_SM_107 C780 0.47U_6 Size Document Number Rev
R651 *1K Custom 3A
Calistoga
25mils
(7) MCH_CFG_20 +3V GMCH PW & STRAP(4 OF 6)
Date: Friday, December 23, 2005 Sheet 8 of 45
5 4 3 2 1
5 4 3 2 1

+V3.3_TVDAC +2.5V R600 +1.05V


+1.5V +V1.5_DPLLA L3 +V3.3_ATVBG +1.5V VCCSYNC
80mils
L8 10uH_8 *FCM2012C-121 *0_6 C821 C822
+V3.3_ATVBG R22 0_6 *10U-6.3V_8 U34H
0 H22 +1.05V
C72 C44 C42 VCCSYNC
VTT_0 AC14
+ C141 C128 0.022U C823 0 C30 AB14
470U-2.5V *10U-6.3V_8 0.1U R623 *0_8 VCC_TXLVDS0 VTT_1
B30 VCC_TXLVDS1 VTT_2 W14
0.1U +2.5V A30 V14 C35 + C47 C51 C52 C48
+V3.3_ATVBG +V1.5_PCIE VCC_TXLVDS2 VTT_3
VTT_4 T14
+V1.5_DPLLB +2.5V AJ41 R14 4.7U-10V_8 2.2U-6.3V_6 0.1U 10U-6.3V_8
L63 10uH_8 +V3.3_ATVBG VCC3G0 VTT_5
AB41 VCC3G1 VTT_6 P14
D Y41 N14 330U/2.5V/ESR-9/POS D
C59 C60 +V1.5_3GPLL C227 VCC3G2 VTT_7
V41 VCC3G3 VTT_8 M14
0.022U R41 L14
+ C825 C809 0.1U 0.1U VCC3G4 VTT_9 +1.05V
N41 VCC3G5 VTT_10 AD13
470U-2.5V C774 C766 L41 AC13
0.1U +V3.3_ATVBG 10U-6.3V_8 VCC3G6 VTT_11
AC33 VCCA_3GPLL VTT_12 AB13
0.1U G41 AA13
+V1.5_HPLL +V3.3_ATVBG VCCA_3GBG VTT_13 C98 C77 C79 C54
H41 VSSA_3GBG VTT_14 Y13
L60 FCM2012C-121 W13 0.22U 0.22U 0.47U_6
C65 C56 +V2.5_CRTDAC VTT_15 0.47U_6
F21 VCCA_CRTDAC0 VTT_16 V13
0.022U E21 U13
C790 C787 0.1U VCCA_CRTDAC1 VTT_17
G21 VSSA_CRTDAC VTT_18 T13
C63 C64 +V1.5_DPLLA R13
22U-10V_8 0.1U +V3.3_ATVBG 0.022U +V1.5_DPLLB VTT_19
B26 VCCA_DPLLA VTT_20 N13
0.1U +V1.5_HPLL C39 M13
+V1.5_MPLL +V3.3_ATVBG VCCA_DPLLB VTT_21
AF1 VCCA_HPLL VTT_22 L13
L4 FCM2012C-121 R599
*0_6 VTT_23 AB12
+2.5V VCCA_LVDS A38 AA12 +2.5V
VCCA_LVDS VTT_24
B39 VSSA_LVDS VTT_25 Y12
C74 C81 C820 C812 +V1.5_MPLL W12
*0.01U VTT_26
AF2 VCCA_MPLL VTT_27 V12
22U-10V_8 0.1U 0 U12 C226 C229
VTT_28 4.7U-10V_6
H20 VCCA_TVBG VTT_29 T12
G20 R12 0.1U
+V3.3_ATVBG VSSA_TVBG VTT_30
VTT_31 P12
+2.5V R25 25mils D6 +1.05V N12
10/F_6 PDZ5.6B +V3.3_ATVBG VTT_32
VTT_33 M12 Shall Add more bypass caps for 1.05V
C VCCGFOLLOW 1 2 C38 C66 E19 L12 C
0.022U +V3.3_ATVBG VCCA_TVDACA0 VTT_34
F19 VCCA_TVDACA1 VTT_35 R11
+V2.5_CRTDAC +1.05V 0.1U C20 P11
VCCA_TVDACB0 VTT_36
D20 VCCA_TVDACB1 VTT_37 N11
L2 *FCM2012C-121 R24 0_6 +V3.3_ATVBG E20 M11
F20
VCCA_TVDACC0
VCCA_TVDACC1 POWER VTT_38
VTT_39
VTT_40
R10
P10
+1.5V AH1 N10
VCCD_HMPLL0 VTT_41
AH2 VCCD_HMPLL1 VTT_42 M10
+V1.5_PCIE +1.5V P9
L55 +1.5V R598 *0_8 VCCD_LVDS VTT_43
60mils A28 VCCD_LVDS0 VTT_44 N9
91nH_L32x25-22 +3V +1.5V R591 0 B28 M9
VCCD_LVDS1 VTT_45
C28 VCCD_LVDS2 VTT_46 R8
+V1.5_TVDAC P8
+ C783 VTT_47
D21 VCCD_TVDAC VTT_48 N8
C763 C786 220U-2.5V C230 C208 C785 C784 M8
10U-6.3V_8 10U-6.3V_8 0.1U_6 10U-6.3V_8 +3V VTT_49
A23 VCC_HV0 VTT_50 P7
0.1U 0.1U B23 N7
VCC_HV1 VTT_51
B25 VCC_HV2 VTT_52 M7
+V1.5_QTVDAC R6
VTT_53
H19 VCCD_QTVDAC VTT_54 P6
60mils +1.5V_AUX M6 C50 0.47U_6
+V1.5_3GPLL +1.5V VTT_55
60mils AK31 VCCAUX0 VTT_56 A6
R558 L54 60mils AF31 R5
0.5/F_6 1uH_6 +V1.5_TVDAC +1.5V VCCAUX1 VTT_57
AE31 VCCAUX2 VTT_58 P5
3GPLL_FB_R AC31 N5
L6 VCCAUX3 VTT_59
AL30 VCCAUX4 VTT_60 M5
B AK30 VCCAUX5 VTT_61 P4 B
AJ30 VCCAUX6 VTT_62 N4
FCM2012C-121 AH30 M4 VTT_56 , VTT_71 and 72 are
+1.5V_AUX +1.5V C99 VCCAUX7 VTT_63
AG30 VCCAUX8 VTT_64 R3 attached with 0.1u seperated
0.022U C91 AF30 P3
0.1U VCCAUX9 VTT_65 .Checking
AE30 VCCAUX10 VTT_66 N3
AD30 VCCAUX11 VTT_67 M3
C80 AC30 R2
C73 +V1.5_QTVDAC VCCAUX12 VTT_68
AG29 VCCAUX13 VTT_69 P2
10U-6.3V_8 0.1U AF29 M2 C78 0.22U_6
L5 VCCAUX14 VTT_70
AE29 VCCAUX15 VTT_71 D2
AD29 VCCAUX16 VTT_72 AB1
AC29 VCCAUX17 VTT_73 R1
C92 FCM2012C-121 AG28 P1 C53 0.47U_6
C100 VCCAUX18 VTT_74
AF28 VCCAUX19 VTT_75 N1
0.022U 0.1U AE28 M1
+1.5V VCCAUX20 VTT_76
AH22 VCCAUX21
30mils AJ21 VCCAUX22
AH21 VCCAUX23
D7 AJ20
V1_5SFOLLOW VCCAUX24
1 2 AH20 VCCAUX25
AH19 VCCAUX26
PDZ5.6B P19
R27 VCCAUX27
P16 VCCAUX28
+V3.3_TVDAC +3V TV ATI INTEL CRT ATI INTEL LVDS ATI INTEL AH15
10/F_6 VCCAUX29
RC0805 L3 X 0 P15
R600 X 0 R598 X 0 AH14
VCCAUX30
R26 0_8 VCCAUX31
A R22 0 X C821 0 X R591 0 X AG14 VCCAUX32 A
AF14 VCCAUX33
L2 X 0 R599 X 0 AE14 VCCAUX34
R24 0 X C812 0 0.1UF Y14 VCCAUX35
AF13 VCCAUX36
R623 X 0 AE13 VCCAUX37
+1.05V
+1.5V
+1.05V (2,3,4,5,7,8,12,15,36,40) C823 0 0.1UF AF12
AE12
VCCAUX38 PROJECT : MA7
+1.5V (4,7,8,13,15,31,36,40) VCCAUX39
+V1.5_PCIE
+2.5V
+V1.5_PCIE (7)
+2.5V (7,17,18,36,40)
AD12 VCCAUX40 Quanta Computer Inc.
+3V +3V (2,3,7,8,11,12,13,14,15,16,17,18,19,22,23,24,28,31,32,34,35,36,39,42,43) Size Document Number Rev
Calistoga Custom 3A
GMCH POWER (5 OF 6)
Date: Friday, December 23, 2005 Sheet 9 of 45
5 4 3 2 1
5 4 3 2 1

U34I U34J
AC41 VSS_0 VSS_97 AK34 AT23 VSS_180 VSS_273 J11
AA41 VSS_1 VSS_98 AG34 AN23 VSS_181 VSS_274 D11
W41 VSS_2 VSS_99 AF34 AM23 VSS_182 VSS_275 B11
T41 VSS_3 VSS_100 AE34 AH23 VSS_183 VSS_276 AV10
P41 VSS_4 VSS_101 AC34 AC23 VSS_184 VSS_277 AP10
M41 VSS_5 VSS_102 C34 W23 VSS_185 VSS_278 AL10
J41 VSS_6 VSS_103 AW33 K23 VSS_186 VSS_279 AJ10
F41 VSS_7 VSS_104 AV33 J23 VSS_187 VSS_280 AG10
AV40 VSS_8 VSS_105 AR33 F23 VSS_188 VSS_281 AC10
D AP40 VSS_9 VSS_106 AE33 C23 VSS_189 VSS_282 W10 D
AN40 VSS_10 VSS_107 AB33 AA22 VSS_190 VSS_283 U10
AK40 VSS_11 VSS_108 Y33 K22 VSS_191 VSS_284 BA9
AJ40 VSS_12 VSS_109 V33 G22 VSS_192 VSS_285 AW9
AH40 VSS_13 VSS_110 T33 F22 VSS_193 VSS_286 AR9
AG40 VSS_14 VSS_111 R33 E22 VSS_194 VSS_287 AH9
AF40 VSS_15 VSS_112 M33 D22 VSS_195 VSS_288 AB9
AE40 VSS_16 VSS_113 H33 A22 VSS_196 VSS_289 Y9
B40 VSS_17 VSS_114 G33 BA21 VSS_197 VSS_290 R9
AY39 VSS_18 VSS_115 F33 AV21 VSS_198 VSS_291 G9
AW39 VSS_19 VSS_116 D33 AR21 VSS_199 VSS_292 E9
AV39 VSS_20 VSS_117 B33 AN21 VSS_200 VSS_293 A9
AR39 VSS_21 VSS_118 AH32 AL21 VSS_201 VSS_294 AG8
AN39 VSS_22 VSS_119 AG32 AB21 VSS_202 VSS_295 AD8
AJ39 VSS_23 VSS_120 AF32 Y21 VSS_203 VSS_296 AA8
AC39 VSS_24 VSS_121 AE32 P21 VSS_204 VSS_297 U8
AB39 VSS_25 VSS_122 AC32 K21 VSS_205 VSS_298 K8
AA39 VSS_26 VSS_123 AB32 J21 VSS_206 VSS_299 C8
Y39 VSS_27 VSS_124 G32 H21 VSS_207 VSS_300 BA7
W39 VSS_28 VSS_125 B32 C21 VSS_208 VSS_301 AV7
V39 VSS_29 VSS_126 AY31 AW20 VSS_209 VSS_302 AP7
T39 AV31 AR20 AL7
R39
P39
VSS_30
VSS_31
VSS_32
VSS_127
VSS_128
VSS_129
AN31
AJ31
AM20
AA20
VSS_210
VSS_211
VSS_212
VSS VSS_303
VSS_304
VSS_305
AJ7
AH7
N39 AG31 K20 AF7
M39
L39
VSS_33
VSS_34
VSS_35
VSS VSS_130
VSS_131
VSS_132
AB31
Y31
B20
A20
VSS_213
VSS_214
VSS_215
VSS_306
VSS_307
VSS_308
AC7
R7
C J39 VSS_36 VSS_133 AB30 AN19 VSS_216 VSS_309 G7 C
H39 VSS_37 VSS_134 E30 AC19 VSS_217 VSS_310 D7
G39 VSS_38 VSS_135 AT29 W19 VSS_218 VSS_311 AG6
F39 VSS_39 VSS_136 AN29 K19 VSS_219 VSS_312 AD6
D39 VSS_40 VSS_137 AB29 G19 VSS_220 VSS_313 AB6
AT38 VSS_41 VSS_138 T29 C19 VSS_221 VSS_314 Y6
AM38 VSS_42 VSS_139 N29 AH18 VSS_222 VSS_315 U6
AH38 VSS_43 VSS_140 K29 P18 VSS_223 VSS_316 N6
AG38 VSS_44 VSS_141 G29 H18 VSS_224 VSS_317 K6
AF38 VSS_45 VSS_142 E29 D18 VSS_225 VSS_318 H6
AE38 VSS_46 VSS_143 C29 A18 VSS_226 VSS_319 B6
C38 VSS_47 VSS_144 B29 AY17 VSS_227 VSS_320 AV5
AK37 VSS_48 VSS_145 A29 AR17 VSS_228 VSS_321 AF5
AH37 VSS_49 VSS_146 BA28 AP17 VSS_229 VSS_322 AD5
AB37 VSS_50 VSS_147 AW28 AM17 VSS_230 VSS_323 AY4
AA37 VSS_51 VSS_148 AU28 AK17 VSS_231 VSS_324 AR4
Y37 VSS_52 VSS_149 AP28 AV16 VSS_232 VSS_325 AP4
W37 VSS_53 VSS_150 AM28 AN16 VSS_233 VSS_326 AL4
V37 VSS_54 VSS_151 AD28 AL16 VSS_234 VSS_327 AJ4
T37 VSS_55 VSS_152 AC28 J16 VSS_235 VSS_328 Y4
R37 VSS_56 VSS_153 W28 F16 VSS_236 VSS_329 U4
P37 VSS_57 VSS_154 J28 C16 VSS_237 VSS_330 R4
N37 VSS_58 VSS_155 E28 AN15 VSS_238 VSS_331 J4
M37 VSS_59 VSS_156 AP27 AM15 VSS_239 VSS_332 F4
L37 VSS_60 VSS_157 AM27 AK15 VSS_240 VSS_333 C4
J37 VSS_61 VSS_158 AK27 N15 VSS_241 VSS_334 AY3
H37 VSS_62 VSS_159 J27 M15 VSS_242 VSS_335 AW3
B G37 VSS_63 VSS_160 G27 L15 VSS_243 VSS_336 AV3 B
F37 VSS_64 VSS_161 F27 B15 VSS_244 VSS_337 AL3
D37 VSS_65 VSS_162 C27 A15 VSS_245 VSS_338 AH3
AY36 VSS_66 VSS_163 B27 BA14 VSS_246 VSS_339 AG3
AW36 VSS_67 VSS_164 AN26 AT14 VSS_247 VSS_340 AF3
AN36 VSS_68 VSS_165 M26 AK14 VSS_248 VSS_341 AD3
AH36 VSS_69 VSS_166 K26 AD14 VSS_249 VSS_342 AC3
AG36 VSS_70 VSS_167 F26 AA14 VSS_250 VSS_343 AA3
AF36 VSS_71 VSS_168 D26 U14 VSS_251 VSS_344 G3
AE36 VSS_72 VSS_169 AK25 K14 VSS_252 VSS_345 AT2
AC36 VSS_73 VSS_170 P25 H14 VSS_253 VSS_346 AR2
C36 VSS_74 VSS_171 K25 E14 VSS_254 VSS_347 AP2
B36 VSS_75 VSS_172 H25 AV13 VSS_255 VSS_348 AK2
BA35 VSS_76 VSS_173 E25 AR13 VSS_256 VSS_349 AJ2
AV35 VSS_77 VSS_174 D25 AN13 VSS_257 VSS_350 AD2
AR35 VSS_78 VSS_175 A25 AM13 VSS_258 VSS_351 AB2
AH35 VSS_79 VSS_176 BA24 AL13 VSS_259 VSS_352 Y2
AB35 VSS_80 VSS_177 AU24 AG13 VSS_260 VSS_353 U2
AA35 VSS_81 VSS_178 AL24 P13 VSS_261 VSS_354 T2
Y35 VSS_82 VSS_179 AW23 F13 VSS_262 VSS_355 N2
W35 VSS_83 D13 VSS_263 VSS_356 J2
V35 VSS_84 B13 VSS_264 VSS_357 H2
T35 VSS_85 AY12 VSS_265 VSS_358 F2
R35 VSS_86 AC12 VSS_266 VSS_359 C2
P35 VSS_87 K12 VSS_267 VSS_360 AL1
N35 VSS_88 H12 VSS_268
M35 VSS_89 E12 VSS_269
A L35 VSS_90 AD11 VSS_270 A
J35 VSS_91 AA11 VSS_271
H35 VSS_92 Y11 VSS_272
G35 VSS_93
F35 VSS_94 Calistoga
D35 VSS_95
AN34 VSS_96 PROJECT : MA7
Calistoga
Quanta Computer Inc.
Size Document Number Rev
Custom 3A
GMCH GND(6 OF 6)
Date: Friday, December 23, 2005 Sheet 10 of 45
5 4 3 2 1
A B C D E

M_A_DM[0..7] (6) M_B_DM[0..7] (6)


1.8VSUS 1.8VSUS 1.8VSUS 1.8VSUS 1.8VSUS 1.8VSUS
M_A_DQ[63:0] (6) M_B_DQ[63:0] (6)
Place these Caps near So-Dimm1. Place these Caps near So-Dimm1.
M_A_DQS[7:0] (6) M_B_DQS[7:0] (6)
M_A_DQS#[7:0] (6) M_B_DQS#[7:0] (6)
M_A_A[13:0] (6) M_B_A[13:0] (6)
JDIM2 JDIM1 C154 C137 C144 C142 C706 C704 C709 C707 C705 C708
SMDDR_VREF_DIMM 1 2 SMDDR_VREF_DIMM SMDDR_VREF_DIMM 1 2 0.1U 0.1U 0.1U 0.1U 2.2U-6.3V_6 2.2U-6.3V_6 2.2U-6.3V_6 2.2U-6.3V_6 2.2U-6.3V_6 2.2U-6.3V_6
VREF VSS46 M_A_DQ4 VREF VSS46 M_B_DQ4
3 VSS47 DQ4 4 3 VSS47 DQ4 4
M_A_DQ1 5 6 M_A_DQ0 M_B_DQ1 5 6 M_B_DQ0
M_A_DQ5 DQ0 DQ5 M_B_DQ5 DQ0 DQ5
7 DQ1 VSS15 8 7 DQ1 VSS15 8
9 10 M_A_DM0 9 10 M_B_DM0 1.8VSUS 1.8VSUS
M_A_DQS#0 VSS37 DM0 M_B_DQS#0 VSS37 DM0 Place these Caps near So-Dimm2. Place these Caps near So-Dimm2.
11 DQS#0 VSS5 12 11 DQS#0 VSS5 12
M_A_DQS0 13 14 M_A_DQ7 M_B_DQS0 13 14 M_B_DQ7
DQS0 DQ6 M_A_DQ6 DQS0 DQ6 M_B_DQ6
15 VSS48 DQ7 16 15 VSS48 DQ7 16
M_A_DQ2 17 18 M_B_DQ2 17 18
4 DQ2 VSS16 DQ2 VSS16 4
M_A_DQ3 19 20 M_A_DQ13 M_B_DQ3 19 20 M_B_DQ12 C178 C172 C146 C160 C158 C151 C688 C687 C145 C135
DQ3 DQ12 M_A_DQ12 DQ3 DQ12 M_B_DQ13 0.1U 0.1U 0.1U 0.1U 2.2U-6.3V_6 2.2U-6.3V_6 2.2U-6.3V_6 2.2U-6.3V_6 2.2U-6.3V_6 2.2U-6.3V_6
21 VSS38 DQ13 22 21 VSS38 DQ13 22
M_A_DQ14 23 24 M_B_DQ8 23 24
M_A_DQ8 DQ8 VSS17 M_A_DM1 M_B_DQ9 DQ8 VSS17 M_B_DM1
25 DQ9 DM1 26 25 DQ9 DM1 26
27 VSS49 VSS53 28 27 VSS49 VSS53 28
M_A_DQS#1 29 30 M_CLK_DDR0 M_B_DQS#1 29 30 M_CLK_DDR3
DQS#1 CK0 M_CLK_DDR0 (7) DQS#1 CK0 M_CLK_DDR3 (7) M_ODT0
M_A_DQS1 31 32 M_CLK_DDR#0 M_B_DQS1 31 32 M_CLK_DDR#3 1 2
DQS1 CK0# M_CLK_DDR#0 (7) DQS1 CK0# M_CLK_DDR#3 (7)
33 34 33 34 M_A_RAS# RP6 3 4 56X2
M_A_DQ9 VSS39 VSS41 M_A_DQ11 M_B_DQ10 VSS39 VSS41 M_B_DQ15 M_A_A8
35 DQ10 DQ14 36 35 DQ10 DQ14 36 1 2
M_A_DQ15 37 38 M_A_DQ10 M_B_DQ11 37 38 M_B_DQ14 +3V +3V M_A_A5 RP17 3 4 56X2
DQ11 DQ15 DQ11 DQ15 M_A_A3
39 VSS50 VSS54 40 39 VSS50 VSS54 40 1 2
M_A_A1 RP15 3 4 56X2 SMDDR_VTERM
41 VSS18 VSS20 42 41 VSS18 VSS20 42
M_A_DQ21 43 44 M_A_DQ20 M_B_DQ17 43 44 M_B_DQ16 M_A_A7 1 2
M_A_DQ17 DQ16 DQ20 M_A_DQ16 M_B_DQ20 DQ16 DQ20 M_B_DQ21 C93 C102 C694 C691 M_CKE1 RP28 56X2
45 DQ17 DQ21 46 45 DQ17 DQ21 46 3 4
47 48 47 48 2.2U-6.3V_6 0.1U 2.2U-6.3V_6 0.1U M_A_A10 1 2
SDRAM SO-DIMM

SDRAM SO-DIMM
M_A_DQS#2 VSS1 VSS6 M_B_DQS#2 VSS1 VSS6 M_A_BS#0 RP11 56X2
49 DQS#2 NC3 50 PM_EXTTS#0 (7) 49 DQS#2 NC3 50 PM_EXTTS#0 (7) 3 4
M_A_DQS2 51 52 M_A_DM2 M_B_DQS2 51 52 M_B_DM2 M_A_A4 1 2
DQS2 DM2 DQS2 DM2 M_A_A11 RP19 56X2
53 VSS19 VSS21 54 53 VSS19 VSS21 54 3 4
M_A_DQ23 55 M_A_DQ18 M_B_DQ19 M_B_DQ18 M_A_A2
PC4800 DDR2

56 55

PC4800 DDR2
DQ18 DQ22 DQ18 DQ22 56 1 2
M_A_DQ19 57 58 M_A_DQ22 M_B_DQ23 57 58 M_B_DQ22 M_A_A6 RP13 3 4 56X2 SMDDR_VTERM
DQ19 DQ23 DQ19 DQ23 SMDDR_VREF_DIMM SMDDR_VREF_DIMM
59 VSS22 VSS24 60 59 VSS22 VSS24 60
M_A_DQ24 61 62 M_A_DQ28 M_B_DQ28 61 62 M_B_DQ24 M_A_A0 1 2
M_A_DQ25 DQ24 DQ28 M_A_DQ29 M_B_DQ29 DQ24 DQ28 M_B_DQ25 M_A_BS#1 RP9 56X2
63 DQ25 DQ29 64 63 DQ25 DQ29 64 3 4
65 66 65 66 M_A_A12 1 2
M_A_DM3 VSS23 VSS25 M_A_DQS#3 M_B_DM3 VSS23 VSS25 M_B_DQS#3 M_A_A9 RP21 56X2
67 DM3 DQS#3 68 67 DM3 DQS#3 68 3 4
69 70 M_A_DQS3 69 70 M_B_DQS3 C346 C339 C344 C330 M_A_WE# 1 2
NC4 DQS3 NC4 DQS3
(200P)

(200P)
71 72 71 72 2.2U-6.3V_6 0.1U 2.2U-6.3V_6 0.1U M_A_CAS# RP7 3 4 56X2 SMDDR_VTERM
M_A_DQ30 VSS9 VSS10 M_A_DQ27 M_B_DQ30 VSS9 VSS10 M_B_DQ26
73 DQ26 DQ30 74 73 DQ26 DQ30 74
M_A_DQ31 75 76 M_A_DQ26 M_B_DQ31 75 76 M_B_DQ27 M_B_BS#1 1 2
DQ27 DQ31 DQ27 DQ31 M_B_A0 RP12 56X2
77 VSS4 VSS8 78 77 VSS4 VSS8 78 3 4
M_CKE0 79 80 M_CKE1 M_CKE2 79 80 M_CKE3 M_B_A3 1 2
(7) M_CKE0 CKE0 CKE1 M_CKE1 (7) (7) M_CKE2 CKE0 CKE1 M_CKE3 (7)
81 82 81 82 M_B_A1 RP14 3 4 56X2
3 VDD7 VDD8 VDD7 VDD8 M_B_A8 3
83 NC1 A15 84 83 NC1 A15 84 1 2
M_A_BS#2 85 86 M_B_BS#2 85 86 M_B_A5 RP16 3 4 56X2 SMDDR_VTERM
(6) M_A_BS#2 A16_BA2 A14 (6) M_B_BS#2 A16_BA2 A14
87 VDD9 VDD11 88 87 VDD9 VDD11 88
M_A_A12 89 90 M_A_A11 M_B_A12 89 90 M_B_A11 M_B_A4 1 2
M_A_A9 A12 A11 M_A_A7 M_B_A9 A12 A11 M_B_A7 M_B_A2 RP18 56X2
91 A9 A7 92 91 A9 A7 92 3 4
M_A_A8 93 94 M_A_A6 M_B_A8 93 94 M_B_A6 M_B_A12 1 2
A8 A6 A8 A6 M_B_A9 RP20 56X2
95 VDD5 VDD4 96 95 VDD5 VDD4 96 3 4
M_A_A5 97 98 M_A_A4 M_B_A5 97 98 M_B_A4 M_B_A7 1 2
M_A_A3 A5 A4 M_A_A2 M_B_A3 A5 A4 M_B_A2 M_B_A11 RP27 56X2
99 A3 A2 100 99 A3 A2 100 3 4
M_A_A1 101 102 M_A_A0 M_B_A1 101 102 M_B_A0 M_B_BS#2 1 2
A1 A0 A1 A0 M_CKE2 RP26 56X2 SMDDR_VTERM
103 VDD10 VDD12 104 103 VDD10 VDD12 104 3 4
M_A_A10 105 106 M_A_BS#1 M_B_A10 105 106 M_B_BS#1
A10/AP BA1 M_A_BS#1 (6) A10/AP BA1 M_B_BS#1 (6)
M_A_BS#0 107 108 M_A_RAS# M_B_BS#0 107 108 M_B_RAS# M_B_A13 1 2
(6) M_A_BS#0 BA0 RAS# M_A_RAS# (6) (6) M_B_BS#0 BA0 RAS# M_B_RAS# (6)
M_A_WE# 109 110 M_CS#0 M_B_WE# 109 110 M_CS#2 M_B_RAS# RP8 3 4 56X2
(6) M_A_WE# WE# S0# M_CS#0 (7) (6) M_B_WE# WE# S0# M_CS#2 (7)
111 112 111 112 M_B_CAS# 1 2
M_A_CAS# VDD2 VDD1 M_ODT0 M_B_CAS# VDD2 VDD1 M_ODT2 M_B_WE# RP4 56X2
(6) M_A_CAS# 113 CAS# ODT0 114 M_ODT0 (7) (6) M_B_CAS# 113 CAS# ODT0 114 M_ODT2 (7) 3 4
M_CS#1 115 116 M_A_A13 M_CS#3 115 116 M_B_A13 M_B_BS#0 1 2
(7) M_CS#1 S1# A13 (7) M_CS#3 S1# A13
117 118 117 118 M_B_A10 RP10 3 4 56X2 SMDDR_VTERM
M_ODT1 VDD3 VDD6 M_ODT3 VDD3 VDD6
(7) M_ODT1 119 ODT1 NC2 120 (7) M_ODT3 119 ODT1 NC2 120
121 122 121 122 M_A_A13 1 2
M_A_DQ37 VSS11 VSS12 M_A_DQ35 M_B_DQ37 VSS11 VSS12 M_B_DQ33 M_CS#0 RP3 56X2
123 DQ32 DQ36 124 123 DQ32 DQ36 124 3 4
M_A_DQ36 125 126 M_A_DQ32 M_B_DQ36 125 126 M_B_DQ32 M_CS#2 1 2
DQ33 DQ37 DQ33 DQ37 M_ODT2 RP5 56X2
127 VSS26 VSS28 128 127 VSS26 VSS28 128 3 4
M_A_DQS#4 129 130 M_A_DM4 M_B_DQS#4 129 130 M_B_DM4 M_CS#3 1 2
M_A_DQS4 DQS#4 DM4 M_B_DQS4 DQS#4 DM4 M_ODT3 RP1 56X2
131 DQS4 VSS42 132 131 DQS4 VSS42 132 3 4
133 134 M_A_DQ33 133 134 M_B_DQ34 M_CS#1 1 2
M_A_DQ39 VSS2 DQ38 M_A_DQ34 M_B_DQ39 VSS2 DQ38 M_B_DQ38 M_ODT1 RP2 56X2
135 DQ34 DQ39 136 135 DQ34 DQ39 136 3 4
M_A_DQ38 137 138 M_B_DQ35 137 138 M_B_A6 1 2
DQ35 VSS55 M_A_DQ45 DQ35 VSS55 M_B_DQ45 M_CKE3 RP29 56X2
139 VSS27 DQ44 140 139 VSS27 DQ44 140 3 4
M_A_DQ40 141 142 M_A_DQ44 M_B_DQ40 141 142 M_B_DQ44 M_CKE0 1 2
M_A_DQ41 DQ40 DQ45 M_B_DQ41 DQ40 DQ45 M_A_BS#2 RP30 56X2 SMDDR_VTERM
143 DQ41 VSS43 144 143 DQ41 VSS43 144 3 4
145 146 M_A_DQS#5 145 146 M_B_DQS#5
M_A_DM5 VSS29 DQS#5 M_A_DQS5 M_B_DM5 VSS29 DQS#5 M_B_DQS5
147 DM5 DQS5 148 147 DM5 DQS5 148
2 149 150 149 150 2
M_A_DQ42 VSS51 VSS56 M_A_DQ46 M_B_DQ47 VSS51 VSS56 M_B_DQ46
151 DQ42 DQ46 152 151 DQ42 DQ46 152 (2,3,7,8,9,12,13,14,15,16,17,18,19,22,23,24,28,31,32,34,35,36,39,42,43) +3V
M_A_DQ43 153 154 M_A_DQ47 M_B_DQ42 153 154 M_B_DQ43
DQ43 DQ47 DQ43 DQ47 (7,8,36,41,42) 1.8VSUS
155 VSS40 VSS44 156 155 VSS40 VSS44 156 (36,41) SMDDR_VTERM
M_A_DQ53 157 158 M_A_DQ49 M_B_DQ49 157 158 M_B_DQ52
DQ48 DQ52 DQ48 DQ52 (7,36,41) SMDDR_VREF
M_A_DQ52 159 160 M_A_DQ48 M_B_DQ53 159 160 M_B_DQ48
DQ49 DQ53 DQ49 DQ53
161 VSS52 VSS57 162 161 VSS52 VSS57 162
163 164 M_CLK_DDR1 163 164 M_CLK_DDR2
NCTEST CK1 M_CLK_DDR1 (7) NCTEST CK1 M_CLK_DDR2 (7)
165 166 M_CLK_DDR#1 165 166 M_CLK_DDR#2
VSS30 CK1# M_CLK_DDR#1 (7) VSS30 CK1# M_CLK_DDR#2 (7)
M_A_DQS#6 167 168 M_B_DQS#6 167 168
M_A_DQS6 DQS#6 VSS45 M_A_DM6 M_B_DQS6 DQS#6 VSS45 M_B_DM6
169 DQS6 DM6 170 169 DQS6 DM6 170
171 VSS31 VSS32 172 171 VSS31 VSS32 172
M_A_DQ50 173 174 M_A_DQ54 M_B_DQ55 173 174 M_B_DQ51
M_A_DQ51 DQ50 DQ54 M_A_DQ55 M_B_DQ50 DQ50 DQ54 M_B_DQ54
175 DQ51 DQ55 176 175 DQ51 DQ55 176
177 178 177 178 +3V
M_A_DQ56 VSS33 VSS35 M_A_DQ57 M_B_DQ61 VSS33 VSS35 M_B_DQ56
179 DQ56 DQ60 180 179 DQ56 DQ60 180
M_A_DQ60 181 182 M_A_DQ61 M_B_DQ57 181 182 M_B_DQ60
DQ57 DQ61 DQ57 DQ61
183 VSS3 VSS7 184 183 VSS3 VSS7 184
M_A_DM7 185 186 M_A_DQS#7 M_B_DM7 185 186 M_B_DQS#7
DM7 DQS#7 M_A_DQS7 DM7 DQS#7 M_B_DQS7
187 VSS34 DQS7 188 187 VSS34 DQS7 188
M_A_DQ62 189 190 M_B_DQ63 189 190 R703
DQ58 VSS36 DQ58 VSS36 U5

8
M_A_DQ58 191 192 M_A_DQ59 M_B_DQ58 191 192 M_B_DQ59 *0 C123
DQ59 DQ62 M_A_DQ63 DQ59 DQ62 M_B_DQ62 *0.1U
193 194 193 194

+VS
CGDAT_SMB VSS14 DQ63 CGDAT_SMB VSS14 DQ63
195 SDA VSS13 196 (2,31) CGDAT_SMB 195 SDA VSS13 196 7 A0
CGCLK_SMB 197 198 CGCLK_SMB 197 198 6
SCL SA0 (2,31) CGCLK_SMB SCL SA0 A1
199 200 199 200 R43 *0 5 3
+3V VDD(SPD) SA1 +3V VDD(SPD) SA1 (3,17) THDAT_SMB A2 O.S
R50 *0
(3,17) THCLK_SMB
PC4800 DDR2 PC4800 DDR2
(2,31) CGDAT_SMB R41 *0 1
R36 R38 R37 R39 R42 *0 SDA
CLOCK 0,1 CLOCK 3,4 2

GND
(2,31) CGCLK_SMB SCL
10K_6 10K_6 10K_6 10K_6
SMDDR_VREF_DIMM R182 0
CKE 0,1 SMDDR_VREF (7,36,41) CKE 2,3
+3V
*LM75CIMM3

4
1
( Channel A ) R199 R200 1.8VSUS
( Channel B ) 1

SMbus address A0 SMbus address A1


*10K/F_6 *10K/F_6

SMDDR_VTERM
High=9.2mm High=5.2mm
SMDDR_VTERM

C201 C143 C180 C131 C149 C169 C200 C187 C195 C139 C157 C133 C188
PROJECT : MA7
0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U C134
0.1U
C175
0.1U
C189
0.1U
C159
0.1U
C152
0.1U
C167
0.1U
C138
0.1U
C168
0.1U
C148
0.1U
C194
0.1U
C181
0.1U
C177
0.1U
C136
0.1U
Quanta Computer Inc.
Size Document Number Rev
Custom 3A
Layout note: Place one cap close to every 2 pullup resistors terminated to SMDDR_VTERM
DDR II SODIMM
Date: Friday, December 23, 2005 Sheet 11 of 45
A B C D E
5 4 3 2 1

RTC VCCRTC
C436
1U-16V_6
VCCRTC
3VPCU
D14
CH500H-40 R252
20K/F_6 C331 CLK_32KX1
VCCRTC_2 18P +3V +3V

1
D15

1
R254 CH500H-40 R253 G1 Y2 R178
1K_6 1M_6 *SHORT_ PAD1 32.768KHZ 10M_6 R82 R93
D D
C435
1U-16V_6 U29A 10K 10K

4
2
AB1 RTXC1 LAD0 AA6 LAD0/FWH0 (31,34)
C320 CLK_32KX2 AB2 AB5 RCIN#
RTCX2 LAD1 LAD1/FWH1 (31,34)
CN8 18P AC4 GATEA20
LAD2 LAD2/FWH2 (31,34)

LPC
RTC
2 RTCRST# AA3 Y6
2 RTCRST# LAD3 LAD3/FWH3 (31,34) +1.05V
1 1 SM_INTRUDER# Y5 AC3
INTRUDER# LDRQ0# LPC_DRQ0# (34)
JAE-FI-S2P-HF ICH_INTVRMEN W4 AA5 LDRQ#1
INTVRMEN LDRQ1#/GPIO23 T84

T151 W1 EE_CS LFRAME# AB3 LFRAME#/FWH4 (31,34)


T153 Y1 EE_SHCLK
Y2 AE22 GATEA20 R503 R84 +1.05V
T150 EE_DOUT A20GATE GATEA20 (34)
5VPCU *56 *56
20MIL 20MIL T88 W3 EE_DIN A20M# AH28 H_A20M# (3)
Q18 T85 V3 AG27 TP_H_CPUSLP# R64 *0
LAN_CLK CPUSLP# H_CPUSLP# (3,5)
R269 1.2K_6 VCCRTC_1 R268 1K_6VCCRTC_3 3 1
T90 U3 AF24 H_DPRSTP#_R R86 0 R75
LAN_RSTSYNC TP1/DPRSTP# ICH_DPRSTP# (3,43)

LAN
CPU
MMBT3904 AH25 H_DPSLP#_R R493 0 56
TP2/DPSLP# H_DPSLP# (3)
R256 U5 LAN_RXD0
2

V4 LAN_RXD1 FERR# AG26 H_FERR# (3)


4.7K T5 LAN_RXD2 R494 0
GPIO49/CPUPWRGD AG24 H_PWRGD (3)
U7 LAN_TXD0
V6 LAN_TXD1
V7 LAN_TXD2 IGNNE# AG22 H_IGNNE# (3)
R255 AG21
INIT3_3V# T155
ACZ_BCLK U1 AF22
ACZ_BIT_CLK INIT# H_INIT# (3)
15K_6 ACZ_SYNC R6 AF25

AC-97/AZALIA
ACZ_SYNC INTR H_INTR (3) +1.05V
C C
+3V ACZ_RST# R5 AG23 RCIN#
ACZ_RST# RCIN# RCIN# (34)
ACZ_SDIN0 T2 AH24
(29) ACZ_SDIN0 ACZ_SDIN0 NMI H_NMI (3)
ACZ_SDIN1 T3 AF23 H_SMI#_R R94 0
(29) ACZ_SDIN1 ACZ_SDIN1 SMI# H_SMI# (3)
R113 ACZ_SDIN2 T1 R71
10K T149 ACZ_SDIN2 56
STPCLK# AH22 H_STPCLK# (3)
ACZ_SDOUT T4 ACZ_SDOUT H_THERMTRIP_R R74 24.9/F_6
THERMTRIP# AF26 PM_THRMTRIP# (3,7)
SATA_LED# AF18
(35) SATA_LED# SATALED#
PDD[15:0] (32) Should be 2" close ICH7
C295 3900P SATA_RXN0_C AF3 AB15 PDD0
(32) SATA_RXN0 SATA0RXN DD0
C299 3900P SATA_RXP0_C AE3 AE14 PDD1
(32) SATA_RXP0 SATA0RXP DD1
C684 3900P SATA_TXN0_C AG2 AG13 PDD2
(32) SATA_TXN0 SATA0TXN DD2
C683 3900P SATA_TXP0_C AH2 AF13 PDD3
(32) SATA_TXP0 SATA0TXP DD3
AD14 PDD4
SATA_RXN2_C DD4 PDD5
T240 AF7 SATA2RXN DD5 AC13
T241 SATA_RXP2_C AE7 AD12 PDD6
SATA_TXN2_C SATA2RXP DD6 PDD7
T242 AG6 SATA2TXN DD7 AC12
T243 SATA_TXP2_C AH6 AE12 PDD8 ACZ_SDOUT R180 39
SATA2TXP DD8 ACZ_SDOUT_AUDIO (29)
AF12 PDD9
DD9 PDD10
(2) CLK_PCIE_SATA# AF1 SATA_CLKN DD10 AB13

SATA
AE1 AC14 PDD11 C335
(2) CLK_PCIE_SATA SATA_CLKP DD11
AF14 PDD12 *10P
DD12 PDD13
AH10 SATARBIASN DD13 AH13
R502 24.9/F_6 SATA_BIAS AG10 AH14 PDD14
SATARBIASP DD14 PDD15
25mils/15mils DD15 AC15
+3V
PDA[2:0] (32)
PDA0
(32) PDIOR# AF15
AH15
DIOR# IDE DA0 AH17
AE17 PDA1
B (32) PDIOW# DIOW# DA1 B
AF16 AF17 PDA2
(32) PDDACK# DDACK# DA2
IRQ14 AH16 ACZ_SYNC R181 39
(32) IRQ14 IDEIRQ ACZ_SYNC_AUDIO (29)
R122 PDIORDY AG16 AE16
(32) PDIORDY IORDY DCS1# PDCS1# (32)
8.2K_4 R115 AE15 AD16
(32) PDDREQ DDREQ DCS3# PDCS3# (32)
4.7K C336
ICH7-M *10P

PDIORDY
IRQ14

ACZ_BCLK R484 39
BIT_CLK_AUDIO (29)

C674
15P
VCCRTC
ICH7 internal VR
enable strap
R179
INTVRMEN 332K/F_6

Enable ICH_INTVRMEN ACZ_RST# R139 39


ACZ_RST#_AUDIO (29)
(default) 1
R177
A Disable 0 *0
A

PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
Custom 3A
ICH7-M HOST (1 OF 4)
Date: Friday, December 23, 2005 Sheet 12 of 45
5 4 3 2 1
5 4 3 2 1

U29D
F26 V26 +3V
(24) PCIE_RXN0 PERn1 DMI0RXN DMI_RXN0 (7)
Tekoa LAN F25 V25

Direct Media Interface


(24) PCIE_RXP0 PCIE_TXN0_C E28 PERp1 DMI0RXP DMI_RXP0 (7) RP31
C662 0.1U U28
(24) PCIE_TXN0 PCIE_TXP0_C E27 PETn1 DMI0TXN DMI_TXN0 (7)
C661 0.1U U27 REQ2# 6 5
(24) PCIE_TXP0 PETp1 DMI0TXP DMI_TXP0 (7)
REQ1# 7 4 TRDY#
H26 Y26 FRAME# 8 3 BAYON#
(31) PCIE_RXN1 PERn2 DMI1RXN DMI_RXN1 (7)
STOP# DEVSEL#
PCI-E Mini Card (31) PCIE_RXP1
C667 0.1U
H25
PCIE_TXN1_C G28 PERp2 DMI1RXP Y25
W28
DMI_RXP1 (7)
+3V
9
10
2
1 REQ3#
(31) PCIE_TXN1 PCIE_TXP1_C G27 PETn2 DMI1TXN DMI_TXN1 (7)
C664 0.1U W27
(31) PCIE_TXP1 PETp2 DMI1TXP DMI_TXP1 (7)
8.2KX8

PCI-Express
K26 PERn3 DMI2RXN AB26 DMI_RXN2 (7)
D
K25 PERp3 DMI2RXP AB25 DMI_RXP2 (7) D
J28 AA28 +3V
PETn3 DMI2TXN DMI_TXN2 (7)
J27 PETp3 DMI2TXP AA27 DMI_TXP2 (7) RP32
M26 AD25 INTE# 6 5
PERn4 DMI3RXN DMI_RXN3 (7)
M25 AD24 +1.5V SERR# 7 4
PERp4 DMI3RXP DMI_RXP3 (7)
L28 AC28 LOCK# 8 3 INTB#
PETn4 DMI3TXN DMI_TXN3 (7)
L27 AC27 PERR# 9 2 REQ5#
PETp4 DMI3TXP DMI_TXP3 (7)
+3V 10 1 BAYID0
+3V P26 AE28
PERn5 DMI_CLKN CLK_PCIE_ICH# (2)
P25 AE27 R70 8.2KX8
PERp5 DMI_CLKP CLK_PCIE_ICH (2)
N28 24.9/F_6
PETn5
N27 PETp5 DMI_ZCOMP C25 15/15mils
D25 DRI_IRCOMP_R Place within 500 +3V
R185 R186 R187 DMI_IRCOMP
T25 PERn6
mils of ICH7 RP33
10K 10K 10K T24 F1
PERp6 USBP0N USBP0- (33)
R28 F2 INTF# 6 5
PETn6 USBP0P USBP0+ (33) REQ0#
R27 PETp6 USBP1N G4 USBP1- (33) 7 4
G3 BAYID1 8 3 IRDY#
USBP1P USBP1+ (33) INTC#
T230 SPI_SCLK R2 H1 INTD# 9 2
SPI_CLK USBP2N USBP2- (33)
T231 SPI_CE# P6 H2 +3V 10 1 INTA#
SPI_CS# USBP2P USBP2+ (33)
P1 J4

SPI
T232 SPI_ARB USBP3N USBP3- (33)
J3 8.2KX8
USBP3P USBP3+ (33)
T233 SPI_SI P5 K1
SPI_MOSI USBP4N USBP4- (31)
SPI_SO P2 K2 Mini-Card

USB
T234 SPI_MISO USBP4P USBP4+ (31)
L4 3VSUS
USBP5N T217
USBOC#0 D3 L5 BAY(FDD)
(33) USBOC#0 OC0# USBP5P T218 RP34
USBOC#1 C4 M1
(33) USBOC#1 OC1# USBP6N USBP6- (31)
USBOC#2 D5 M2 Bluetooth Module USBOC#1 6 5
(33) USBOC#2 OC2# USBP6P USBP6+ (31)
USBOC#3 D4 N4 USBOC#3 7 4 USBOC#4
(33) USBOC#3 OC3# USBP7N T235 USBOC#5
C T219 USBOC#4 E5 N3 8 3 USBOC#2 C
OC4# USBP7P T236
T91 USBOC#5 C3 USBOC#0 9 2 USBOC#7
USBOC#6 OC5#/GPIO29 USBOC#6
T141 A2 OC6#/GPIO30 USBRBIAS# D2 3VSUS 10 1
USBOC#7 B3 D1 USB_RBIAS_PN
OC7#/GPIO31 USBRBIAS 8.2KX8
ICH7-M 25mils/15mils
CKL use 10Kohm
Place within 500 R476
mils of ICH7 22.6/F_6

ICH7 Boot BIOS select

U29B STRAP GNT5# GNT4#


(26) AD[0..31]
AD0 E18 D7 REQ0#
AD1 AD0 REQ0# GNT0#
T79 T61 R1 R2
AD2
C18
A16
AD1 PCI GNT0# E7
C16 REQ1#
T78
AD2 REQ1# T58
AD3 F18 D16 GNT1# R116 LPC
AD3 GNT1# T57
AD4 E16 C17 REQ2# *1K 11 UNSTUFF UNSTUFF
AD5 A18
AD4 REQ2#
D17 GNT2# REQ2# (26) (default)
AD5 GNT2# GNT2# (26)
AD6 E17 E13 REQ3#
AD6 REQ3# GNT3# T62
AD7 A17 F13
AD8 AD7 GNT3# BAYON#
A15 AD8 REQ4#/GPIO22 A13 T237 PCI 10 UNSTUFF STUFF
AD9 C14 A14 GNT4#
B AD9 GNT4#/GPIO48 T143 B
AD10 E14 C8
AD10 GPIO1/REQ5# T75
AD11 D14 D8 REQ5#
AD12 AD11 GPIO17/GNT5#
B12 AD12 SPI 01 STUFF UNSTUFF
AD13 C13 B15
AD13 C/BE0# C/BE0# (26)
AD14 G15 C12
AD14 C/BE1# C/BE1# (26)
AD15 G13 D12
AD15 C/BE2# C/BE2# (26)
AD16 E12 C15 R475 R133
AD16 C/BE3# C/BE3# (26)
AD17 C11 *1K *1K
AD18 AD17 IRDY#
D11 AD18 IRDY# A7 IRDY# (26)
AD19 A11 E10 PCLK_ICH
AD19 PAR PAR (26)
AD20 A10 B18
AD20 PCIRST# PCIRST# (23,26,34)
AD21 DEVSEL#
AD22
F11
F10
AD21 DEVSEL# A12
C9 PERR#
DEVSEL# (26)
PERR# (26)
Crisis SW SW3
AD23 AD22 PERR# LOCK# INTF# R691
E9 AD23 PLOCK# E11 1 2
AD24 D9 B10 SERR# *33
AD24 SERR# SERR# (26)
AD25 B9 F15 STOP#
AD25 STOP# STOP# (26)
AD26 A8 F14 TRDY# C316 *SHORT_ PAD1
AD26 TRDY# TRDY# (26)
AD27 A6 F16 FRAME# 0.1U
AD27 FRAME# FRAME# (26)
AD28 C7 C859
AD29 AD28 PLT_RST-R# *22P_6
B6 AD29 PLTRST# C26 PLT_RST-R# (7)
AD30 E6 A9 PCLK_ICH
AD30 PCICLK PCLK_ICH (2)
AD31 D6 B19
AD31 PME# PCI_PME# (26)

INTA# A3
Interrupt I/F G8 INTE# +3V
PIRQA# GPIO2/PIRQE# T64
INTB# B4 F7 INTF#
(26) INTB# PIRQB# GPIO3/PIRQF# BAYID0 T76
INTC# C5 F8 PCI DEVICE IDSEL# REQ# / GNT# Interrupts
(26) INTC# PIRQC# GPIO4/PIRQG# BAYID1
INTD# B5 G7
(26) INTD# PIRQD# GPIO5/PIRQH#
C147 PCI7412 AD25 REQ2# / GNT2# INT B/C/D#
A
TP_ICH_RSVD1 AE5
MISC AE9 TP_ICH_RSVD6 0.1U_6
A
T83 RSVD[1] RSVD[6] T71
T77 TP_ICH_RSVD2 AD5 AG8 TP_ICH_RSVD7 U8
RSVD[2] RSVD[7] T156
5

T158 TP_ICH_RSVD3 AG4 AH8 TP_ICH_RSVD8


RSVD[3] RSVD[8] T157
T154 TP_ICH_RSVD4 AH4 F21 RSVD9 PLT_RST-R# 2
TP_ICH_RSVD5 RSVD[4] RSVD[9]
T72 AD9 RSVD[5] MCH_SYNC# AH20 MCH_ICH_SYNC (7) 4 PLTRST# (14,16,24,31,32)
1
ICH7-M
R88 TC7SH08FU
PROJECT : MA7
*1K
Quanta Computer Inc.
3

Size Document Number Rev


Custom 3A
ICH7-M PCI E (2 OF 4)
Date: Friday, December 23, 2005 Sheet 13 of 45
5 4 3 2 1
5 4 3 2 1
+3V
3V_S5
3V_S5 3V_S5 CLKRUN# R501 8.2K_4
R473
DNBSWON# R461 10K SERIRQ R495 8.2K_4
No stuff-->boot PCLK_SMB R83 2.2K RI# R465 10K SYS_RST# R469 10K
PDAT_SMB R79 2.2K SMB_LINK_ALERT# R466 10K RUNTIME_SCI#_R R123 10K
Stuff-->No boot SMLINK0 R459 10K
SMLINK1 R467 10K RSMRST# R142 10K
EXTSMI#_R R472 *10K
PCIE_WAKE# R101 1K WAKE_SCI#_R R106 *10K
PM_BATLOW#_R R85 8.2K_4 SMB_ALERT# R468 10K
D +3V +3V +3V +3V D
SWI# R464 10K 3V_S5
+3V
to Clock Gen & DIMM MXM_THERM# R125 10K
LAN_DISABLE# R163 10K R500 R109 R497 R108

R473 U29C *10K *10K 10K *10K


*1K PCLK_SMB C22 AF19 BOARD_ID3
(2) PCLK_SMB SMBCLK GPIO21/SATA0GP BOARD_ID2
PDAT_SMB B22 AH18 BOARD_ID2 BOARD_ID3 BOARD_ID0 BOARD_ID1

SMB
(2) PDAT_SMB SMBDATA GPIO19/SATA1GP BOARD_ID0
SMB_LINK_ALERT#

SATA
GPIO
A26 LINKALERT# GPIO36/SATA2GP AH19
T142 SMLINK0 BOARD_ID1
(29) ACZ_SPKR T138 B25 SMLINK0 GPIO37/SATA3GP AE19
SMLINK1 A25 R499 R112 R498 R111
T139 SMLINK1
AC1 14M_ICH
CLK14 14M_ICH (2) 10K 10K *10K 10K
RI# CLKUSB_48

Clocks
(29) RI# A28 RI# CLK48 B2 CLKUSB_48 (2)
+3V
A19 SPKR SUSCLK C20 T48
(34) SUS_STAT# A27 SUS_STAT#
XDP_DBRESET# 0 SYS_RST# A22 B24 R460 100/F
(3) XDP_DBRESET# SYS_RST# SLP_S3# SUSB# (34)
R470 D23 R76 100/F
SLP_S4# SUSC# (34) Board ID ID:0 ID0:1
R104 R98 R118 0 AB18 F22 R80 0
(7) PM_BMBUSY# GPIO0/BM_BUSY# SLP_S5# T45
*10K *10K R78 0
SMB_ALERT# PM_EXTTS#1 (7) ID1:0
B23 AA4 ICH_PWROK
GPIO11/SMBALERT# PWROK

Power MGT
R99 0 PM_STPPCI_ICH# AC20 AC22 PM_DPRSLPVR
C (2) PM_STPPCI# GPIO18/STPPCI# GPIO16/DPRSLPVR PM_DPRSLPVR (43) ID1:1 C
R105 0 PM_STPCPU_ICH# AF21

GPIO
(2) PM_STPCPU# GPIO20/STPCPU#
C21 PM_BATLOW#_R R81 100/F

SYS
TP0/BATLOW# BATLOW# (34)
T227 A21 GPIO26
C23 DNBSWON# CLKUSB_48 14M_ICH
PWRBTN# DNBSWON# (34)
BIOS_REC_R B21 R102 *100K
FWH_MFG_MODE_R GPIO27
E23 GPIO28
C19 R97 0 R474 R489
LAN_RST# PLTRST# (13,16,24,31,32)
+3V CLKRUN# AG18 *10_6 *33/B
(26,34) CLKRUN# GPIO32/CLKRUN#
Y4 PM_RSMRST#_R R143 100/F RSMRST#
PENABLE RSMRST# RSMRST# (34)
AC19 GPIO33/AZ_DOCK_EN#
U2 E20 SWI#
(35) RF_LED_OFF# GPIO34/AZ_DOCK_RST# GPIO9 SWI# (34)
R496 A20 C659 C677
GPIO10 T140
10K PCIE_WAKE# F20 F19 MXM_THERM# *10P *10P
(24,31) PCIE_WAKE# WAKE# GPIO12 T66
SERIRQ AH21 E19 WAKE_SCI#_R
(26,34) SERIRQ SERIRQ GPIO13 T47
(3,34) THERM_ALERT# AF20 THRM# GPIO14 R4 T87
GPIO15 E22 T44
VR_PWRGD_CK410 AD22 R3 LAN_DISABLE#
(2) VR_PWRGD_CK410 VRMPWRGD GPIO24 T229
D20 R463 100/F
GPIO25 BT_ON# (31)
(22) FPBACK# AC21 GPIO6 GPIO35 AD21 SWAPBLINK T244
(34) SCI#
R126 0 RUNTIME_SCI#_R AC18 GPIO7 GPIO GPIO38 AD20 SWAPLED T245
R471 0 EXTSMI#_R E21 AE20 SWAPLED1
(34) KBSMI# GPIO8 GPIO39 T246, don't pull down .
GPIO25 /Suspend rail is a HW strap
ICH7-M
B B
3V_S5
+3V SWAPBLINK R753 10K +3V
PENABLE R87 1M SWAPLED R754 10K
+3V SWAPLED1
R96 R704 R755 10K
*10K 10K
RF_LED_OFF# R161 10K
+3V
R95
BIOS_REC 0 BIOS_REC_R BT_ON# R462 10K 3V_S5
3VSUS
R100
*0
C675
R490 6.8K/F 0.047U
+3V
5

U31
3V_S5 2
(7,43) DELAY_VR_PWRGOOD
4 ICH_PWROK
(22,34) PWROK 1
R73
10K R487 TC7SH08FU R488
10K
3

A A
R72
FWH_MFG_MODE 0 FWH_MFG_MODE_R 100K

R77
PROJECT : MA7
*0
Quanta Computer Inc.
Size Document Number Rev
B 3A
ICH7-M GPIO (3 OF 4)
Date: Friday, December 23, 2005 Sheet 14 of 45
5 4 3 2 1
5 4 3 2 1
+3V
U29E +5V D10 +1.05V

1
A4 P28 RB461F U29F
VSS[1] VSS[98] V5REF(1)
A23 VSS[2] VSS[99] R1 G10 V5REF[1] Vcc1_05[1] L11
B1 VSS[3] VSS[100] R11 Vcc1_05[2] L12
B8 R12 3V_S5 AD17 L14 + C685
VSS[4] VSS[101] R134 5V_S5 D13 V5REF[2] Vcc1_05[3] C204 C241 330U-2.5V
B11 VSS[5] VSS[102] R13 Vcc1_05[4] L16

1
B14 R14 15/15mils 100/F_6 RB461F V5REF_SUS F6 L17
VSS[6] VSS[103] V5REF_Sus Vcc1_05[5] 0.1U 0.1U

3
B17 VSS[7] VSS[104] R15 Vcc1_05[6] L18
B20 R16 15/15mils AA22 M11
VSS[8] VSS[105] Vcc1_5_B[1] Vcc1_05[7]
B26 VSS[9] VSS[106] R17 AA23 Vcc1_5_B[2] Vcc1_05[8] M18
C253 C183 R173

CORE
B28 VSS[10] VSS[107] R18 AB22 Vcc1_5_B[3] Vcc1_05[9] P11
C2 T6 1U-10V_6 0.1U 10/F_6 AB23 P18
VSS[11] VSS[108] Vcc1_5_B[4] Vcc1_05[10] C245 C248 C192 C236

3
C6 VSS[12] VSS[109] T12 AC23 Vcc1_5_B[5] Vcc1_05[11] T11
D
C27 VSS[13] VSS[110] T13 AC24 Vcc1_5_B[6] Vcc1_05[12] T18 D
D10 T14 AC25 U11 0.1U 0.1U 0.1U 0.1U
VSS[14] VSS[111] C318 C272 Vcc1_5_B[7] Vcc1_05[13]
D13 VSS[15] VSS[112] T15 AC26 Vcc1_5_B[8] Vcc1_05[14] U18
D18 T16 1U-10V_6 0.1U AD26 V11
VSS[16] VSS[113] Vcc1_5_B[9] Vcc1_05[15]
D21 VSS[17] VSS[114] T17 AD27 Vcc1_5_B[10] Vcc1_05[16] V12
D24 U4 AD28 V14 C185 C184 C205 C233
VSS[18] VSS[115] +1.5V Vcc1_5_B[11] Vcc1_05[17] C234
E1 VSS[19] VSS[116] U12 D26 Vcc1_5_B[12] Vcc1_05[18] V16
E2 U13 D27 V17 0.1U 0.1U 0.1U 0.1U 0.1U
VSS[20] VSS[117] +1.5V_PCIE_ICH Vcc1_5_B[13] Vcc1_05[19] 3V_S5
E4 VSS[21] VSS[118] U14 D28 Vcc1_5_B[14] V18
E8 U15 E24 VCC PAUX Vcc1_05[20]
VSS[22] VSS[119] L10 Vcc1_5_B[15]
E15 VSS[23] VSS[120] U16 E25 Vcc1_5_B[16] VccSus3_3/VccLAN3_3[1] V5
F3 U17 1 2 E26 V1 +3V
VSS[24] VSS[121] Vcc1_5_B[17] VccSus3_3/VccLAN3_3[2]
F4 VSS[25] VSS[122] U24 F23 Vcc1_5_B[18] VccSus3_3/VccLAN3_3[3] W2
F5 U25 MPZ1608S101A F24 W7 C249
VSS[26] VSS[123] + C171 Vcc1_5_B[19] VccSus3_3/VccLAN3_3[4] 3V_S5 0.1U
F12 VSS[27] VSS[124] U26 G22 Vcc1_5_B[20]
F27 V2 220U-2.5V C153 C150 C156 G23 U6
VSS[28] VSS[125] 0.1U 0.1U 0.1U Vcc1_5_B[21] Vcc3_3/VccHDA C237
F28 VSS[29] VSS[126] V13 H22 Vcc1_5_B[22]
G1 V15 H23 R7 0.1U
VSS[30] VSS[127] Vcc1_5_B[23] VccSus3_3/VccSusHDA C182
G2 VSS[31] VSS[128] V24 J22 Vcc1_5_B[24]
G5 V27 J23 AE23 0.1U +1.05V
VSS[32] VSS[129] Vcc1_5_B[25] V_CPU_IO[1]
G6 VSS[33] VSS[130] V28 K22 Vcc1_5_B[26] V_CPU_IO[2] AE26
G9 W6 K23 AH26

VCCA3GP
VSS[34] VSS[131] Vcc1_5_B[27] V_CPU_IO[3]
G14 VSS[35] VSS[132] W24 L22 Vcc1_5_B[28]
G18 W25 L23 AA7 +3V C186 C191 C686
VSS[36] VSS[133] Vcc1_5_B[29] Vcc3_3[3]
G21 VSS[37] VSS[134] W26 M22 Vcc1_5_B[30] Vcc3_3[4] AB12
G24 Y3 M23 AB20 0.1U 0.1U 4.7U-10V_8
VSS[38] VSS[135] Vcc1_5_B[31] Vcc3_3[5]
G25 VSS[39] VSS[136] Y24 N22 Vcc1_5_B[32] Vcc3_3[6] AC16
G26 VSS[40] VSS[137] Y27 N23 Vcc1_5_B[33] Vcc3_3[7] AD13

IDE
H3 Y28 P22 AD18 C232
VSS[41] VSS[138] Vcc1_5_B[34] Vcc3_3[8] 0.1U
H4 VSS[42] VSS[139] AA1 P23 Vcc1_5_B[35] Vcc3_3[9] AG12
C H5 VSS[43] VSS[140] AA24 R22 Vcc1_5_B[36] Vcc3_3[10] AG15 C
H24 AA25 R23 AG19 +3V
VSS[44] VSS[141] Vcc1_5_B[37] Vcc3_3[11]
H27 VSS[45] VSS[142] AA26 R24 Vcc1_5_B[38]
H28 VSS[46] VSS[143] AB4 R25 Vcc1_5_B[39] Vcc3_3[12] A5
J1 VSS[47] VSS[144] AB6 R26 Vcc1_5_B[40] Vcc3_3[13] B13
J2 VSS[48] VSS[145] AB11 T22 Vcc1_5_B[41] Vcc3_3[14] B16
J5 AB14 +3V T23 B7 C235 C244 C199 C166
VSS[49] VSS[146] Vcc1_5_B[42] Vcc3_3[15] 0.1U 0.1U 0.1U 0.1U
J24 VSS[50] VSS[147] AB16 T26 Vcc1_5_B[43] Vcc3_3[16] C10

PCI
J25 VSS[51] VSS[148] AB19 T27 Vcc1_5_B[44] Vcc3_3[17] D15
J26 VSS[52] VSS[149] AB21 T28 Vcc1_5_B[45] Vcc3_3[18] F9
K24 VSS[53] VSS[150] AB24 U22 Vcc1_5_B[46] Vcc3_3[19] G11
K27 AB27 C203 U23 G12
VSS[54] VSS[151] 0.1U Vcc1_5_B[47] Vcc3_3[20] VCCRTC
K28 VSS[55] VSS[152] AB28 V22 Vcc1_5_B[48] Vcc3_3[21] G16
L13 VSS[56] VSS[153] AC2 V23 Vcc1_5_B[49]
L15 VSS[57] VSS[154] AC5 W22 Vcc1_5_B[50] VccRTC W5
L24 VSS[58] VSS[155] AC9 W23 Vcc1_5_B[51]
L25 AC11 Y22 P7 3V_S5
VSS[59] VSS[156] Vcc1_5_B[52] VccSus3_3[1] C333 C334
L26 VSS[60] VSS[157] AD1 Y23 Vcc1_5_B[53]
M3 AD3 +1.5V +1.5V_GPLL_ICH 30mils A24 0.1U 0.1U
VSS[61] VSS[158] L39 VccSus3_3[2]
M4 VSS[62] VSS[159] AD4 B27 Vcc3_3[1] VccSus3_3[3] C24
M5 AD7 R492 R491 1uH_6 D19
VSS[63] VSS[160] GPLL_R GPLL_R_L VccSus3_3[4] C246 C247
M12 VSS[64] VSS[161] AD8 AG28 VccDMIPLL VccSus3_3[5] D22
M13 AD11 G19 0.1U 0.1U
VSS[65] VSS[162] 0_6 1_6 C676 +1.5V VccSus3_3[6]
M14 VSS[66] VSS[163] AD15 AB7 Vcc1_5_A[1]
M15 AD19 C678 AC6 K3
VSS[67] VSS[164] 0.01U_6 10U-6.3V_8 Vcc1_5_A[2] VccSus3_3[7]
M16 VSS[68] VSS[165] AD23 AC7 Vcc1_5_A[3] VccSus3_3[8] K4
M17 AE2 AD6 K5 3V_S5
VSS[69] VSS[166] Vcc1_5_A[4] VccSus3_3[9]

ARX
M24 VSS[70] VSS[167] AE4 AE6 Vcc1_5_A[5] VccSus3_3[10] K6
M27 AE8 +1.5V C193 AF5 L1
VSS[71] VSS[168] 1U-10V_4 Vcc1_5_A[6] VccSus3_3[11]
B
M28 VSS[72] VSS[169] AE11 AF6 Vcc1_5_A[7] VccSus3_3[12] L2 B

USB
N1 VSS[73] VSS[170] AE13 AG5 Vcc1_5_A[8] VccSus3_3[13] L3
N2 AE18 AH5 L6 C155 C165
VSS[74] VSS[171] Vcc1_5_A[9] VccSus3_3[14] 0.1U 0.1U
N5 VSS[75] VSS[172] AE21 VccSus3_3[15] L7
N6 AE24 C273 AD2 M6
VSS[76] VSS[173] 0.1U VccSATAPLL VccSus3_3[16]
N11 VSS[77] VSS[174] AE25 VccSus3_3[17] M7
N12 AF2 +3V AH11 N7 +1.5V
VSS[78] VSS[175] Vcc3_3[2] VccSus3_3[18]
N13 VSS[79] VSS[176] AF4
N14 AF8 +1.5V AB10 AB17
VSS[80] VSS[177] C231 Vcc1_5_A[10] Vcc1_5_A[19] +1.5V
N15 VSS[81] VSS[178] AF11 30mils AB9 Vcc1_5_A[11] Vcc1_5_A[20] AC17
N16 AF27 0.1U AC10
VSS[82] VSS[179] 3VPCU Vcc1_5_A[12] C251
N17 VSS[83] VSS[180] AF28 AD10 Vcc1_5_A[13] Vcc1_5_A[21] T7
N18 AG1 AE10 F17 0.1U
VSS[84] VSS[181] Vcc1_5_A[14] Vcc1_5_A[22]

ATX
N24 AG3 R175 *0_6 C274 AF10 G17 +1.5V
VSS[85] VSS[182] 3V_S5 1U-10V_4 Vcc1_5_A[15] Vcc1_5_A[23] C196 C250
N25 VSS[86] VSS[183] AG7 AF9 Vcc1_5_A[16]
N26 AG11 R174 AG9 AB8 0.1U 0.1U
VSS[87] VSS[184] 0_6 Vcc1_5_A[17] Vcc1_5_A[24]
P3 VSS[88] VSS[185] AG14 AH9 Vcc1_5_A[18] Vcc1_5_A[25] AC8
P4 VSS[89] VSS[186] AG17
P12 AG20 +1.5V 3VS5_ICH_SUS3 E3 K7 TP_ICHVCCSUS1 C240
VSS[90] VSS[187] VccSus3_3[19] VccSus1_05[1] T81
P13 AG25 C317 0.1U
VSS[91] VSS[188] 0.1U TP_ICHVCCSUS2
P14 VSS[92] VSS[189] AH1 C1 VccUSBPLL VccSus1_05[2] C28 T147
P15 AH3 G20 TP_ICHVCCSUS3
VSS[93] VSS[190] VccSus1_05[3] T49
P16 AH7 T152 TPVCCSUSLAN1 AA2
VSS[94] VSS[191] R124 T73 TPVCCSUSLAN2 VccSus1_05/VccLAN1_05[1]
P17 VSS[95] VSS[192] AH12 Y7 VccSus1_05/VccLAN1_05[2]Vcc1_5_A[26] A1
P24 AH23 0.1U H6 +1.5V
VSS[96] VSS[193] Vcc1_5_A[27]

USB CORE
P27 VSS[97] VSS[194] AH27 Vcc1_5_A[28] H7
Vcc1_5_A[29] J6
ICH7-M J7
Vcc1_5_A[30]
ICH7-M C300
A 0.1U A

PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
Custom 3A
ICH7-M POWER (4 OF 4)
Date: Friday, December 23, 2005 Sheet 15 of 45
5 4 3 2 1
5 4 3 2 1

(7) PEG_RXP[15:0]
(7) PEG_RXN[15:0]
NOTE: some of the PCIE testpoints will (7)
(7)
PEG_TXP_C[0..15]
PEG_TXN_C[0..15]
be available trought via on traces. U33A

PART 1 OF 7
PEG_TXP_C0 AJ31 AK27 V_GMCHEXP_RXP0 C254 0.1U PEG_RXP0
PEG_TXN_C0 PCIE_RX0P PCIE_TX0P
AH31 PCIE_RX0N PCIE_TX0N AJ27 V_GMCHEXP_RXN0 C255 0.1U PEG_RXN0
D D

PEG_TXP_C1 AH30 P AJ25 V_GMCHEXP_RXP1 C277 0.1U PEG_RXP1


PEG_TXN_C1 PCIE_RX1P PCIE_TX1P
AG30 PCIE_RX1N AH25 V_GMCHEXP_RXN1 C278 0.1U PEG_RXN1
C PCIE_TX1N

PEG_TXP_C2
I
AG32 PCIE_RX2P PCIE_TX2P AH28 V_GMCHEXP_RXP2 C256 0.1U PEG_RXP2
PEG_TXN_C2 AF32 - AG28V_GMCHEXP_RXN2 C257 0.1U PEG_RXN2
PCIE_RX2N PCIE_TX2N
E
PEG_TXP_C3 AF31 X AG27V_GMCHEXP_RXP3 C279 0.1U PEG_RXP3
PEG_TXN_C3 PCIE_RX3P PCIE_TX3P
AE31 AF27 V_GMCHEXP_RXN3 C280 0.1U PEG_RXN3
PCIE_RX3N P PCIE_TX3N

PEG_TXP_C4
R
AE30 PCIE_RX4P PCIE_TX4P AF25 V_GMCHEXP_RXP4 C258 0.1U PEG_RXP4
PEG_TXN_C4 AD30 E AE25 V_GMCHEXP_RXN4 C259 0.1U PEG_RXN4
PCIE_RX4N PCIE_TX4N
S
PEG_TXP_C5 AD32 PCIE_RX5P
S PCIE_TX5P AE28 V_GMCHEXP_RXP5 C281 0.1U PEG_RXP5
PEG_TXN_C5 AC32 AD28 V_GMCHEXP_RXN5 C282 0.1U PEG_RXN5
PCIE_RX5N PCIE_TX5N
I
PEG_TXP_C6 AC31 AD27 V_GMCHEXP_RXP6 C260 0.1U PEG_RXP6
PEG_TXN_C6 AB31
PCIE_RX6P N PCIE_TX6P
AC27 V_GMCHEXP_RXN6 C261 0.1U PEG_RXN6
C PCIE_RX6N PCIE_TX6N C
T
PEG_TXP_C7 AB30 E AC25 V_GMCHEXP_RXP7 C283 0.1U PEG_RXP7
PEG_TXN_C7 PCIE_RX7P PCIE_TX7P
AA30 PCIE_RX7N R PCIE_TX7N AB25 V_GMCHEXP_RXN7 C284 0.1U PEG_RXN7

F
PEG_TXP_C8 AA32 AB28 V_GMCHEXP_RXP8 C262 0.1U PEG_RXP8
PEG_TXN_C8 Y32
PCIE_RX8P A PCIE_TX8P
AA28 V_GMCHEXP_RXN8 C263 0.1U PEG_RXN8
PCIE_RX8N PCIE_TX8N
C
PEG_TXP_C9 Y31 E AA27 V_GMCHEXP_RXP9 C285 0.1U PEG_RXP9
PEG_TXN_C9 PCIE_RX9P PCIE_TX9P
W31 PCIE_RX9N PCIE_TX9N Y27 V_GMCHEXP_RXN9 C286 0.1U PEG_RXN9

PEG_TXP_C10 W30 Y25 V_GMCHEXP_RXP10 C264 0.1U PEG_RXP10


PEG_TXN_C10 PCIE_RX10P PCIE_TX10P
V30 PCIE_RX10N PCIE_TX10N W25 V_GMCHEXP_RXN10 C265 0.1U PEG_RXN10

PEG_TXP_C11 V32 W28 V_GMCHEXP_RXP11 C287 0.1U PEG_RXP11


PEG_TXN_C11 PCIE_RX11P PCIE_TX11P
U32 PCIE_RX11N PCIE_TX11N V28 V_GMCHEXP_RXN11 C288 0.1U PEG_RXN11

PEG_TXP_C12 U31 V27 V_GMCHEXP_RXP12 C266 0.1U PEG_RXP12


PEG_TXN_C12 PCIE_RX12P PCIE_TX12P
B T31 PCIE_RX12N PCIE_TX12N U27 V_GMCHEXP_RXN12 C267 0.1U PEG_RXN12 B

PEG_TXP_C13 T30 U25 V_GMCHEXP_RXP13 C289 0.1U PEG_RXP13


PEG_TXN_C13 PCIE_RX13P PCIE_TX13P
R30 PCIE_RX13N PCIE_TX13N T25 V_GMCHEXP_RXN13 C290 0.1U PEG_RXN13

PEG_TXP_C14 R32 T28 V_GMCHEXP_RXP14 C268 0.1U PEG_RXP14


PEG_TXN_C14 PCIE_RX14P PCIE_TX14P
P32 PCIE_RX14N PCIE_TX14N R28 V_GMCHEXP_RXN14 C269 0.1U PEG_RXN14

+3V PEG_TXP_C15 P31 R27 V_GMCHEXP_RXP15 C291 0.1U PEG_RXP15


PEG_TXN_C15 PCIE_RX15P PCIE_TX15P
N31 PCIE_RX15N PCIE_TX15N P27 V_GMCHEXP_RXN15 C292 0.1U PEG_RXN15

Clock Calibration
C276 AL28
(2) CLK_PCIE_PEG PCIE_REFCLKP
0.1U_6 AK28
(2) CLK_PCIE_PEG# PCIE_REFCLKN
U11 AE24 R211 2K/F +1.2V_VPCIE
PCIE_CALRN
5

AD24 R189 562/F


PCIE_CALRP
(13,14,24,31,32) PLTRST# 2
4 PLTRST#_M26 AG24 AB24 R194 1.47K/F
PERSTB PCIE_CALI
1
AA24 PCIE_TEST
A TC7SH08FU T92 A
3

AF24 Tie To VSS


PERSTB_MASK
R149 *0
PROJECT : MA7
M54-P
Quanta Computer Inc.
Size Document Number Rev
B 3A
M54P 1 OF 7
Date: Friday, December 23, 2005 Sheet 16 of 45
5 4 3 2 1
5 4 3 2 1

MEMORY CLOCK SPREAD U33B


CRT
SPECTRUM PART 2 OF 7
AL9
V_CRT_R_COM
V_CRT_G_COM
R679
R680
0
0
CRT_R_COM (7,23)
U10 TXCM CRT_G_COM (7,23)
Integrated AM9 V_CRT_B_COM R681 0
TXCP CRT_B_COM (7,23)
XT_IN 1 8 XT_OUT L11 BLM18PG181SN1D TMDS
XIN XOUT MK1726_VDD V_HSYNC_COM R682 0
2 VSS VDD 7 +3V TX0M AK10 HSYNC_COM (7,23)
1726_S0 3 6 MK_PD T107 AG8 AL10 V_VSYNC_COM R683 0
SRS PD GPIO_34 TX0P VSYNC_COM (7,23)
OSC_SPREAD R165 33 1726_CKO 4 5 MK_27M 27MOUT C252 T110 AH7
SSCLK REF R128 33 C271 GPIO_33 V_DDCDATA R684 0
T105 AG9 GPIO_32 TX1M AL11 DDCDATA (7,23)
+3V CY25819 0.1U 22U-10V_8 AH8 V AM11 V_DDCCLK R685 0
T109 GPIO_31 TX1P DDCCLK (7,23)
MK1726-8 T106 AJ8 GPIO_30
T104 AH9 I AL12
R148 GPIO_29 TX2M
T102 AG10 GPIO_28 D TX2P AM12
R157 27M_IN 0 VGA27M T101 AF10
121/F R137 MK_PD GPIO_27 E
*10K (19) MEMTYP_0 AH6 AK9

Expand GPIO
D
27M_O *0 R147 GPIO_26 TX3M D
(19) MEMTYP_1 AF8 GPIO_25 O TX3P AJ9
T100 AF7 GPIO_24
TV_OUT
C270 R127 T112 AE9 AK11
1726_S0 R144 *10P *10K GPIO_23 TX4M
T98 AE10 AJ11
AG7
GPIO_22 & TX4P
T108 GPIO_21
71.5/F T97 AF9 AK12 V_TV_Y/G R638 0
GPIO_20 TX5M TV_Y/G (7,23)
T94 AF13 AJ12 +TPVDD V_TV_C/R R639 0
R158 GPIO_19 M TX5P +2.5V V_TV_COMP R637 0 TV_C/R (7,23)
T93 AE13 GPIO_18 TV_COMP (7,23)
*10K U AM8 L25
TPVDD BLM18PG181SN1D
L TPVSS AL8 C434
1U-16V_6 C442
T AJ6 22U-10V_8
TXVDDR_1
AK4 NC_DVOVMODE_0
I TXVDDR_2 AK6
AL4 NC_DVOVMODE_1 M TXVDDR_3 AL6 TXVDDR PLACE CLOSE TO ASIC
AM6 +2.5V
TXVDDR_4 L27
+3V +3V +3V +3V
AF2 DVPCNTL_0 E
AF1 BLM18PG181SN1D
DVPCNTL_1 D V_CRT_R_COM R190 150/F
Memory ID AF3
AG1
DVPCNTL_2 TXVSSR_1 AJ7
AK7 C446 C440 V_CRT_G_COM R191 150/F
DVPCLK I TXVSSR_2 C449 V_CRT_B_COM R198 150/F
AG2 DVPDATA_0 TXVSSR_3 AL7
AG3 DVPDATA_1
A TXVSSR_4 AM7 22U-10V_8 1U-16V_6 0.1U
R262 R258 R290 R289 AH2 AK8
10K 10K 10K 10K DVPDATA_2 TXVSSR_5 V_CRT_R_COM C342 *10P
AH3 DVPDATA_3
AJ2 V_CRT_G_COM C332 *10P
DVPDATA_4 V_CRT_R_COM V_CRT_B_COM C352 *10P
AJ1 DVPDATA_5 R AK24
MEM_ID3 AK2 DAC / CRT AM24 V_CRT_G_COM
MEM_ID1 DVPDATA_6 G V_CRT_B_COM
AK1 DVPDATA_7 B AL24
MEM_ID0 AK3 V_TV_Y/G R229 150/F
MEM_ID2 DVPDATA_8 V_HSYNC_COM
AL2 AJ23

VIP Host/External
DVPDATA_9 HSYNC V_HSYNC_COM (19)
AL3 AJ22 V_VSYNC_COM V_TV_C/R R243 150/F
DVPDATA_10 VSYNC V_VSYNC_COM (19)
AM3 DVPDATA_11 GENERICA AK22
AE6 V_TV_COMP R230 150/F
DVPDATA_12 R193 1K
(19) DC_STRAP2 AF4 DVPDATA_13 GENERICB AF23
AF5

TMDS
(19) DC_STRAP3 DVPDATA_14
(19) DC_STRAP4 AG4 AL22 R588 499/F
T174 DVPDATA_15 RSET +AVDD
AJ3 DVPDATA_16
C T95 T173 AH4 AL25 +2.5V C
EDID_DATA DVPDATA_17 AVDD_1 +AVDD L12 BLM18PG181SN1D
(7,22) EDID_DATA AJ4 DVPDATA_18 AVDD_2 AM25
EDID_CLK AG5
(7,22) EDID_CLK DVPDATA_19
MEM_ID3 AH5 AK23 C340 C326 C327
T114 MEM_ID2 DVPDATA_20 AVSSQ 1U-16V_6 22U-10V_8
AF6 DVPDATA_21 AVSSN_1 AK25
MEM_ID0 AE7 AJ24 0.1U +VDDDI +2.5V
MEM_ID1 DVPDATA_22 AVSSN_2
AG6 DVPDATA_23
(19) GPIO[13..0] VDD1DI AM23
GPIO0 AD4 L14 BLM18PG181SN1D
GPIO1 GPIO_0 General C354
AD2 GPIO_1 VSS1DI AL23
GPIO2 AD1 Purpose C345 C355 22U-10V_8
GPIO3 GPIO_2 I/O 1U-16V_6 0.1U
AD3 GPIO_3 AK15
GPIO4 AC1 DAC2 (TV/CRT2) R2 AM15
GPIO5 GPIO_4 G2
AC2 GPIO_5 B2 AL15
T171 GPIO7 GPIO6 AC3
T115 GPIO10 GPIO7 GPIO_6
AB2 GPIO_7_BLON H2SYNC AF15
T172 GPIO11 GPIO8 AC6 AG15
T113 GPIO12 GPIO9 GPIO_8 V2SYNC
AC5 GPIO_9
T111 GPIO13 GPIO10 AC4 AJ15 V_TV_Y/G
GPIO11 GPIO_10 Y V_TV_C/R
AB3 GPIO_11 C AJ13
GPIO12 AB4 AH15 V_TV_COMP
GPIO13 GPIO_12 COMP
AB5 GPIO_13
AD5 AK14 R231 +2.5V
GPIO_14 R2SET
(42) V_PWRCNTL AB8 GPIO_15
OSC_SPREAD AA8 AM16 715/F
+3V VGA_ALERT GPIO_16 A2VDD_1 C384 C381 C389
AB7 GPIO_17 A2VDD_2 AL16
R259 0 AB6 1U-16V_6 0.1U 22U-10V_8
499/F R248 VREFG_M54 499/F R249 NC_AB6
A2VSSN_1 AM17
AC8 AL17 +A2VDDQ +2.5V
VREFG A2VSSN_2 L21
+PVDD VGATHRM+ AG12 AL14
+2.5V DPLUS Thermal NC_A2VDDQ *BLM18PG181SN1D
L22 VGATHRM- AH12 Diode AK13 C403 C399 C410
BLM18PG181SN1D DMINUS A2VSSQ +VDD2DI +2.5V 1U-16V_6 0.1U *22U-10V_8
C430 C406 C428 AJ14 AJ16 L18
22U-10V_8 1U-16V_6 PVDD PLL & VDD2DI BLM18PG181SN1D
0.1U
+1.2V_VGA +MPVDD AH14 XTAL AJ17 C377
PVSS VSS2DI C383 C382 22U-10V_8
B B
L23 +MPVDD A6 1U-16V_6 0.1U
BLM18PG181SN1D MPVDD
A5 MPVSS
C444 C445 Monitor AF11 TMDS_HPD T99
C412 0.1U 1U-16V_6 27M_IN Interface HPD1
AL26 XTALIN
22U-10V_8 27M_O AM26 XTALOUT V_DDCDATA
DDC1DATA AH22
T96 AG14 AH23 V_DDCCLK +3V
PLLTEST DDC1CLK
C818 XT_IN R589 *33 R208 TESTEN AG22 AH13 VTHM_DAT R593 6.8K/F_6
R590 *33 1K TESTEN Test DDC2DATA VTHM_CLK R594 6.8K/F_6
DDC2CLK AG13
22P
AC7 AE12 V_DDCDATA3 R581 6.8K/F_6
Y7 ROMCSb ROM DDC3DATA V_DDCCLK3 R582 6.8K/F_6
AF12
XT_OUT

R597 DDC3CLK
1M_6
27MHz External AE23
GENERICC GENERICC (19)
AK17 LVSSR_1
SSC
AJ19 LVSSR_2 LVDS PLL LPVSS AE18
C819 AF18 LVSSR_3 and I/O
AH17 LVSSR_4 GND
22P AG17 AF22
LVSSR_5 LVDS PLL LVSSR_10
AG19 LVSSR_6 LVSSR_9 AF17 DVPDATA_[23:20] Memory Chanel Vendor Part No Package organized
and I/O
AH19 LVSSR_7 GND LVSSR_8 AF21 0000 Reserved
0001 A Samsung K4J55323QG-BC20 256M(8Mx32) GDDR3-136P 64M memory aperture
M54-P 0010 B Samsung K4J55323QG-BC20 256M(8Mx32) GDDR3-136P 64M memory aperture
0011 A& B Samsung K4J55323QG-BC20 256M(8Mx32) GDDR3-136P 128M memory aperture

Thermal Sensor
0100 A Hynix HY5RS573225AFP-2 256M(8Mx32) GDDR3-136P 64M memory aperture
(3,11) THDAT_SMB R261 0
R266 0 781-1_3V R621 100/F
0101 B Hynix HY5RS573225AFP-2 256M(8Mx32) GDDR3-136P 64M memory aperture
(3,11) THCLK_SMB +3V 0110 A& B Hynix HY5RS573225AFP-2 256M(8Mx32) GDDR3-136P 128M memory aperture
U38 C824 0.1U 0111 A Infineon HYB18H256321AF-12 256M(8Mx32)GDDR3-136P 64M memory aperture
VTHM_CLK R596 *0 781-1_SMCLK 8 1
SMCLK VCC 1000 B Infineon HYB18H256321AF-12 256M(8Mx32)GDDR3-136P 64M memory aperture
VTHM_DAT R595 *0 781-1_SMDAT 7 2 VGATHRM+ 1001 A& B Infineon HYB18H256321AF-12 256M(8Mx32)GDDR3-136P 128M memory aperture
SMDATA DXP
10 mil trace / 1010 Reserved
A R271 10K VGA_ALERT 6 3 C827 A
+3V -ALT DXN 10 mil space 1011 Reserved
2200P_6 VGATHRM-
5 GND -OVT 4 1100 Reserved
G781-1P8 -VGATHRM R622 10K 1101 A Infineon HYB18H512321AFL-20 512M(16Mx32)GDDR3-136P 128M memory aperture
+3V
1110 B Infineon HYB18H512321AFL-20 512M(16Mx32)GDDR3-136P 128M memory aperture
1111 A& B Infineon HYB18H512321AFL-20 512M(16Mx32)GDDR3-136P 256M memory aperture
ADDRESS: 9AH

PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
C 3A
M54P 2 OF 7
Date: Friday, December 23, 2005 Sheet 17 of 45
5 4 3 2 1
5 4 3 2 1

U33E
+1.2V_VPCIE
+1.8V PART 5 OF 7
C1 VDDR1_1 PCIE_PVDD_12_1 V23
J1 VDDR1_2 PCIE_PVDD_12_2 N23
C741 M1 P23
22U-10V_8 C378 C369 C463 C467 C431 C743 C454 C781 VDDR1_3 PCIE_PVDD_12_3 C348 C305 C810 C814
R1 VDDR1_4 PCIE_PVDD_12_4 U23
1U-16V_6 1U-16V_6 1U-16V_6 1U-16V_6 1U-16V_6 1U-16V_6 1U-16V_6 1U-16V_6 V1 0.1U 0.1U 1U-16V_6 22U-10V_8
VDDR1_5
AA1 VDDR1_6 PCIE_VDDR_12_10 N29
A3 VDDR1_7 PCIE_VDDR_12_11 N28
P9 N27 +1.2V_VPCIE
VDDR1_8 PCIE_VDDR_12_12
J10 VDDR1_9 PCIE_VDDR_12_13 N26
N9 VDDR1_10 PCIE_VDDR_12_14 N25
C755 C751 C415 C438 C426 C433 P10
22U-10V_8 1U-16V_6 1U-16V_6 0.1U 0.1U 0.1U VDDR1_11 C328 C347 C310 C811
A9 VDDR1_12 PCIE_VDDR_12_1 AL31

PCI-Express
D D
Y10 AM31 0.1U 0.1U 0.1U 1U-16V_6 C815
VDDR1_13 PCIE_VDDR_12_2 22U-10V_8
P8 VDDR1_14 PCIE_VDDR_12_3 AM30
R9 VDDR1_15 PCIE_VDDR_12_4 AL32
Y9 VDDR1_16 PCIE_VDDR_12_5 AL30
J11 AM28 +1.2V_VPCIE
C425 C393 C349 C350 C358 C398 VDDR1_17 PCIE_VDDR_12_6
A21 VDDR1_18 PCIE_VDDR_12_7 AL29
C788 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U M10 AM29
VDDR1_20 PCIE_VDDR_12_8

Memory I/O
22U-10V_8 N10 AM27
VDDR1_21 PCIE_VDDR_12_9 C306 C308 C321 C298 C303
Y8 VDDR1_22
J18 0.1U 0.1U 0.1U 1U-16V_6 1U-16V_6 C816
VDDR1_23 22U-10V_8
J19 VDDR1_24 VDDC_1 AC11
K21 VDDR1_25 VDDC_2 AC12
A12 P14 +1.2V_VGA
C367 C364 C424 C351 C379 C457 C392 C432 C407 VDDR1_26 VDDC_3
H13 VDDR1_27 VDDC_4 U15
0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U A15 W14
VDDR1_28 VDDC_5
J20 VDDR1_29 VDDC_6 W15
J13 VDDR1_30 VDDC_7 R17
K11 R15 C480
VDDR1_31 VDDC_8 C400 C421 C422 C404 C373 C484 C487 C483 22U-10V_8
K19 VDDR1_32 VDDC_9 V15
A18 V16 0.1U 0.1U 0.1U 0.1U 0.1U 1U-16V_6 1U-16V_6 1U-16V_6
VDDR1_33 VDDC_10
L23 VDDR1_34 VDDC_11 T16
K20 VDDR1_35 VDDC_12 U16
K24 P T17

Core
VDDR1_36 VDDC_13
L24 VDDR1_37 VDDC_14 U17
H19 VDDR1_38 O VDDC_15 V14
C402 C388 C391 C390 C365 C482 C485 C486 C481
A24 R18
K13
VDDR1_39
VDDR1_40
W VDDC_16
VDDC_17 T18 0.1U 0.1U 0.1U 0.1U 0.1U 1U-16V_6 1U-16V_6 1U-16V_6 22U-10V_8
J32 V18
A30
VDDR1_41 E VDDC_18
P18
VDDR1_42 VDDC_19
C32 VDDR1_43 R VDDC_20 P19
F32 VDDR1_45 VDDC_21 R19
L32 VDDR1_46 VDDC_22 W19
+3V AD11
VDDC_23 +2.5V

VDD25_1 AC13
VDD25_2 AC16
C C466 AC18 C
22U-10V_8 C465 C464 C376 C413 C361 VDD25_3 L20 +1.2V_VPCIE C356 C343 C337

I/O Internal
1U-16V_6 1U-16V_6 0.1U 0.1U 0.1U AB9 AC15 +1.2V_VDDPLL 1U-16V_6 1U-16V_6 10U-6.3V_8
VDDR3_1 VDDPLL BLM18PG181SN1D
AB10 VDDR3_2
AA9 VDDR3_3 VDDCI_1 W10
AC19 VDDR3_4 VDDCI_2 T14
AD18 VDDR3_5 VDDCI_3 W17
AC20 VDDR3_6 VDDCI_4 P16
AD19 T23 C397 C380
+3V +VDDR4 VDDR3_7 VDDCI_5 1U-16V_6 10U-6.3V_8 +1.2V_VGA
AD20 VDDR3_8 VDDCI_6 K14
U19 +1.2V_VGA_VDDC
VDDCI_7

I/0
L62 VDDR4 for DVPDATA[12..23] L19 BLM18PG181SN1D
BLM18PG181SN1D
C813 AJ5 C429 C386 C396 C405
C817 VDDR4_1 +2.5V 0.1U 1U-16V_6 C371
1U-16V_6 AM5 VDDR4_2 0.1U 1U-16V_6
0.1U AL5 AE19 +2.5V_LPVDD L16 22U-10V_8
VDDR4_3 LPVDD/VDDL0 BLM18PG181SN1D
AK5 VDDR4_4
+3V +VDDR5 AF20
L61 VDDR5 for DVPDATA[0..11] LVDDR/VDDL0_1 C370 C372
AE2 VDDR5_1 LVDDR/VDDL0_2 AE20
BLM18PG181SN1D AE3 AF19 1U-16V_6 22U-10V_8
VDDR5_2 LVDDR/VDDL0_3

LVDS PLL, I/O


C801 C799 AE4 VDDR5_3
1U-16V_6 0.1U AE5 VDDR5_4
+1.8V AC21
LVDDR/VDDL1_1 +2.5V
LVDDR/VDDL1_2 AC22
L51 +1.8V_VDDRH A27 AD22 +2.5V_LVDDR L17
VDDRH0 LVDDR/VDDL1_3

Clock
I/O
Memory
BLM18PG181SN1D F1 AE21 +2.5V BLM18PG181SN1D
C744 C767 C745 C773 VDDRH1 LVDDR/VDDL2_1
LVDDR/VDDL2_2 AD21
1U-16V_6 1U-16V_6 0.1U 0.1U AE22
LVDDR/VDDL2_3
A28 VSSRH0
E1 C323
VSSRH1 C353 C366 C357 22U-10V_8 C360 C359 C375
1U-16V_6 0.1U 1U-16V_6 1U-16V_6 1U-16V_6 0.1U
M54-P

B B

U33G
PART 7 OF 7 RP76 0X2
V_TXLOUT0- 1 2
Forward Control and External SSC TXLOUT0- (7,22)
AD12 V_TXLOUT0+ 3 4
VARY_BL BLON (7,22) TXLOUT0+ (7,22)
Compatibility
DIGON AE11 DISP_ON (7,22)
AD23 RP74 0X2
GENERICD V_TXLOUT1- 1 2 TXLOUT1- (7,22)
V_TXLOUT1+ 3 4 TXLOUT1+ (7,22)
AJ21 V_TXUCLKOUT+
0 R228 BBN_M54 TXCLK_UP V_TXUCLKOUT- RP75 0X2
Y23 BBN_4 TXCLK_UN AK21
K15 AH21 V_TXLOUT2- 1 2
BBN_3 TXOUT_U3P TXLOUT2- (7,22)
+1.2V_VGA R10 AG21 V_TXLOUT2+ 3 4
BBN_2 TXOUT_U3N TXLOUT2+ (7,22)
AC17 AG20 V_TXUOUT2+
R227 +1.2V_BBP BBN_1 TXOUT_U2P V_TXUOUT2- RP73 0X2
AC14 BBP_4 TXOUT_U2N AH20
M23 AK20 V_TXUOUT1+ V_TXLCLKOUT- 1 2
BBP_3 TXOUT_U1P TXLCLKOUT- (7,22)
0 C385 C387 C414 V10 AJ20 V_TXUOUT1- V_TXLCLKOUT+ 3 4
BBP_2 LVDS channel TXOUT_U1N TXLCLKOUT+ (7,22)
22U-10V_8 0.1U 1U-16V_6 K18 AG18 V_TXUOUT0+
BBP_1 TXOUT_U0P V_TXUOUT0- RP72 0X2
TXOUT_U0N AH18
V_TXUOUT0- 1 2 TXUOUT0- (7,22)
AK19 V_TXLOUT0- V_TXUOUT0+ 3 4
TXOUT_L0N TXUOUT0+ (7,22)
+2.5V AL19 V_TXLOUT0+
L15 +2.5V_VDDR25 TXOUT_L0P V_TXLOUT1- RP71 0X2
L10 VDD25_4 TXOUT_L1N AL20
BLM18PG181SN1D C416 K22 AM20 V_TXLOUT1+ V_TXUOUT1- 1 2
VDD25_5 TXOUT_L1P TXUOUT1- (7,22)
C368 C423 1U-16V_6 AA10 AL21 V_TXLOUT2- V_TXUOUT1+ 3 4
VDD25_6 TXOUT_L2N TXUOUT1+ (7,22)
22U-10V_8 0.1U AM21 V_TXLOUT2+
TXOUT_L2P RP70 0X2
TXOUT_L3N AK18
AJ18 V_TXUOUT2- 1 2
TXOUT_L3P TXUOUT2- (7,22)
AL18 V_TXLCLKOUT- V_TXUOUT2+ 3 4
TXCLK_LN TXUOUT2+ (7,22)
AM18 V_TXLCLKOUT+
TXCLK_LP RP69 0X2
V_TXUCLKOUT- 1 2 TXUCLKOUT- (7,22)
M54-P V_TXUCLKOUT+ 3 4 TXUCLKOUT+ (7,22)

A A

PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
C 3A
M54P POWER
Date: Friday, December 23, 2005 Sheet 18 of 45
5 4 3 2 1
5 4 3 2 1
LCDDATA(15,19)
GPIO[13..0]
(17) GPIO[13..0]
U33F OPTION STRAPS +3V
M54-P Strap
Part 6 of 7
GPIO0 R296 10K
AH27 PCIE_VSS_1 VSS_38 AD16 STRAPS PIN DESCRIPTION ASIC DEFAULT
AC23 PCIE_VSS_2 VSS_39 AA6
AL27 PCIE_VSS_3 VSS_40 P7
R23 P5 GPIO1 R572 10K
P25
PCIE_VSS_4
PCIE_VSS_5
VSS_41
VSS_42 M3 Overlap pads to save space TX_PWRS_ENB GPIO0
Transmitter Power Saving Enable 0: 50% Tx
R25 M9
T26
PCIE_VSS_6
PCIE_VSS_7
VSS_43
VSS_44 L7 and to prevent assembly of output swing 1.full Tx output swing
U26 M7
W26
PCIE_VSS_8
PCIE_VSS_9
VSS_45
VSS_46 AD17 both resistors.
Y26 AH11 GPIO2 R570 *10K
PCIE_VSS_10 VSS_47
AB26 PCIE_VSS_11 VSS_48 A8 TX_DEEMPH_EN GPIO1 Transmitter De-emphasis Enable 0: Tx
AC26 U7
D
AD25
PCIE_VSS_12 VSS_49
C10
de-emphasis disabled 1.Tx de-emphasis enabled D
PCIE_VSS_13 VSS_50
AE26 PCIE_VSS_14 VSS_51 E9 Layout GPIO3 R574 *10K
AF26 PCIE_VSS_15 VSS_52 F3
AD26 PCIE_VSS_16 VSS_53 J9
AG25 PCIE_VSS_17 VSS_54 N7
AH26 PCIE_VSS_18 VSS_55 N3 GPIO(3:2) RSVD
AC28 PCIE_VSS_19 VSS_56 Y5
Y28 PCIE_VSS_20 VSS_57 AM13 Ground High logic voltage GPIO4 R568 *10K
U28 PCIE_VSS_21 VSS_58 AC10
P28
AH29
PCIE_VSS_22 VSS_59 Y6
U6
Signal
PCIE_VSS_23 VSS_60 GPIO5 R567 10K
AF28 PCIE_VSS_24 VSS_61 E5
V29 AL13 0
PCIE_VSS_25 VSS_62
AC29 PCIE_VSS_26 VSS_63 A11 DEBUG_ACCESS GPIO4 Strap to set the debug muxes to bring out DEBUG
W27 U8
AB27
PCIE_VSS_27 VSS_64
U9 GPIO6 R569 *10K signals even if registers are inaccessible
PCIE_VSS_28 VSS_65
PCI-Express GND

V26 PCIE_VSS_29 VSS_66 U10


AJ26 PCIE_VSS_30 VSS_67 R6 Add Text "Populate to Enable Debug"
AJ32 AD6
AK29
PCIE_VSS_31 VSS_68
V6 Beside JU23 on Silkscreen.
PCIE_VSS_32 VSS_69
P26 PCIE_VSS_33 VSS_70 AD14
P29 AD13 GPIO8 R260 *10K GPIO5 RSVD
PCIE_VSS_34 VSS_71
R29 PCIE_VSS_35 VSS_72 D11
T29 PCIE_VSS_36 VSS_73 J12
U29 PCIE_VSS_37 VSS_74 K12
W29 PCIE_VSS_38 VSS_75 A13
Y29 PCIE_VSS_39 VSS_76 F13 GPIO6 RSVD
AA29 E13 GPIO9 R246 *10K
PCIE_VSS_40 VSS_77
AB29 PCIE_VSS_41 VSS_78 F15
AD29 PCIE_VSS_42 VSS_79 K16
AE29 PCIE_VSS_43 VSS_80 J21
AF29 PCIE_VSS_44 VSS_81 H16 Force chip to get to compliance state quickly
AG29 T15 Force_Compliance GPIO8 0
AJ29
PCIE_VSS_45 VSS_82
V17 GPIO11 R275 *10K for Tester purposes
PCIE_VSS_46 VSS_83
AK26 PCIE_VSS_47 VSS_84 C15
AK30 PCIE_VSS_48 VSS_85 C4

C
AG26
N30
PCIE_VSS_49 VSS_86 U14
P15 GPIO12 R299 *10K
ROMIDCFG(3:0) GPIO(9,13:11) If no ROM attached, comtrols chip IDis. If rom attached identifies ROM type
C
PCIE_VSS_50 VSS_87 000x - No ROM, MEM_AP_SIZE=00
R31 PCIE_VSS_51 VSS_88 A16 001x - No ROM,MEM_AP_SIZE=01
AF30 PCIE_VSS_52 VSS_89 E16 010x - No Rom, MEM_AP_SIZE=10
AC30 PCIE_VSS_53 VSS_90 G13 011x - No ROM,MEM_AP_SIZE=11
V31 G16 GPIO13 R250 *10K 1000 - Parallel ROM, chip IDis from ROM
PCIE_VSS_54 VSS_91
P30 PCIE_VSS_55 VSS_92 P17 1001 - Serial AT25F1024 ROM (Atmel), chip IDis from ROM
AA31 PCIE_VSS_56 VSS_93 R16 1010 - Serial AT45DB011 ROM (Atmel), chip IDis from ROM
U30 PCIE_VSS_57 VSS_94 R14 1011 - Serial M25P10 ROM (ST), chip IDis from ROM
AD31 PCIE_VSS_58 VSS_95 W16 1100 - Serial M25P05 ROM (ST), chip IDis from ROM
AK32 C18 R205 *10K 1100 - Serial NX25F011B ROM (ISSI), chip IDis from ROM
PCIE_VSS_59 VSS_96 (17) V_VSYNC_COM
AJ28 PCIE_VSS_60 VSS_97 F16
Y30 PCIE_VSS_61 VSS_98 W18
AJ30 PCIE_VSS_62 VSS_99 U18 Indicates if any slave VIP host devices drove this
AK31 AE16
AA23
PCIE_VSS_63 VSS_100
AE17 VIP_DEVICE VSYNC pin low during reset. 0- Slave VIP host port No default
PCIE_VSS_64 VSS_101 R201 *10K
AG31 PCIE_VSS_65 VSS_102 A19 (17) V_HSYNC_COM deviced present. 1-No slave VIP port devices
N24 PCIE_VSS_66 VSS_103 H32
AB23 F19 reporting presence during reset
PCIE_VSS_67 VSS_104
P24 PCIE_VSS_68 VSS_105 G19
R24 PCIE_VSS_69 VSS_106 N8 H2SYNC,
T24 Y7 R301 *10K RSVD
PCIE_VSS_70 VSS_107 R300 *10K
V2SYNC
U24 PCIE_VSS_71 VSS_108 T19 (17) MEMTYP_0
V24 V19 ,GENERICC
PCIE_VSS_72 VSS_109
W24 PCIE_VSS_73 VSS_110 G21
Y24 C21 R251 *10K VSYNC RSVD
PCIE_VSS_74 VSS_111 R247 *10K
AC24 PCIE_VSS_75 VSS_112 F21 (17) MEMTYP_1
AH24 PCIE_VSS_76 VSS_113 AE14
V25 PCIE_VSS_77 VSS_114 AK16 HSYNC RSVD
AA25 PCIE_VSS_78 VSS_115 U5
R26 PCIE_VSS_79 VSS_116 F22
AA26 F18 R203 *10K RSVD
PCIE_VSS_80 VSS_117 (17) GENERICC
T27 PCIE_VSS_81 VSS_118 K30 PCIE_TEST
AE27 PCIE_VSS_82 VSS_119 C24
F24 +1.8V +3V +1.8V
L13 VSS_120
W23 PCIE_PVSS VSS_121 M24
BLM18PG181SN1D

A25 R292 *10K R310 10K


B1 VSS_1
VSS_122
VSS_123 D30 R295 10K R297 10K Board Straps REV. 0.3
B
H1 VSS_2 VSS_124 E25 B
L1 G25 STRAPS PIN DESCRIPTION VALUE
VSS_3 VSS_125
P1 VSS_4 VSS_126 G20
U1 VSS_5 VSS_127 G22 DC_STRAP4 (17) (17) DC_STRAP3
Y1 F27 MEMTYPE(1:0) DVALID, Memory connected to R420 identification for BIOS 000
AD7
AE8
VSS_6
VSS_7
VSS_8
CORE GND VSS_128
VSS_129
VSS_130
E28
H21
DC_STRAP2 (17) (17) GPIO10
PSYNC.
00 - Samsung GDDR 3 memory 144 Ball BGA package
01 - TBD
AL1 C27 10 - TBD
VSS_9 VSS_131 R294 *10K R298 *10K 11 - TBD
A2 VSS_10 VSS_132 E32
AM2 H28 R293 10K R306 *10K
VSS_11 VSS_133
AD10 VSS_12 VSS_134 J30
E8 K17 DC_Strap1 Internal TMDS Enabled
H5
VSS_13 VSS_135
K27
GPIO(10) 0 - Disabled
VSS_14 VSS_136 1 - Enabled
K10 VSS_15 VSS_137 M32 1
M8 VSS_16 VSS_138 A22
T10 VSS_17 VSS_139 C20
E12 E19 DC_Strap2 Video Capture Enabled 0
VSS_18 VSS_140 LCDDATA(13) 0 - Disabled
AC9 VSS_19 VSS_141 H20 MEMTYP[0:1] 1 - Not detected
AF14 VSS_20 VSS_142 J24 GPIO[26:25] Vendor Part No Package organized
AD8 VSS_21 VSS_143 M28
C5 VSS_22 VSS_144 J28 00 Samsung K4J55323QG-BC20 256M(8Mx32) GDDR3
F10 J16 DC_Strap3 LCDDATA(14) HDTV out detect 1
J3
VSS_23 VSS_145
F30
01 Samsung K4J52324QC-BC20 512M(16Mx32) GDDR3 0 - Detected
VSS_24 VSS_146
L6 VSS_25 VSS_147 L29 10 Infineon HYB18H256321AF-12 256M(8Mx32) GDDR3 1 - Enabled
M6 VSS_26 VSS_148 A31
P6 VSS_27 VSS_149 B32 11 Infineon HYB18H512321AFL-20 512M(16Mx32) GDDR3
AA4 VSS_28 VSS_150 E30 Hynix HY5RS123235F- 512M(16Mx32) GDDR3
AG11 VSS_29 VSS_151 AE15
V3 AG23 DC_Strap4, Video capture enable
VSS_30 VSS_152 00 - DAC2 Off
AG16 VSS_31 VSS_153 AD9 LCDDATA(15,19) 01
R3 AF16 DEMUX_SEL 01 - DAC2 On as CRT
VSS_32 VSS_154 10 - DAC2 On as TVOUT
C6 VSS_33 VSS_155 AH10
C9 AJ10 Memory Aperture Size Select 11 - DAC2 On as TVOUT and CRT
VSS_34 VSS_156
F6 VSS_35 VSS_157 AD15
H7 VSS_36 VSS_158 AH16 When no ROM is attached, GPIO[9] is set to 0.
J6 PAL/NTSC TVO Standard Default (Resistor pull-up and switch short to GND) 1
VSS_37
K23
GPIO[13:12] is used to select the memory aperture LCDDATA(18) 0 - PAL (on board resistor pull-down and switch closed)
VSS_159 1 -NTSC (on board resistor pull-up)
A M54-P
size. A
GPIO[13:12] = 00: 128M memory aperture, same as ROM
strap 00
GPIO[13:12] = 01: 256M memory aperture, same as ROM
strap 01
GPIO[13:12] = 10: 64M memory aperture, same as ROM
strap 10
GPIO[13:12] = 11: reserved, same as ROM strap 11 PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
C M54P CORE GND & OPTION STRAPS(4 OF 6) 3A

Date: Friday, December 23, 2005 Sheet 19 of 45


5 4 3 2 1
5 4 3 2 1

Channel A RV410 MEMORY CHANNELS A and B


Channel B
U33C
(21) DQA_[63..0]
MAA[15..0] (21)
Part 3 of 7 U33D

DQA_0 M31 D26 MAA0 Part 4 of 7


DQA_1 DQA_0 MAA_0 MAA1
M30 DQA_1 MAA_1 F28
DQA_2 L31 D28 MAA2 B12 G4
DQA_3 DQA_2 MAA_2 MAA3 DQB_0 MAB_0
L30 DQA_3 MAA_3 D25 C12 DQB_1 MAB_1 E6
D DQA_4 H30 E24 MAA4 B11 E4 D
DQA_5 DQA_4 MAA_4 MAA5 DQB_2 MAB_2
G31 E26 C11 H4

MEMORY INTERFACE
DQA_6 DQA_5 MAA_5 MAA6 DQB_3 MAB_3
G30 DQA_6 MAA_6 D27 C8 DQB_4 MAB_4 J5
DQA_7 F31 F25 MAA7 B7 G5
DQA_8 DQA_7 MAA_7 MAA8 DQB_5 MAB_5
M27 DQA_8 MAA_8 C26 C7 DQB_6 MAB_6 F4

MEMORY INTERFACE
DQA_9 M29 B26 MAA9 B6 H6
DQA_10 DQA_9 MAA_9 MAA10 DQB_7 MAB_7
L28 DQA_10 MAA_10 D29 F12 DQB_8 MAB_8 G3
DQA_11 L27 B27 MAA11 D12 G2
DQA_12 DQA_11 MAA_11 DQB_9 MAB_9
J27 DQA_12 MAA_12 E27 E11 DQB_10 MAB_10 D4
DQA_13 H29 E29 A_BA2 F11 F2
DQA_14 DQA_13 MAA_13 A_BA2 (21) DQB_11 MAB_11
G29 B25 A_BA0 F9 F5
DQA_15 DQA_14 MAA_14 A_BA0 (21) DQB_12 MAB_12
G27 C25 A_BA1 D8 D5
DQA_16 DQA_15 MAA_15 A_BA1 (21) DQB_13 MAB_13
M26 DQA_16 D7 DQB_14 MAB_14 H2
DQA_17 L26 F7 H3
DQA_18 DQA_17 DQB_15 MAB_15
M25 DQA_18 DQMAb_0 H31 DQMA0# (21) G12 DQB_16
DQA_19 L25 J29 G11
DQA_20 DQA_19 DQMAb_1 DQMA1# (21) DQB_17
J25 DQA_20 DQMAb_2 J26 DQMA2# (21) H12 DQB_18 DQMBb_0 B8
DQA_21 G28 G23 H11 D9
DQA_21 DQMAb_3 DQMA3# (21) DQB_19 DQMBb_1

A
DQA_22 H27 E21 H9 G9
DQA_23 DQA_22 DQMAb_4 DQMA4# (21) DQB_20 DQMBb_2
H26 DQA_23 DQMAb_5 B15 DQMA5# (21) E7 DQB_21 DQMBb_3 K7
DQA_24 F26 D14 F8 M5
DQA_25 DQA_24 DQMAb_6 DQMA6# (21) DQB_22 DQMBb_4

B
G26 DQA_25 DQMAb_7 J17 DQMA7# (21) G8 DQB_23 DQMBb_5 V2
DQA_26 H25 G6 W4
DQA_27 DQA_26 DQB_24 DQMBb_6
H24 DQA_27 G7 DQB_25 DQMBb_7 T9
DQA_28 H23 H8
DQA_29 DQA_28 DQB_26
H22 DQA_29 QSA_0 J31 RDQSA0 (21) J8 DQB_27
DQA_30 J23 K29 K8
DQA_31 DQA_30 QSA_1 RDQSA1 (21) DQB_28
J22 DQA_31 QSA_2 K25 RDQSA2 (21) L8 DQB_29 QSB_0 B9
DQA_32 E23 F23 K9 D10
DQA_32 QSA_3 RDQSA3 (21) DQB_30 QSB_1

read strobe
DQA_33 D22 D20 L9 H10
C DQA_34 DQA_33 QSA_4 RDQSA4 (21) DQB_31 QSB_2 C
D23 DQA_34 QSA_5 B16 RDQSA5 (21) K5 DQB_32 QSB_3 K6
DQA_35 E22 D16 L4 N4
DQA_35 QSA_6 RDQSA6 (21) DQB_33 QSB_4

read strobe
DQA_36 E20 H15 K4 U2
DQA_37 DQA_36 QSA_7 RDQSA7 (21) DQB_34 QSB_5
F20 DQA_37 L5 DQB_35 QSB_6 U4
DQA_38 D19 N5 V8
DQA_39 DQA_38 DQB_36 QSB_7
D18 DQA_39 QSA_0B K31 WDQSA0 (21) N6 DQB_37
DQA_40 B19 K28 P4
DQA_41 DQA_40 QSA_1B WDQSA1 (21) DQB_38
B18 K26 R4 B10
write strobe

DQA_42 DQA_41 QSA_2B WDQSA2 (21) DQB_39 QSB_0B


C17 DQA_42 QSA_3B G24 WDQSA3 (21) P2 DQB_40 QSB_1B E10
DQA_43 B17 D21 R2 G10

write strobe
DQA_44 DQA_43 QSA_4B WDQSA4 (21) DQB_41 QSB_2B
C14 DQA_44 QSA_5B C16 WDQSA5 (21) T3 DQB_42 QSB_3B J7
DQA_45 B14 D15 T2 M4
DQA_46 DQA_45 QSA_6B WDQSA6 (21) DQB_43 QSB_4B
C13 DQA_46 QSA_7B J15 WDQSA7 (21) W3 DQB_44 QSB_5B U3
DQA_47 B13 W2 V4
DQA_48 DQA_47 DQB_45 QSB_6B
D17 DQA_48 ODTA F29 Y3 DQB_46 QSB_7B V9
DQA_49 E18 D24 Y2
DQA_50 DQA_49 ODTA1 DQB_47
E17 DQA_50 T4 DQB_48 ODTB D6
DQA_51 F17 R5 J4
DQA_52 DQA_51 DQB_49 ODTB1
E15 DQA_52 CLKA0 D31 CLKA0 (21) T5 DQB_50
DQA_53 E14 E31 T6
DQA_54 DQA_53 CLKA0b CLKA0# (21) DQB_51
F14 DQA_54 V5 DQB_52 CLKB0 B4
DQA_55 D13 B30 W5 B5
DQA_56 DQA_55 CKEA0 CKEA0 (21) DQB_53 CLKB0b
H18 DQA_56 W6 DQB_54
+1.8V DQA_57 H17 B28 Y4 C2
DQA_58 DQA_57 RASA0b RASA0# (21) DQB_55 CKEB0
G18 DQA_58 R8 DQB_56
DQA_59 G17 C29 T8 E2
DQA_60 DQA_59 CASA0b CASA0# (21) DQB_57 RASB0b
G15 DQA_60 R7 DQB_58
DQA_61 G14 B31 T7 D3
DQA_62 DQA_61 WEA0b WEA0# (21) DQB_59 CASB0b
R150 H14 V7
40.2/F DQA_63 DQA_62 +1.8V DQB_60
J14 DQA_63 CSA0b_0 B29 CSA0_0# (21) W7 DQB_61 WEB0b B2
B C28 W8 B
CSA0b_1 DQB_62
W9 DQB_63 CSB0b_0 D2
VRAM_REF0 C31 R270 E3
MVREFD_0 40.2/F CSB0b_1
C30 MVREFS_0 CLKA1 B20 CLKA1 (21)
CLKA1b C19 CLKA1# (21)
R151 C293 N2
100/F 0.1U VRAM_REF2 CLKB1
CKEA1 C22 CKEA1 (21) B3 MVREFD_1 CLKB1b P3
VRAM_REF3 C3
R273 MVREFS_1
RASA1b B24 RASA1# (21) CKEB1 L3
100/F C448
B22 0.1U AA3 J2
CASA1b CASA1# (21) DRAM_RST RASB1b
+1.8V
B21 TEST_MCLK AA5 L2
WEA1b WEA1# (21) TEST_MCLK CASB1b
B23 TEST_YCLK AA2 M2
CSA1b_0 CSA1_0# (21) TEST_YCLK WEB1b
R160 C23
40.2/F CSA1b_1 +1.8V AA7 MEMTEST CSB1b_0 K2
CSB1b_1 K3

R277
R267

R276

R263
M54-P
VRAM_REF1 R280
40.2/F M54-P

243/F
4.7K
4.7K

4.7K
R153 C296
100/F 0.1U

R278
100/F C459
0.1U

A A

(21) DRAM_RST
PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
Custom 3A
M54P 3/4 OF 7
Date: Friday, December 23, 2005 Sheet 20 of 45
5 4 3 2 1
5 4 3 2 1

MAA[15..0]
(20) MAA[15..0]
DQA_[63..0]
(20) DQA_[63..0]

D
Channel A D
+1.8V
U13
DQA_30 T3 A1 C712 22U-10V_8 U32 +1.8V
DQA_29 DQ31 | DQ23 VDDQ DQA_32 C471 22U-10V_8
T2 DQ30 | DQ22 VDDQ#A12 A12 T3 DQ31 | DQ23 VDDQ A1
DQA_31 R3 C1 C546 10U-6.3V_8 DQA_33 T2 A12
DQA_28 DQ29 | DQ21 VDDQ#C1 DQA_34 DQ30 | DQ22 VDDQ#A12 C494 10U-6.3V_8
R2 DQ28 | DQ20 VDDQ#C4 C4 R3 DQ29 | DQ21 VDDQ#C1 C1
DQA_24 M3 C9 C734 0.1U DQA_35 R2 C4
DQA_26 DQ27 | DQ19 VDDQ#C9 DQA_39 DQ28 | DQ20 VDDQ#C4 C468 0.1U
N2 DQ26 | DQ18 VDDQ#C12 C12 M3 DQ27 | DQ19 VDDQ#C9 C9
DQA_25 L3 E1 C727 0.1U DQA_36 N2 C12
DQA_27 DQ25 | DQ17 VDDQ#E1 DQA_37 DQ26 | DQ18 VDDQ#C12 C512 0.1U
M2 DQ24 | DQ16 VDDQ#E4 E4 L3 DQ25 | DQ17 VDDQ#E1 E1
DQA_6 T10 E9 C723 0.1U DQA_38 M2 E4
DQA_1 DQ23 | DQ31 VDDQ#E9 DQA_52 DQ24 | DQ16 VDDQ#E4 C513 0.1U
T11 DQ22 | DQ30 VDDQ#E12 E12 T10 DQ23 | DQ31 VDDQ#E9 E9
DQA_7 R10 J4 C735 0.1U DQA_48 T11 E12
DQA_0 DQ21 | DQ29 VDDQ#J4 DQA_55 DQ22 | DQ30 VDDQ#E12 C477 0.1U
R11 DQ20 | DQ28 VDDQ#J9 J9 R10 DQ21 | DQ29 VDDQ#J4 J4
DQA_5 M10 N1 C725 0.1U DQA_50 R11 J9
DQA_2 DQ19 | DQ27 VDDQ#N1 DQA_53 DQ20 | DQ28 VDDQ#J9 C475 0.1U
N11 DQ18 | DQ26 VDDQ#N4 N4 M10 DQ19 | DQ27 VDDQ#N1 N1
DQA_4 L10 N9 C718 0.1U DQA_51 N11 N4
DQA_3 DQ17 | DQ25 VDDQ#N9 DQA_54 DQ18 | DQ26 VDDQ#N4 C515 0.1U
M11 DQ16 | DQ24 VDDQ#N12 N12 L10 DQ17 | DQ25 VDDQ#N9 N9
DQA_12 G10 R1 C733 0.1U DQA_49 M11 N12
DQA_8 DQ15 | DQ7 VDDQ#R1 DQA_45 DQ16 | DQ24 VDDQ#N12 C469 0.1U
F11 DQ14 | DQ6 VDDQ#R4 R4 G10 DQ15 | DQ7 VDDQ#R1 R1
DQA_15 F10 R9 C722 0.1U DQA_43 F11 R4
DQA_11 DQ13 | DQ5 VDDQ#R9 DQA_47 DQ14 | DQ6 VDDQ#R4 C730 0.1U
E11 DQ12 | DQ4 VDDQ#R12 R12 F10 DQ13 | DQ5 VDDQ#R9 R9
DQA_13 C10 V1 C528 0.1U DQA_42 E11 R12
DQA_9 DQ11 | DQ3 VDDQ#V1 DQA_44 DQ12 | DQ4 VDDQ#R12 C519 0.1U
C11 DQ10 | DQ2 VDDQ#V12 V12 C10 DQ11 | DQ3 VDDQ#V1 V1
DQA_14 B10 +1.8V DQA_41 C11 V12
DQA_10 DQ9 | DQ1 C713 22U-10V_8 DQA_46 DQ10 | DQ2 VDDQ#V12 +1.8V
B11 DQ8 | DQ0 VDD A2 B10 DQ9 | DQ1
DQA_18 G3 A11 DQA_40 B11 A2 C503 22U-10V_8
DQA_16 DQ7 | DQ15 VDD#A11 C711 10U-6.3V_8 DQA_60 DQ8 | DQ0 VDD
F2 DQ6 | DQ14 VDD#F1 F1 G3 DQ7 | DQ15 VDD#A11 A11
DQA_19 F3 F12 DQA_62 F2 F1 C710 10U-6.3V_8
DQA_20 DQ5 | DQ13 VDD#F12 C724 0.1U DQA_61 DQ6 | DQ14 VDD#F1
E2 DQ4 | DQ12 VDD#M1 M1 F3 DQ5 | DQ13 VDD#F12 F12
DQA_21 C3 M12 DQA_63 E2 M1 C500 0.1U
DQA_22 DQ3 | DQ11 VDD#M12 C726 0.1U DQA_59 DQ4 | DQ12 VDD#M1
C2 DQ2 | DQ10 VDD#V2 V2 C3 DQ3 | DQ11 VDD#M12 M12
DQA_17 B3 V11 DQA_58 C2 V2 C499 0.1U
DQA_23 DQ1 | DQ9 VDD#V11 C717 0.1U DQA_56 DQ2 | DQ10 VDD#V2
B2 DQ0 | DQ8 B3 DQ1 | DQ9 VDD#V11 V11
B1 DQA_57 B2 C490 0.1U
VSSQ DQ0 | DQ8
VSSQ#B4 B4 VSSQ B1
A_BA2 H10 B9 B4
(20) A_BA2 A_BA1 BA2 | RAS VSSQ#B9 A_BA2 VSSQ#B4
C
(20) A_BA1 G9 BA1 | BA0 VSSQ#B12 B12 (20) A_BA2 H10 BA2 | RAS VSSQ#B9 B9 C
A_BA0 G4 D1 A_BA1 G9 B12
(20) A_BA0 BA0 | BA1 VSSQ#D1 (20) A_BA1 A_BA0 BA1 | BA0 VSSQ#B12
VSSQ#D4 D4 (20) A_BA0 G4 BA0 | BA1 VSSQ#D1 D1
MAA11 L4 D9 D4
MAA10 A11 | A7 VSSQ#D9 MAA11 VSSQ#D4
K2 A10 | A8 VSSQ#D12 D12 L4 A11 | A7 VSSQ#D9 D9
MAA9 M9 G2 MAA10 K2 D12
MAA8 A9 | A3 VSSQ#G2 MAA9 A10 | A8 VSSQ#D12
K11 A8/AP | A10 VSSQ#G11 G11 M9 A9 | A3 VSSQ#G2 G2
MAA7 L9 L2 MAA8 K11 G11
MAA6 A7 | A11 VSSQ#L2 MAA7 A8/AP | A10 VSSQ#G11
K10 A6 | A2 VSSQ#L11 L11 L9 A7 | A11 VSSQ#L2 L2
MAA5 H11 P1 MAA6 K10 L11
MAA4 A5 | A1 VSSQ#P1 MAA5 A6 | A2 VSSQ#L11
K9 A4 | A0 VSSQ#P4 P4 H11 A5 | A1 VSSQ#P1 P1
MAA3 M4 P9 MAA4 K9 P4
MAA2 A3 | A9 VSSQ#P9 MAA3 A4 | A0 VSSQ#P4
K3 A2 | A6 VSSQ#P12 P12 M4 A3 | A9 VSSQ#P9 P9
MAA1 H2 T1 MAA2 K3 P12
MAA0 A1 | A5 VSSQ#T1 MAA1 A2 | A6 VSSQ#P12
K4 A0 | A4 VSSQ#T4 T4 H2 A1 | A5 VSSQ#T1 T1
T9 MAA0 K4 T4
VSSQ#T9 A0 | A4 VSSQ#T4
(20) CSA0_0# F9 CS | CAS VSSQ#T12 T12 VSSQ#T9 T9
VSS A3 (20) CSA1_0# F9 CS | CAS VSSQ#T12 T12
(20) WEA0# H9 WE | CKE VSS#A10 A10 VSS A3
VSS#G1 G1 (20) WEA1# H9 WE | CKE VSS#A10 A10
(20) RASA0# H3 RAS | BA2 VSS#G12 G12 VSS#G1 G1
VSS#L1 L1 (20) RASA1# H3 RAS | BA2 VSS#G12 G12
(20) CASA0# F4 CAS | CS VSS#L12 L12 VSS#L1 L1
VSS#V3 V3 (20) CASA1# F4 CAS | CS VSS#L12 L12
(20) CKEA0 H4 CKE | WE VSS#V10 V10 VSS#V3 V3
L49 +1.8V H4 V10
(20) CKEA1 CKE | WE VSS#V10
J10 BLM18PG181SN1D L28 +1.8V
(20) CLKA0# CK
(20) CLKA0 J11 CK VDDA K1 G_VDDA0 (20) CLKA1# J10 CK
BLM18PG181SN1D
VDDA#K12 K12 G_VDDA#0 (20) CLKA1 J11 CK VDDA K1 G_VDDA1
(20) RDQSA3 P3 RDQS3 | RDQS2
BLM18PG181SN1D
VDDA#K12 K12 G_VDDA#1
P10 L45 P3 BLM18PG181SN1D
(20) RDQSA0 RDQS2 | RDQS3 (20) RDQSA4 RDQS3 | RDQS2
D10 C715 C737 P10 L29
(20) RDQSA1 RDQS1 | RDQS0 (20) RDQSA6 RDQS2 | RDQS3
D3 0.1U D10 C479 C476
(20) RDQSA2 RDQS0 | RDQS1 (20) RDQSA5 RDQS1 | RDQS0
0.1U D3 0.1U
(20) RDQSA7 RDQS0 | RDQS1
P2 0.1U
(20) WDQSA3 WDQS3 | WDQS2
(20) WDQSA0 P11 WDQS2 | WDQS3 VSSA#J12 J12 (20) WDQSA4 P2 WDQS3 | WDQS2
(20) WDQSA1 D11 WDQS1 | WDQS0 VSSA J1 (20) WDQSA6 P11 WDQS2 | WDQS3 VSSA#J12 J12
B (20) WDQSA2 D2 WDQS0 | WDQS1 (20) WDQSA5 D11 WDQS1 | WDQS0 VSSA J1 B
(20) WDQSA7 D2 WDQS0 | WDQS1
(20) DQMA3# N3 DM3 | DM2 RFU2 J3
(20) DQMA0# N10 DM2 | DM3 (20) DQMA4# N3 DM3 | DM2 RFU2 J3
(20) DQMA1# E10 DM1 | DM0 RFU1 J2 (20) DQMA6# N10 DM2 | DM3
(20) DQMA2# E3 DM0 | DM1 (20) DQMA5# E10 DM1 | DM0 RFU1 J2
RFU0 V4 (20) DQMA7# E3 DM0 | DM1
+1.8V V9 V4
(20) DRAM_RST RESET RFU0
+1.8V V9
(20) DRAM_RST RESET
R532 243/F A4
R539 ZQ R349 243/F A4 ZQ
2.37K/F R326
DDR3_VREF0 H1 2.37K/F
VREF DDR3_VREF1
MF A9 H1 VREF
C739 H12 A9
R542 0.1U VREF#H12 GND | VDD C489 MF
H12 VREF#H12
5.49K/F R528 +1.8V R322 0.1U GND | VDD
+1.8V 0 5.49K/F R363

R521 136BALL-GDDR3 R324 0


2.37K/F 2.37K/F 136BALL-GDDR3
DDR3_VREF#1
DDR3_VREF#0
R329 C491
R520 C714 5.49K/F 0.1U
5.49K/F 0.1U
+1.8V

R288 121/F
(20) CKEA1
+1.8V R309 121/F
(20) CSA1_0#
R312 121/F
(20) WEA1#
R534 121/F R287 121/F
(20) CKEA0 (20) RASA1#
R536 121/F R302 121/F
(20) CSA0_0# (20) CASA1#
R530 121/F
(20) WEA0#
R537 121/F +1.8V
(20) RASA0#
R535 121/F
(20) CASA0#
R323 60.4/F
(20) CLKA1
+1.8V
A R321 60.4/F A
(20) CLKA1#
R527 60.4/F
(20) CLKA0
R524 60.4/F
(20) CLKA0#

PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
C 3A
M54P VIDEO RAM(6 OF 6)
Date: Friday, December 23, 2005 Sheet 21 of 45
5 4 3 2 1
1 2 3 4 5 6 7 8

PANEL VCC CONTROL


R12 10K_6
5VPCU LCD CONNECTOR
U3 +3V
R11 SI3443DV LCDVCC
3 4 EDID_CLK R584 4.7K CN1
G S +3V EDID_DATA R587 4.7K
10K_6 1
2 D D 5 2

3
A
1 6 LCDVCC A
C20 D D 3
R7 4.7K 0.47U_6 4
(7,18) DISP_ON 2 (7,17) EDID_CLK 5
R8 Q3 (7,17) EDID_DATA 6
C17 +3V 7
D4 C18 8
DTC144EUA

1
*1K_6 10U-10V_8 0.1U_6 9
(14,34) PWROK 1 2 10
(7,18) TXUOUT0- 11
RB500
(7,18) TXUOUT0+ 12
13
(7,18) TXUOUT1- 14
(7,18) TXUOUT1+ 15
16
(7,18) TXUOUT2- 17
(7,18) TXUOUT2+ 18
19
(7,18) TXUCLKOUT- 20
+3V 3VPCU (7,18) TXUCLKOUT+ 21
BACKLIGHT CONTROL (7,18) TXLOUT0-
22
23
+3V (7,18) TXLOUT0+ 24
25
B (7,18) TXLOUT1- 26 B
R159 R184
(7,18) TXLOUT1+ 27
10K 10K
R154 +3V 28
D12 (7,18) TXLOUT2-
10K FPBACK R176 1K 29
MXLID# (34) (7,18) TXLOUT2+ 30
31
3

SW1010C (7,18) TXLCLKOUT- 32

5
C324
(7,18) TXLCLKOUT+ 33
2 0.1U PWM_INV_1 2
Q8 PWM_INV_2 34 41
4 35 42
3

DTC144EUA FPBACK_1 1 36 43
Q10 U43 37 44
1

(7,18) BLON 2 38
DTC144EUA TC7SH08FU

3
2 3VPCU 39
COVERSW# 1 VIN_BLIGHT 40
3
R155 +3V LCDCON40P
1

10K C301 SW1


1000P MRSS22L C2
R188 0.1U
3

10K

FPBACK# 2
(14) FPBACK#
Q9
C DTC144EUA C
1

L66 FBM2125HM330
VIN VIN_BLIGHT

C836 C834 C839


0.1U-50V_8 0.1U-50V_8 10U-25V_1210

L67 *BK1608HS121-T
(7) DPST_PWM
PWM_INV L65 BK1608HS121-T PWM_INV_1
(34) PWM_INV
FPBACK L64 BK1608HS121-T FPBACK_1

C837 C838
22P_6 0.1U

D D

PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
B 3A
LCD CONN
Date: Friday, December 23, 2005 Sheet 22 of 45
1 2 3 4 5 6 7 8
5 4 3 2 1

+3V

+3V R9 2.2K

2
D D
DDCCLK 1 3
(7,17) DDCCLK
+5V Q1
2N7002E
R586 39 1 3
(7,17) VSYNC_COM
DDCCLK2
Q59
C948 RHU002N06 CRT_VS2
.1U/B

2
CRT_HS2
R592 39 1 3
(7,17) HSYNC_COM

5
PCIRST# 2 Q60
(13,26,34) PCIRST#
4 RHU002N06
+3V DDCDAT2

2
1

U55 +5V R752 *0 +3V R10 2.2K

2
TC7SH08FU

3
DDCDATA 1 3
(7,17) DDCDATA
Q2
2N7002E
CRT PORT

C C

CN26
L71 BK1608HS121-T DDCCLK2
(7,17) CRT_R_COM 1 2 DDCDAT2
L72 BK1608HS121-T 3 4
(7,17) CRT_G_COM 5 6 CRT_VS2
L73 BK1608HS121-T 7 8 CRT_HS2
(7,17) CRT_B_COM 9 10
C866 C867 C868 C869 C870 C871 11 12 TV_Y/G
13 14 TV_Y/G (7,17)
TV_C/R
15 16 TV_C/R (7,17)
10P 10P 10P 10P 10P 10P TV_COMP
+5V 17 18 TV_COMP (7,17)
19 20
CONN
B B

PAD6 PAD5
EMIPAD256X118EMIPAD256X118
TV-OUT

1
LCD
PAD3 PAD32 PAD41 PAD38 PAD30 PAD11 PAD19 PAD15 PAD2 PAD22 PAD21 PAD26 PAD20
FDBF1006 FDMK1004 FDBF1006 FDBF1006 FDMK1004 FDBF1006 FDMK1004 FDBF1006 FDBF1006 FDBF1006 FDBF1006 FDBF1006 FDBF1006 HOLE2 HOLE6 HOLE7 HOLE3 HOLE1 HOLE19 PAD8 PAD12 PAD16 PAD13 PAD18
H-S354D118P2 H-C315D118P2 H-C315D118P2 H-C315D118P2 HOLE-D118-MA1H-TC236BS315D118P2 FDBF1006 FDBF1006 FDBF1006 FDBF1006 FDBF1006
1

1
MODEM
1

1
HOLE28 HOLE30 HOLE15 HOLE5
HOLE22 HOLE24 HOLE27 HOLE29 HOLE31 HOLE13 H-C217D142P2 H-C217D142P2 H-C217D142P2 H-C217D118P2
H-C315D118P2 H-C315D118P2 H-C315D118P2 H-C315D118P2 H-C315D118P2 H-R413X354D118P2
PAD44 PAD43 PAD40 PAD39 PAD4 PAD7 PAD37 PAD25 PAD14 PAD23 PAD17 PAD1
FDBF1006 FDBF1006 FDBF1006 FDBF1006 FDBF1006 FDBF1006 FDMK1004 FDBF1006 FDBF1006 FDBF1006 FDBF1006 FDBF1006 MODEM

1
1

HOLE16 HOLE12 HOLE20


1

1
HOLE26 HOLE25 H-C217D142P2 H-C217D118P2 H-C217D118P2
H-C217D142P2 H-C217D142P2
HOLE34 HOLE4 HOLE17 HOLE33 HOLE23 HOLE32
H-R413X354D118P2 H-S354D118P2 H-S354D118P2 H-S354D118P2 H-R413X354D118P2 H-TC314BR394X433P2

1
TPM

1
Mini Card
A PAD31 PAD45 PAD10 PAD36 PAD33 PAD34 PAD29 PAD35 PAD42 PAD24 A
1

1
FDMK1004 FDMK1004 FDBF1006 FDMK1004 FDMK1004 FDMK1004 FDEF5002 FDEF5002 FDEF5002 FDBF1006
1

HOLE9 HOLE11 HOLE10 HOLE8


H-C217D118P2 H-C217D118P2 H-C217D118P2 H-C217D118P2

AGND AGND
PROJECT : MA7
1

CPU
Quanta Computer Inc.
Size Document Number Rev
Custom 3A
VGA(TV/CRT/PANEL)
Date: Friday, December 23, 2005 Sheet 23 of 45
5 4 3 2 1
5 4 3 2 1

C: 88E8053 LF PN: AJ080530010 (20050414)

1Mbits

C: Add 9 X GND Pad for LAN controller.


(20050411)
D
C: Add RC (R37 change to 200K, Add C101) delay to D
LANVCC C: Add these GND pin for via hole to GND Plane.
control LOM_DISABLE#. (20050411)

LANVCC

R718

61

45

40

65

36
37
35
34

66
67
68
69
70
71
72
73
74
U9

1
4.7K
CLOSE CHIP

VDDO_TTL

VDDO_TTL

VDDO_TTL

VDDO_TTL_MAIN

VDDO_TTL

EPAD

SPI_CS
SPI_CLK

SPI_DO

GND
GND
GND
GND
GND
GND
GND
GND
GND
SPI_DI
PCIE_RXP0 C886 0.1u/10V PCIE_RXP0_R 49
(13) PCIE_RXP0 TX_P
10 LOM_DISABLE# LOM_DISABLE# (34)
PCIE_RXN0 C887 0.1u/10V PCIE_RXN0_R LOM_DISABLEn
(13) PCIE_RXN0 50 TX_N
VAUX_AVLBL 12 LANVCC
LANVCC PCIE_TXP0 54
(13) PCIE_TXP0 RX_P
11 C888
PCIE_TXN0 SWITCH_VCC +3V
(13) PCIE_TXN0 53 RX_N *1U/10V
VMAIN_AVAL 47
PCIE_WAKE# 6
(14,31) PCIE_WAKE# WAKEn
R742 9 Install for 8038
CLK_PCIE_LAN SWITCH_VAUX
*4.7K (2) CLK_PCIE_LAN 55 REFCLKP
R743 0 24 R719 *2K/F
(13,14,16,31,32) PLTRST# HSDACP
CLK_PCIE_LAN# 56
(2) CLK_PCIE_LAN# REFCLKN
HSDACN 25 FOR 8038
R744 *0 LANRST_1# 5 R720 4.75K/F
(34) LANRST# PERSTn
RSET 16
TX0P 17 R325 2K
(25) TX0P MDIP[0]
4 CTRL_25
TX0N CTRL25
DELAY PIN10 AT LEAST 150ms (25) TX0N 18 MDIN[0]
C 3 CTRL_12 R328 NC C

LANVCC (25) TX1P


TX1P

TX1N
20 MDIP[1] 88E8038/88E8055 CTRL12
NI for 8038

(25) TX1N 21 MDIN[1]


TSTPT 29
TX2P 26
(25) TX2P MDIP[2]
TESTMODE 46
TX2N 27
(25) TX2N MDIN[2]
TX3P 30 59 LINK_ACT_LED# LINK_ACT_LED# (25)
(25) TX3P MDIP[3] LED_ACTn
C889 R721 R722
4.7K 4.7K TX3N 31 60 LAN_SPEED_10/100# R723 *0
(25) TX3N MDIN[3] LED_LINK10/100n
0.1u/10V U54
62 LAN_SPEED_1G# R724 *0
LED_LINK1000n
1 A0 SCL 6 38 VPD_CLK
2 5 63 LAN_SPEED#_1 R745 0
A1 SDA LED_LINKn LAN_SPEED# (25)
3 A2 41 VPD_DATA C890
8 7 T223 *PAD LAN_SMB_CLK 42 15 XTAL1 27p/50V_6
VCC WP SMCLK XTALI

1
4 GND LAN_SMB_DATA 43 14 R725 Y8

AVDDL

AVDDL

AVDDL

AVDDL

AVDDL

AVDDL

AVDDL
T224 *PAD

VDD25
SMDATA XTALO

AVDD
AT24C08AN-10SI-2.7 *1M_NC 25.0000 MHz

VDD

VDD

VDD

VDD

VDD

VDD

VDD

VDD
XTAL2
C891

2
27p/50V_6

13

33

39

44

48

58

19

22

28

32

51

52

57

23

64
88E8055

7
VDD AVDD25
LANVCC L75 HI0805R800R-10
B VDD B

120 ohms@100Mhz C893 C894 R726


C892 C: Widen to 20 mils. AVDD25 to
22U-10V_8 4.7u/10V_8 0.1u/10V 4.7K
20 mils.(20050411) C895 C896 C897 C898 C899 C900 C901 C902

3 0.1u/10V 0.1u/10V 0.1u/10V 0.1u/10V 1000p/50V 1000p/50V 1000p/50V 1000p/50V

Q56
CTRL_25 1 2SA1797T100Q AVDD25

AVDD25
2

C903 C904 C905 C906 C907 C908 C909 C910 C911 C912 C913 C914
PLACEMENT CLOSE TO EACH OTHER
4.7u/10V_8 0.1u/10V 0.1u/10V 0.1u/10V 0.1u/10V 0.1u/10V 0.1u/10V 1000p/50V 1000p/50V 1000p/50V 1000p/50V 1000p/50V
LANVCC L76 HI0805R800R-10

120 ohms@100Mhz C915 C916 R727

4.7u/10V_8 0.1u/10V 4.7K LANVCC


C: Widen to 20 mils. VDD to 20
TX0P TX3P
TX0N TX3N
mils.(20050411)
TX1P TX2N
3

TX1N TX2P C917 C918 C919 C920 C921 C922


Q57
CTRL_12 1 2SA1797T100Q VDD 0.1u/10V 0.1u/10V 0.1u/10V 1000p/50V 1000p/50V 1000p/50V
A 49.9/F 49.9/F 49.9/F 49.9/F A
R734 R735 R736 R737
R738 R739 R740 R741
49.9/F 49.9/F 49.9/F 49.9/F
2

C923 C924

0.1u/10V 0.1u/10V C940 C941 4.7u/10V_8 0.1u/10V PROJECT : MA7


C938 C939 0.1u/10V 0.1u/10V
Quanta Computer Inc.
Size Document Number Rev
0804 REDUCING THE LANVCC NOISE Custom 3A
LAN 88E8038 & RJ11,RJ45
Date: Friday, December 23, 2005 Sheet 24 of 45
5 4 3 2 1
5 4 3 2 1

CN19

R20 150/F LAN_LED_Y 1


LANVCC LED1_YELP_Y
C113
0.01u/16V C: Modify PCIE & LAN differential layout LINK_ACT_LED# 2 C10 1000P
(24) LINK_ACT_LED# LED1_YELN_Y
C114
AVDD25 0.01u/16V
trace.(20050411) C11 1000P

U28 X-TX3N 3 C37 1000P


RX2-
D D
X-TX3P 4 C36 1000P
MCT1 R455 75/F RX2+
1 TCT1 MCT1 24
X-TX1N 5
TX0P X-TX0P RX1-
(24) TX0P 2 TD1+ MX1+ 23
X-TX2N 6
TX0N X-TX0N TX2- GND_LAN_CHASIS
(24) TX0N 3 TD1- MX1- 22
X-TX2P 7 TX2+
X-TX1P 8
MCT2 R456 75/F RX1+
4 TCT2 MCT2 21
X-TX0N 9
TX1P X-TX1P TX1-
(24) TX1P 5 TD2+ MX2+ 20
X-TX0P 10
TX1N X-TX1N TX1+
(24) TX1N 6 TD2- MX2- 19

R18 150/F LAN_LED_G 11 15 C650


LANVCC LED2_GRNP_G GND
7 18 MCT3 R457 75/F
TCT3 MCT3 LAN_SPEED# 4.7U-10V_8
(24) LAN_SPEED# 12 LED2_GRNN_G GND 16
(24) TX2P TX2P 8 17 X-TX2P
TD3+ MX3+ CN2
(24) TX2N TX2N 9 16 X-TX2N RING_RJ11 13 C69
TD3- MX3- 1 RING
2 TIP_RJ11 4.7U-10V_8
14 TIP
MDC
10 15 MCT4 R458 75/F
TCT4 MCT4 C652 C653 JM34F23-SBSC
(24) TX3P TX3P 11 14 X-TX3P *470P-3KV_1808 *470P-3KV_1808
TD4+ MX4+
C (24) TX3N TX3N 12 13 X-TX3N GND_LAN_CHASIS C
TD4- MX4-
LAN_1 A1 A2 B1 B2

1
C935 C936 DB0ZH1LAN06
0.01u/16V 0.01u/16V C937
1000P_3KV
DBED2LLAN05 for 8038

2
G Y

GigaLAN transformer GND_LAN_CHASIS LED1 A1(+) A2(-) ACT (Tx/Rx) YELLOW BLINKING

LED2 B1(+) B2(-) LINK 10/100/1000 GREEN

B B

A A

PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
Custom 3A
LAN Power
Date: Friday, December 23, 2005 Sheet 25 of 45
5 4 3 2 1
5 4 3 2 1

C547 12P_6

1
Y5
U20A 24.576MHZ
L2 R19 1394_XIN
(13) GNT2# GNT# XI 1394_XOUT C538 12P_6

2
(13) REQ2# L3 REQ# XO R18
AD25 R358 100/F N5
FRAME# IDSEL R0 R373 6.34K
(13) FRAME# R6 FRAME# R0 T18
IRDY# V5 T19 R1 R368 *0
D (13) IRDY# IRDY# R1 3VSUS D
DEVSEL# U6 R12 CPS R359 0 C505 1U-10V_6
(13) DEVSEL# DEVSEL# CPS

5
6
7
8
TRDY# W5 P12 R360 0
(13) TRDY# TRDY# TEST0
SERR# W6 R17 R355 56.2/F

5
6
7
8
1394 Interface
(13) SERR# SERR# VSSPLL
STOP# V6 R354 56.2/F TPA0P 4
(13) STOP# STOP# 4
PERR# R7 V14 TPA0P
(13) PERR# PERR# TPA0P
PAR U7 W14 TPA0N TPA0N 3
(13) PAR PAR TPA0N 3
R13 TPBIAS0
C/BE3# TPBIAS0 TPB0P TPB0P
(13) C/BE3# P2 CBE3 TPB0P V13 2 2
C/BE2# U5 W13 TPB0N
(13) C/BE2# CBE2 TPB0N
C/BE1# V7 R356 56.2/F
TPB0_DF C507 220P TPB0N 1

1
(13) C/BE1# CBE1 1
C/BE0# W10 V16 R357 56.2/F R340 5.11K/F_6
(13) C/BE0# CBE0 TPA1P
TPA1N W16
PCLK_7412 L1 W17 Closed Phy IC CN16
(2) PCLK_7412 PCLK TPBIAS1
V15 1394_CONN
PCIRST# TPB1P
(13,23,34) PCIRST# K3 PRST# TPB1N W15
PCICGRST_7412 K5 GRST#

PCI Interface
(13) AD[0..31] P15 1U-10V_4 C557
AD0 VDDPLL15 PHY_TEST_MA R384 4.7K
R11 AD00 PHY_TEST_MA P17 3VSUS
AD1 P11
AD2 AD01
U11 AD02 PC0_RSVD U12
AD3 V11 V12 3VSUS
AD4 AD03 PC1_RSVD
W11 AD04 PC2_RSVD W12
AD5 R10
AD6 AD05
C U10 AD06 AGND_00 U13 C
AD7 V10 U14 R390
AD8 AD07 AGND_01 43K
R9 AD08 AGND_02 R14
AD9 U9
AD10 AD09
V9 AD10 SUSPEND# J5
AD11 W9 L5 7412_PME#
AD12 AD11 RI_OUT# PCMSPK#
V8 AD12 SPKROUT H3 PCMSPK# (29)
AD13 U8 R392 43K
AD14 AD13 R389 0
R8 AD14 VR_EN# K2
AD15 W7 E10 R398 *10K 3VSUS 3VSUS

Miscellaneous
AD15 USB_EN 3VSUS
AD16 W4
AD17 AD16 SCL
T2 AD17 SCL G2
AD18 T1 G3 SDA
AD19 AD18 SDA
R3 AD19
AD20 P5 G1 R348 R347
AD20 MFUNC0 INTB# (13)
AD21 R2 H5 2.7K_6 2.7K_6
AD21 MFUNC1 INTC# (13)
AD22 R1 H2
AD22 MFUNC2 INTD# (13) 3VSUS
AD23 P3 H1 SERIRQ
AD24 AD23 MFUNC3 SERIRQ (14,34) U17
N3 AD24 MFUNC4 J1 PCICRI# (29)
AD25 N2 J2 SCL 6 1
AD26 AD25 MFUNC5 CLKRUN# FM_LED (28) SDA SCL A0
N1 AD26 MFUNC6 J3 CLKRUN# (14,34) 5 SDA A1 2
AD27 M5 3
AD28 AD27 TPS_LATCH A2
M6 AD28 LATCH/VD3/VPPD0 C9 TPS_LATCH (27)
AD29 M3 A9 TPS_CLOCK 7 8
AD29 CLOCK/VD1/VCCD0# TPS_CLOCK (27) WP VCC
B AD30 M2 B9 TPS_DATA 4 B
AD30 DATA/VD2/VPPD1 TPS_DATA (27) GND
AD31 M1 C4 PSMODE R404 10K
AD31 RSVD_03/VD0/VCCD1#/PS_MODE 3VSUS
NM24C02-WMN6T
PCI7412ZHK
3VSUS

R383
*100K

PCICGRST# R381 0 PCICGRST_7412


(27,34) PCICGRST#

C551
PCLK_7412 *0.22U_6

R376
*33 3VSUS

A C537 A
*22P_6

R361
2

10K PROJECT : MA7


7412_PME# 1 3 PCI_PME# (13) Quanta Computer Inc.
Q22 Size Document Number Rev
2N7002E B 3A
PCI8412 CARDBUS
Date: Friday, December 23, 2005 Sheet 26 of 45
5 4 3 2 1
5 4 3 2 1
U20B CN11 3VSUS 3VSUS
F18 A_A16 R391 47/F A_A16_P 1
CCLK A_SPKR# GND1
CAUDIO B12 35 GND2
A12 A_STSCHG# A_D3 2
CSTSCHG A_CD1# D3 C532 C520 C508 C574 C552 C534 C577 C576
VCCCA_00 J19 36 CD1#
A15 A_D4 3
VCCCA_01 VCCCA D4
H14 A_A13 A_D11 37 0.001U .01U 0.1U 0.1U 0.001U .01U 0.1U 0.1U
CPAR A_IOIS16# A_D5 D11
CCLKRUN# A11 4 D5
A_D12 38
A_D14 A_D6 D12
RSVD_01/D14 M19 5 D6
H17 A_A18 A_D13 39
RSVD_02/A18 A_D7 D13
6 D7
D A_A22 A_D14 D
CTRDY# G15 40 D14
F17 A_A15 A_CE1# 7 3VSUS 3VSUS
CIRDY A_A20 A_D15 CE1#
CSTOP# G18 41 D15
G19 A_A14 A_A10 8 3VSUS U20D
CPERR# A_VS2# A_CE2# A10
CVS2/VS2# B16 42 CE2# VCC33_00 J6
E12 A_IREQ# A_OE# 9 L31 C555 1U-10V_4 K1 L6
CINT# A_WE# A_VS1# OE# FBM1608 C554 1U-10V_4 1.5V_00 VCC33_01 C578 C564
CGRANT# G17 43 VS1# K19 1.5V_01 VCC33_02 P6
E19 A_A23 A_A11 10 VDDPLL33 U19 P8 0.1U 0.1U
CFRAME# A_A21 A_IORD# A11 VDDPLL33 VCC33_03
CDEVSEL# F19 44 IORD# VCC33_04 P10
C12 A_WAIT# A_A9 11 C522 C526 C518 C511 L14

Power/GND
CSERR#/WAIT# A_INPACK# A_IOWR# A9 C492 VCC33_05
CREQ#/INPACK# C14 45 IOWR# H6 GND_00 VCC33_06 J14
H15 A_A19 A_A8 12 0.1U .01U 0.1U 0.1U 10U-10V_8 K6 F14
CBLOCK# A_RESET A_A17 A8 GND_01 VCC33_07
CRST# C15 46 A17 N6 GND_02 VCC33_08 F12
A13 A_VS1# A_A13 13 P7 F9 3VSUS
CVS1/VS1# A_A18 A13 GND_03 VCC33_09 L32
47 P9 F6
CardBus Interface

A_CD2# A_A14 A18 GND_04 VCC33_10 FBM1608


CCD2#/CD2# B11 14 A14 M14 GND_05
N15 A_CD1# A_A19 48 K14 P13 AVDD33
CCD1#/CD1# A_D2 A_WE# A19 GND_06 AVDD33_00
RSVD_04/D2 B10 15 WE# G14 GND_07 AVDD33_01 P14
A_A20 49 F13 U15 C521 C525 C514
A_CE1# A_IREQ# A20 GND_08 AVDD33_02 C501
CCBE0 L17 16 RDY F10 GND_09
H18 A_A8 A_A21 50 F7 P1 0.1U 0.1U 10U-10V_8 0.1U
CCBE1 A_A12 A21 GND_10 VCCP_00
CCBE2 E18 VCCCA 17 VCC1 VCCP_01 W8
E13 A_REG# 51
CCBE3 VCC2 PCI7412ZHK
C VPP 18 VPP1 C
P19 A_D3 52 3VSUS
CAD00 A_D4 A_A16_P VPP2
CAD01 N18 19 A16
N17 A_D11 A_A22 53 C567 C565
CAD02 A_D5 A_A15 A22 C579
CAD03 M15 20 A15
N19 A_D12 A_A23 54 0.1U 0.1U 10U-10V_8
CAD04 A_D6 A_A12 A23
CAD05 M18 21 A12
M17 A_D13 A_A24 55
CAD06 A_D7 A_A7 A24
CAD07 L19 22 A7
L18 A_D15 A_A25 56
CAD08 A_A10 A_A6 A25
CAD09 L15 23 A6
K18 A_CE2# A_VS2# 57
CAD10 A_OE# A_A5 VS2#
CAD11 K17 24 A5
K15 A_A11 A_RESET 58
CAD12 A_IORD# A_A4 RESET
CAD13 J18 25 A4 GND5 69
J15 A_A9 A_WAIT# 59 70
CAD14 A_IOWR# A_A3 WAIT# GND6
J17 26 71
CAD15
CAD16 H19 A_A17 A_INPACK# 60
A3
INPACK#
GND7
GND8 72 CARDBUS POWER SWITCH 5VSUS 5VSUS
F15 A_A24 A_A2 27 73
CAD17 A_A7 A_REG# A2 GND9 U24
CAD18 E17 61 REG# GND10 74
D19 A_A25 A_A1 28 75 1 24
CAD19 A_A6 A_SPKR# A1 GND11 5V_0 5V_2
CAD20 A16 62 BVD2 GND12 76 2 5V_1 NC_3 23
E14 A_A5 A_A0 29 77 TPS_DATA 3 22
CAD21 A0 GND13 (26) TPS_DATA DATA NC_2
B15 A_A4 A_STSCHG# 63 78 TPS_CLOCK 4 21
CAD22 BVD1 GND14 (26) TPS_CLOCK CLOCK SHDN#
B B14 A_A3 A_D0 30 79 TPS_LATCH 5 20 B
CAD23 D0 GND15 (26) TPS_LATCH LATCH 12V_1
A14 A_A2 A_D8 64 80 6 19
CAD24 A_A1 A_D1 D8 GND16 NC_0 BVPP/BVCORE
CAD25 C13 31 D1 GND17 81 7 12V_0 BVCC1 18
B13 A_A0 A_D9 65 82 TPS_CLOCK VPP 8 17
CAD26 A_D0 A_D2 D9 GND18 AVPP/AVCORE BVCC0
CAD27 C11 32 D2 GND19 83 VCCCA 9 AVCC0 NC_1 16
E11 A_D8 A_D10 66 84 10 15
CAD28 A_D1 A_IOIS16# D10 GND20 AVCC1 OC#
CAD29 F11 33 WP GND21 85 11 GND 3.3VIN0 14 3VSUS
A_D9 A_CD2# PCICGRST#

NC
CAD30 A10 67 CD2# GND22 86 (26,34) PCICGRST# 12 RESET# 3.3VIN1 13
C10 A_D10 34 87 R430
CAD31 GND3 GND23 *43K_6 TPS2220B(PWR)
68 88

25
PCI7412ZHK GND4 GND24
CARDBUS-SANTA

VPP VCCCA

VPP 3VSUS 5VSUS VCCCA C559 C561 C563 C569 C566 C568
10U-10V_8 0.1U 0.1U 10U-10V_8 0.1U 0.1U

A A

C593 C596 C598 C594 C611 C580 C560 C575 C558


10U-10V_8 0.1U 0.1U 1U-16V_6 1U-16V_6 10U-10V_8 0.1U 0.1U 0.1U
PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
B 3A
PCI8412 CardBus Connector
Date: Friday, December 23, 2005 Sheet 27 of 45
5 4 3 2 1
A B C D E

U20C
F2 VCC_XD
SC_OC#
SC_PWR_CTRL G5
SC_VCC5 G6
SD_CMD/M_ALE/SC_GPIO2 C5
4 A4 R403 10K 4
SD_CLK/SM_RE#/SC_GPIO1
SM_CLE/SC_GPIO0 B4
SM_R/B#/SC_RFU D1
SM_PHYS_WP#/SC_FCB E3
E2 R395 0

FlashMedia Interface
SC_CLK CLK48M (2)
SC_RST F5
SC_DATA E1
Y6 48MHz Clock +3V
L36
F1 CLK48M_R 3 4
CLK_48 OUT VDD *BLM11A05
F3 2 1 R414 *0
SC_CD# SD_CDZ GND OE
SD_CD# E9
A8 MS_CDZ R406 *SG-8002CA 48M
MS_CD# *22 C589 C588
SM_CD# B8
*0.01U *0.01U
A3 VCC_XD L37
XD_CD#/SM_PHYS_WP# *BLM11A05
C8 MC_PWR_CTRL_0# CC1
MC_PWR_CTRL_0 R399 10K *10P
MC_PWR_CTRL_1/SM_R/B# F8
E8 MS_BS_SM_WEZ R400 10K
MS_BS/SD_CMD/SM_WE# R402 47/F MS_CLK_SM_ELWPZ
MS_CLK/SD_CLK/SM_EL_WP# A7

B7 MS_DATA0_SD_DAT0_SM_D0
MS_SDIO(DATA0)/SD_DATA0/SM_D0 MS_DATA1_SD_DAT1_SM_D1
MS_DATA1/SD_DATA1_SM_D1 C7
A6 MS_DATA2_SD_DAT2_SM_D2
MS_DATA2/SD_DATA2_SM_D2 MS_DATA3_SD_DAT3_SM_D3
MS_DATA3/SD_DATA3_SM_D3 B6

E7 SD_WP_SM_CEZ R401 10K


SD_WP/SM_CE#
3 3
SD_DAT0/SM_D4/SC_GPIO6 C6
SD_DAT1/SM_D5/SC_GPIO5 A5
SD_DAT2/SM_D6/SC_GPIO4 B5
SD_DAT3/SM_D7/SC_GPIO3 E6

PCI7412ZHK

2
3 IN1 CARD READER 2
CON1
SD_CDZ 1
3VSUS 3VSUS CARD DETECT (CD)
25 DETECT GND
SD_WP_SM_CEZ 26 SD_WP MS_BS_SM_WEZ
MS_BS 4
8 MS_DATA0_SD_DAT0_SM_D0
MS_SDIO
C876 MS_CLK_SM_ELWPZ 9 12 MS_CDZ
R132 1U-16V_6 MS_BS_SM_WEZ SD_CLK MS_INS
U45 15 SD_CMD
8.2K_4
5 1 MS_DATA1_SD_DAT1_SM_D1 3 10 MS_DATA2_SD_DAT2_SM_D2
IN OUT MS_DATA0_SD_DAT0_SM_D0 SD_DAT1 MS_RESV1 MS_DATA3_SD_DAT3_SM_D3
3 NC 5 SD_DAT0 MS_RESV2 14
MS_DATA3_SD_DAT3_SM_D3 17 6 R138 0 MS_DATA1_SD_DAT1_SM_D1
MC_PWR_CTRL_0# MS_DATA2_SD_DAT2_SM_D2 SD_DAT3 MS(3P)/MS_VCC0 MS_CLK_SM_ELWPZ
4 EN GND 2 19 SD_DAT2 MS_SCLK 16

G5240B2T1U VCC_XD 2
MS VSS0
3

MS VSS1 20
18 MS_VCC1 SD VSS0 7
11 SD_VDD SD VSS1 13
FM_LED 2 VCC_XD
(26) FM_LED VCC_XD
SHIELD1 21
SHIELD2 22
Q7 23
*2N7002E R120 C206 SHIELD3
SHIELD4 24
D11 *SW1010C 100K 10U-10V_8 C228 C207 C197 C239
1

SD_CDZ R145 *100K 0.01U 0.1U 1U-16V_6 10U-10V_8


3VSUS
CLOSE TO XD SOCKET FOXCONN 3_1 REVERSED
1 1
WORKAROUND PROPOSAL Supporting MMC/SD/MS Cards

PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
Custom 3A
PC8412 CARD_READER
Date: Friday, December 23, 2005 Sheet 28 of 45
A B C D E
5 4 3 2 1

AVDD_A +5V
L35
AVDD_A
FCM2012VF
C614 C599 C612
C581
1000P 0.1U 10U-6.3V_8 0.1U

AGND

(30) SPDIF EAPD (30)

C2A R708 56.2/F_6


D D

C1A R709 56.2/F_6


C944 0.1U
ACZ_SDIN1 ACZ_SDIN1_1 C945 0.1U
(12) ACZ_SDIN1
R410 22
AGND AVDD_A
3VSUS
AGND
C615 C613
1U-10V_6
U23 0.1U

48
47
46
45
44
43
42
41
40
39
38
37
C582
C586

MONO
SPDIF_IN/EAPD
DMIC_CLK

DMIC_R

PORT_A_R
NC
PORT_A_L
SPDIF_OUT

GPIO2

DMIC_L
AVSS2

AVDD2
1U-10V_6 AGND AGND
0.1U

1 36 FRONT-R1
C2A PORT_D_R FRONT-R1 (30)
FRONT-L1
2
3
C1A PORT_D_L 35
34 T119
FRONT-L1 (30) speakers
SDATA_IN_MODEM GPIO1 T118
4 DVSS1 GPIO0 33
ACZ_SDOUT_AUDIO 5 32 CAP2
(12) ACZ_SDOUT_AUDIO SDATA_OUT CAP2
BIT_CLK_AUDIO 6 STAC 9250 31
(12) BIT_CLK_AUDIO BIT_CLK NC
R409 22 7 30
ACZ_SDIN0 ACZ_SDIN0_1 DVSS2 NC VREFOUT_C T228
(12) ACZ_SDIN0 8 SDATA_IN_CODEC VREFOUT_C 29
9 28 VREFOUT_B
DVDD VREFOUT_B VREFOUT_B (30)
ACZ_SYNC_AUDIO 10 27 VREF
(12) ACZ_SYNC_AUDIO SYNC VREF
ACZ_RST#_AUDIO 11 26
(12) ACZ_RST#_AUDIO RESET# AVSS1
BEEP 12 25

PORT_C_R
PC_BEEP

PORT_B_R
AVDD1

PORT_C_L
PORT_B_L
C621 C622

SENSE_A

CD_GND
CD_R
10U-10V_8 10U-10V_8

CD_L
C585 AVDD_A

NC
NC
NC
NC
*0.1U

13
14
15
16
17
18
19
20
21
22
23
24
AGND
R415
C 5.11K/F_6 C946 0.1U C
C947 0.1U
SENSE_A
(30) SENSE_A
AGND MIC1_R
MIC1_R (30)
C590 MIC1_L
RCDR C597 1U-10V_6 R427 4.7K
MIC1_L (30) External MIC
0.1U CDAUDR (32)
CDGND1 C595 1U-10V_6 R425 4.7K
CDGND (32)
RCDL C591 1U-10V_6 R422 4.7K
CDAUDL (32)
AGND

R424 R416 R429


10K 10K 10K

3VSUS

C570 0.1U

AGND

CN12 MR1
3VSUS 3VSUS RI FUNCTION
1 2 C1A 0_8
ACZ_SDOUT_AUDIO GND RSV C2A MR2 RI#
3 AC_SDO RSV 4 RI# (14)
5 6 0_8
ACZ_SYNC_AUDIO GND 3.3V MR3 R412 R411
7 AC_SYNC GND 8

3
ACZ_SDIN1 R397 *22 9 10 0_8 2.2K 10K
ACZ_RST#_AUDIO AC_SDI GND BIT_CLK_AUDIO MR4
11 AC_RST# AC_BCLK 12
0_8 2
MDC R407 MR5

3
C571 0_8 Q24
MR6 DTC144EUA
*10P *22 0_8 PCICRI#

1
B (26) PCICRI# 2 B
Q25
C583 DTC144EUA
AGND
*10P

1
For MDC/DAA Module

PC BEEP CONTROL
+5V

C572
0.1U

NORMAL : LOW
5

(26) PCMSPK# 1
4 BEEP1 R396 2.2K BEEP2 C584 BEEP
2 0.47U_6
(14) ACZ_SPKR
A U22 A
7SH86
3

R394 C573
1K_6 1000P

PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
C 3A
STAC9250
Date: Friday, December 23, 2005 Sheet 29 of 45
5 4 3 2 1
5 4 3 2 1

AUDIO AMPLIFIER-MAX9755 GAIN1 SPKR


MODE MODE
HP
AMP_VDD

AMP_VDD R444
*1K_6
L48 0 10.5 3
+5V FBM2125HM330 GAIN1
Close Close
D
C623 C624 to to 1 9 0
D

0.1U 0.1U pin7 pin15


AMP_VDD AMP_VDD
AGND AGND R522
1K_6

AGND C642 C616 C702 C617 C703 AGND

1U-10V_6
0.1U 1U-10V_6 10U-10V_8 0.1U

R436 AGND AGND AGND AGND


*100K D33

25

15

10
U25

7
FRONT-L1 R517 0 C639 1U-10V_6 2 20 HPS 2 1 HPSENSE#

VDD

HPVDD
C1P

C1N
CPVDD
(29) FRONT-L1 INL HPS
FRONT-R1 R518 0 C643 1U-10V_6 28 14 SPKL
(29) FRONT-R1 INR HPL BAS316
SPKR
PORT D L1,R1 1 NC HPR 13

R445 AMP_VDD 27 4 L_SPK+


*100K NC OUTL+ L_SPK-
33 GND_THM OUTL- 5
AGND 17 R_SPK-
AGND GAIN1 OUTR- R_SPK+ AMP_VDD
24 GAIN_SEL OUTR+ 18
R443
10K_6 23 6
GND PVDDL

GND_THM
GND_THM
GND_THM
GND_THM
D24 BAS316 AGND 3
MUTE PGNDL AGND

CPGND
1 2 22 16

CPVSS
(34) VOLMUTE# /SHDN PVDDR
19

GND

VSS
PGNDR
21 VBIAS Int. Stereo Speakers
MAX9755ETI C720 C625 C626 C619

29
30
31
32
26

11
12
D23 *BAS316 C636 0.1U 10U-10V_8 0.1U 10U-10V_8 CN13

9
1 2 1U-10V_6 R_SPK+ L43 BK1608HS121-T R_SPK+_C
(29) EAPD 1
R_SPK- L42 BK1608HS121-T R_SPK-_C
AGND L_SPK+ L41 BK1608HS121-T L_SPK+_C 2
AGND L_SPK- L40 BK1608HS121-T L_SPK-_C 3
C606 4
C C
AGND 1U-10V_6 C620 C618 C640 C630 R-L-SPEAKERS

*220P *220P *220P *220P


AGND

AGND AGND

Headphone out

R442 *0 SPDIF_1
(29) SPDIF
+5V
R440 C644
*110 *100P-ESD_6

C719 CN14
*0.1U 9
DRIVE
8
7 IC

SPKR R525 470_6 SPKR1 L46 LZA10-2ACB104MT SPKR_SYS 1


3
SPKL R526 470_6 SPKL1 L47 LZA10-2ACB104MT SPKL_SYS 2
4 11
HPSENSE 5 10
R529 R523 C728 C716 6
1K_6 1K_6 100P-ESD_6 100P-ESD_6

audio/spdif
AGND AGND AGND AGND
AGND
AGND
B
Mic in B

+5V R751 5.11K


SENSE_A (29) VREFOUT_B

C738
3

R544 R541 R538 1000P


10K Q58 4.02K/F 4.02K/F
+5V
HPSENSE# 2N7002E AGND
2 CN15
1 7
R692 R426 5.1_6 C608 1U-10V_6 L50 LZA10-2ACB104MT 2
(29) MIC1_L
3

10K 6
Q53 R423 5.1_6 C609 1U-10V_6 L52 LZA10-2ACB104MT 3
(29) MIC1_R
1

2N7002E 4
HPSENSE 2 5 8

R543 R545 C740 MIC-JACK-PINK


20K/F_6 20K/F_6 C747
100P-ESD_6 100P-ESD_6
1

AGND AGND

AGND AVDD_A

R533 20K/F_6
(29) SENSE_A R549

3
10K

Q45
2N7002E

1
A A

AGND

PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
C 3A
AMP/AUDIO CONN
Date: Friday, December 23, 2005 Sheet 30 of 45
5 4 3 2 1
A B C D E

BLUETOOTH CONNECTOR Mini PCI-E Card 1


3VSUS +3V +1.5V +3V +1.5V 3VSUS

CN22
10V 3VSUS V_BT
51 Reserved +3.3V 52
Q19 49 50
SI3456DV C666 C663 C673 C658 C660 C672 C669 Reserved GND
47 Reserved +1.5V 48
6 0.1U 1U-10V_6 0.1U 10U-6.3V_8 0.01U 0.1U 10U-6.3V_8 45 46 R483 *0_6 BT_LED#
Reserved LED_WPAN# R482 *0_6 WL_LED#
5 4 43 Reserved LED_WLAN# 44
2 41 42 R481 *0_6 T148
R272 Reserved LED_WWAN#
1 39 Reserved GND 40
100K C443 C441 37 38 USBP4+ (13)
0.1U 10U-10V_8 Reserved USB_D+
D PCI-Express TX and RX direct to connector 35 GND USB_D- 36 USBP4- (13) D

3
(13) PCIE_TXP1 33 PETp0 GND 34
(13) PCIE_TXN1 31 PETn0 SMB_DATA 32 CGDAT_SMB (2,11)

3
29 GND SMB_CLK 30 CGCLK_SMB (2,11)
R279 0_6 2 Q20 27 28
(14) BT_ON# GND +1.5V
RHU002N06 C450 C668 0 PCIE_RXP1-L 25 26
(13) PCIE_RXP1 PERp0 GND
0.1U C665 0 PCIE_RXN1-L 23 24
(13) PCIE_RXN1 PERn0 +3.3Vaux
1
21 GND PERST# 22 PLTRST# (13,14,16,24,32)
R479 0 19 20
(2) PCLK_LPC_DEBUG Reserved Reserved
R477 *0 17 18 R478 0_6
(13,14,16,24,32) PLTRST# Reserved GND RF_OFF# (34,35)
5VSUS 3VSUS 15 16 R214 0
GND Reserved LAD0/FWH0 (12,34)
13 14 R215 0
(2) SRC_MINICARD1 REFCLK+ Reserved LAD1/FWH1 (12,34)
11 12 R216 0
(2) SRC_MINICARD1# REFCLK- Reserved LAD2/FWH2 (12,34)

2
9 10 R218 0
GND Reserved LAD3/FWH3 (12,34)
R311 T146 7 8 R217 0
CCI_CLK CLKREQ# Reserved LFRAME#/FWH4 (12,34)
*10K T145 5 6
CCI_DATA Reserved +1.5V
T144 3 Reserved GND 4
3 1 PCIE_WAKE_MINI# 1 2
(14,24) PCIE_WAKE# WAKE# +3.3V +3V
Q40
DTC144EUA 67910-0002
67910-0002
3

Q21
(34,35) RF_OFF# 2 *DTC144EUA
1

C C

PCLK_LPC_DEBUG

D17
BT_LED# R693
(35) WL_A#
*33
*SW1010C

D16
WL_LED# C872
*22P_6
3

*SW1010C
Q54
BT_LED 2 *DTC144EUA
1

V_BT

CN9

8
USBP6+ 7
(13) USBP6+ 6
B USBP6- B
CCI_CLK T131 (13) USBP6- BT_BUSY 5
R694 0
CCI_DATA R695 0 WIFI_BUSY 4
BT_LED 3
T132 2
R257 *0
1

87212-0800L

A A

PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
Custom 3A
NEW CARD, MINI CARD
Date: Friday, December 23, 2005 Sheet 31 of 45
A B C D E
5 4 3 2 1

For fix ODD change 0427 CD-ROM connector


CDAUDR
(29) CDAUDR
CDAUDL
(29) CDAUDL
D CDGND D
(29) CDGND

(13,14,16,24,31) PLTRST# PLTRST# CN31


PDD[0..15]
PDD[0..15] 1 2
(12) PDD[0..15] 3 4
R711 33 PDD8
PDD7 5 6 PDD9
PDD6 7 8 PDD10
PDD5 9 10 PDD11
PDD4 11 12 PDD12 +5V
PDD3 13 14 PDD13
PDD2 15 16 PDD14
PDD1 17 18 PDD15
PDD0 19 20 PDDREQ
21 22 PDDREQ (12)
PDIOR# R712
PDIOW# 23 24 PDIOR# (12) 10K
(12) PDIOW# 25 26
PDIORDY PDDACK#
(12) PDIORDY 27 28 PDDACK# (12)
IRQ14 1 T208 PAD
(12) IRQ14 29 30
PDA1 PDIAG_ODD R713 0 PDIAG
(12) PDA1 31 32
PDA0 PDA2
(12) PDA0 33 34 PDA2 (12)
C880 PDCS1# PDCS3#
(12) PDCS1# 35 36 PDCS3# (12)
100P ODDLED#
(35) ODDLED# 5VCD 37 38 5VCD
39 40
60MIL 41 42
L74
FBM2125HM300-T
+5V
43 44
R714 *470 ODDCABLESEL 45 46 C881 C882 C883 C884 C885
+3V 47 48
1 1 T210

51
52
PAD T209 49 50 0.1U 10U 10U
0.1U 0.1U
C ? R715 PAD C

51
52
1K
PDDREQ R716 *5.6K

IRQ14 R717 *10K CON50_LP

B B

MASTER
SATA CONNECTOR
+5V
CN24

GND1 1
TXP 2 SATA_TXP0 (12)
TXN 3 SATA_TXN0 (12)
C539 C536 C533 4
1000P 0.1U 10U-10V_8 GND2
RXN 5 SATA_RXN0 (12)
RXP 6 SATA_RXP0 (12)
GND3 7

3.3V 8 +3V
3.3V 9
3.3V 10
GND 11
GND 12
GND 13
5V 14 +5V
5V 15
5V 16
GND 17
18 +3V
RSVD
GND 19
A 20 A
12V
12V 21
12V 22
C553 C549 C556
4.7U-10V_8 4.7U-10V_8 0.1U

Serial ATA
PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
Custom 3A
SATA HDD, CD-ROM
Date: Friday, December 23, 2005 Sheet 32 of 45
5 4 3 2 1
5 4 3 2 1

LEFT SIDE
CN17
USB0PWR
40MIL 40MIL USBP_0- 1 5

U1
USBP_0+ 2
3
6
USB-0
USB0PWR C646 4.7U-10V_8 4
5VSUS 2 VCC OUT1 7
6 USB1PWR C6 4.7U-10V_8 USB
D OUT2 D
3 +

1
EN1 USBOC#0 C645 C5
4 EN2 OC1 8 USBOC#0 (13)
1 5 USBOC#3 0.1U 100U-6.3V
GND OC2 USBOC#3 (13)

2
CM3512-04SN C4 C3
*Clamp-Diode_6 *Clamp-Diode_6

R5 0

EB1
USBP0- 3 4 USBP_0-
(13) USBP0-
(13) USBP0+
USBP0+ 2
3
2
4
1 1 USBP_0+ LEFT SIDE
*1632090 CN18
R3 0 USB1PWR
USBP_3- 1 5
USBP_3+ 2 6

R454 0
3
4 USB-3
USB
EB5 +

1
3 4 USBP_3- C647 C9
(13) USBP3- 3 4
2 1 USBP_3+ 0.1U 100U-6.3V
C (13) USBP3+ 2 1 C

2
*1632090 C8 C7
R453 0 *Clamp-Diode_6 *Clamp-Diode_6

USB2PWR USB-1 CN20-1


USB_CONN
1
40MIL 40MIL V+
USBP_1- 2
U27 + DATA_L

SHIELD1

SHIELD2
2 7 USB2PWR C648 4.7U-10V_8 C125 C124 USBP_1+ 3
5VSUS VCC OUT1 USB3PWR DATA_H
6 C649 4.7U-10V_8 0.1U 100U-6.3V
OUT2
3 EN1 4 GND
B 4 8 USBOC#1 B
EN2 OC1 USBOC#2 USBOC#1 (13)
1 5
1

1
USBOC#2 (13)

10
GND OC2

9
CM3512-04SN 2

2
C654 C655
*Clamp-Diode_6 *Clamp-Diode_6
R47 0

R48 0

EB2
(13) USBP1- 1 A0+ A_0+ 8 USBP_1- USB3PWR USB-2 CN20-2
USB_CONN
2 7 USBP_1+ 5
(13) USBP1+ A0- A_0- V+
3 6 USBP_2- USBP_2- 6
(13) USBP2- B1+ B_1+ DATA_L
+

SHIELD1

SHIELD2
4 5 USBP_2+ C120 C119 USBP_2+ 7
(13) USBP2+ B1- B_1- DATA_H
0.1U 100U-6.3V
*USB2.0 C-CHOKE 8
90ohm@100Mhz GND
1

11

12
R45 0
2

A R46 0 A
C656 C657
*Clamp-Diode_6 *Clamp-Diode_6

PROJECT : MA7
(27,31,36,39,41) 5VSUS
Quanta Computer Inc.
Size Document Number Rev
Custom 3A
USB Ports
Date: Friday, December 23, 2005 Sheet 33 of 45
5 4 3 2 1
5 4 3 2 1

3VPCU

KBC-PC87541V
3VPCU L38 3VPCU
SBK160808T-121
1 2 KBSMI# R382 10K

+3V
C493 C592 R337 0 C562 C587 C502 C509 ENV1 R327 10K
0.1U 0.1U 0.1U 0.1U 0.1U 0.1U
C540 POP FOR 97551
0.1U BADDR0 R344 *10K
Should have a 0.1uF capacitor close to every
GND-VCC pair + one larger cap on the
LDRQ#(pin 8) internal is no use supply. BADDR1 R343 *10K

123
136
157
166

161
16

34
45

95
D LPC_DRQ0# R375 *0 DRQ0#
U21 TINT- R419 10K D

VDD

AVCC
VCC1
VCC2
VCC3
VCC4
VCC5
VCC6

VBAT
(12) LPC_DRQ0#
3VPCU
3VPCU SHBM R342 10K
SERIRQ 7 81 TEMP_MBAT BAYINS# R746 10K
(14,26) SERIRQ SERIRQ AD0 TEMP_MBAT (38)
DRQ0# 8 82 MBATV
LDRQ AD1 TEMP_ABAT MBATV (38)
LFRAME#/FWH4 9 83 TEMP_ABAT R747 10K SHBM=1: Enable shared memory with host BIOS
(12,31) LFRAME#/FWH4 LFRAME AD2
LAD0/FWH0 15 84 ABATV
(12,31) LAD0/FWH0 LAD0 AD3 BAYINS#
R380 LAD1/FWH1 14 87 ABATV R748 10K I/O Address
(12,31) LAD1/FWH1 LAD1 IOPE0AD4
470K LAD2/FWH2 13 AD Input 88 LITGHT_SENSE BADDR1-0 Index Data
(12,31) LAD2/FWH2 LAD2 IOPE1/AD5 T127
LAD3/FWH3 10 89 KBC_NC01 0 0 2E 2F
(12,31) LAD3/FWH3 LAD3 IOPE2/AD6 T117
18 90 KBC_NC02 0 1 4E 4F
(2) PCLK_551 LCLK IOPE3/AD7 T125 +3V
591RESET# 19 93 KBC_TP_11 1 0 (HCFGBAH, HCFGBAL) (HCFGBAH, HCFGBAL)+1
KBSMI# KBSMI#591 LREST DP/AD8 KBC_TP_12 T120 1 1 Reserved
(14) KBSMI# 22 SMI Host interface DN/AD9 94 T124
D20 SW1010C 23 HWPG R413 10K
SWI#2 PWUREQ R420 0 CC-SET 3VPCU
T137 DA0 99 CC-SET (37)
C548 D21 100 3VPCU
0.1U SCI# DA1
(14) SCI# 31 IOPD3/ECSCI DA output DA2 101 T121
102 ADREF PR_INSERT# R408 10K MBCLK R336 10K
DA3 T129
SW1010C
GATEA20 5 32 200HZ-LED 3VPCU MBDATA R335 10K
(12) GATEA20 GA20/IOPB5 IOPA0/PWM0 LOM_DISABLE# T238
RCIN# 6 33
(12) RCIN# KBRST/IOPB6 IOPA1/PWM1 LOM_DISABLE# (24) ABCLK 3VPCU
PWM or 36 VFAN_1 R333 10K
IOPA2/PWM2 LANRST# VFAN_1 (35)
PORT-A IOPA3/PWM3 37 LANRST# (24)
MX0 71 38 RF_OFF# ABDATA R332 10K
(35) MX0 KBSIN0 IOPA4/PWM4 RF_OFF# (31,35) LPCPD#
MX1 72 39 R388 10K
(35) MX1 KBSIN1 IOPA5/PWM5 LED_ON# (35) 3VPCU
MX2 73 40 MY16
(35) MX2 KBSIN2 IOPA6/PWM6
MX3 74 43 MY17 THERM_ALERT#R367 *10K
(35) MX3 KBSIN3 IOPA7/PWM7 MY16
MX4 77 R393 10K
(35) MX4 KBSIN4
MX5 78 153 MBATLED1 LOM_DISABLE# R749 *10K
(35) MX5 KBSIN5 IOPB0/URXD MBATLED1 (35) MY17
MX6 79 154 MBATLED0 R405 10K
(35) MX6 KBSIN6 IOPB1/UTXD MBATLED0 (35)
MX7 80 162 PWR_LED LANRST# R750 *10K
C (35) MX7 KBSIN7 IOPB2/USCLK PWR_LED (35) C
PORT-B 163 MBCLK
IOPB3/SCL1 MBCLK (38)
MY0 49 164 MBDATA
(35) MY0 KBSOUT0 IOPB4/SDA1 MBDATA (38)
MY1 50 165 PCIRST#
(35) MY1 KBSOUT1 IOPB7/RING/PFAIL PCIRST# (13,23,26)
MY2 51 Key matrix scan R334 *10K
(35) MY2 KBSOUT2
MY3 52 168 BATLOW#_1 D18 SW1010C
(35) MY3
(35) MY4
MY4
MY5
53
56
KBSOUT3
KBSOUT4
IOPC0
IOPC1/SCL2 169
170
ABCLK
ABDATA ABCLK (3)
BATLOW# (14) 3VPCU
POWER SWITCH
(35) MY5 KBSOUT5 IOPC2/SDA2 ABDATA (3)
MY6 57 171 FANSIG_1
(35) MY6 KBSOUT6 IOPC3/TA1 FANSIG_1 (35)
MY7 58 PORT-C 172
(35) MY7 KBSOUT7 IOPC4/TB1/EXWINT22
MY8 59 175 PWM_INV
(35) MY8 KBSOUT8 IOPC5/TA2 PWM_INV (22)
MY9 60 176
(35) MY9 KBSOUT9 IOPC6/TB2/EXWINT23
MY10 61 1 THERM_ALERT# R1
(35) MY10 KBSOUT10 IOPC7/CLKOUT THERM_ALERT# (3,14)
MY11 64 10K
+5V (35) MY11 KBSOUT11
MY12 65 26 SUSB#
+5V (35) MY12 KBSOUT12 IOPD0/RI1/EXWINT20 SUSB# (14)
MY13 66 PORT-D-1 29 ACIN SW2
(35) MY13 KBSOUT13 IOPD1/RI2/EXWINT21 ACIN (37)
MY14 67 30 MXLID# NBSWON#
(35) MY14 KBSOUT14 IOPD2/EXWINT24 MXLID# (22) 1 2
MY15 68
(35) MY15 KBSOUT15 5 6
2
4
6
8

2 NBSWON#
TINT- IOPE4/SWIN PR_INSERT# 3 4
105 TINT IOPE5/EXWINT40 44
106 PORT-E 24 LPCPD# R385 *0 C1 SWITCH
T126 TCK IOPE6/LPCPD/EXWIN45 SUS_STAT# (14)
RN1 R417 R418 107 25 CLKRUN# 0.1U
T123 TDO IOPE7/CLKRUN/EXWINT46 CLKRUN# (14,26)
10K_8P4R 10K 10K 108 JTAG debug port
T128 TDI
109 124 ENV0
T122 TMS IOPH0/A0/ENV0 ENV1
1
3
5
7

IOPH1/A1/ENV1 125
MSCLK 110 126 BADDR0
MSDATA PSCLK1/IOPF0 IOPH2/A2/BADDR0 BADDR1
111 PSDAT1/IOPF1 IOPH3/A3/BADDR1 127
KPCLK 114 128 TRIS
KPDATA PSCLK2/IOPF2 IOPH4/A4/TRIS SHBM
115 PSDAT2/IOPF3 PORT-H IOPH5/A5/SHBM 131
116 132 A6
(35) TPCLK PSCLK3/IOPF4 IOPH6/A6
117 PS2 interface 133 A7
(35) TPDATA PSDAT3/IOPF5 IOPH7/A7
(35) CAPSLED 118 PSCLK4/IOPF6
119 138 D0
B (35) NUMLED PSDAT4/IOPF7 IOPI0/D0 B
139 D1
IOPI1/D1
IOPI2/D2 140 D2 8Mbit (1M Byte), TSSOP40
141 D3
591_32KX1 IOPI3/D3 D4 U15
158 32KX1/32KCLKOUT PORT-I IOPI4/D4 144
145 D5 ENV0 21 25 D0
R338 20M 591_32KX2 IOPI5/D5 D6 ENV1 A0 D0 D1
160 32KX2 IOPI6/D6 146 20 A1 D1 26
147 D7 BADDR0 19 27 D2
IOPI7/D7 BADDR1 A2 D2 D3
18 A3 D3 28
Y4 150 RD# TRIS 17 32 D4
32.768KHZ IOPJ0/RD WR# SHBM A4 D4 D5
PORT-J-1 IOPJ1/WR0 151 16 A5 D5 33
1 4 591_32KX3 R325 120K_6 A6 15 34 D6
SELIO# A7 A6 D6 D7
SELIO 152 T130 14 A7 D7 35
A8 8
BAYSWAP M/A# A9 A8 R264 0
T226 62 IOPJ2/BST0 IOPD4 41 T239 7 A9 RESET#/NC 10 3VPCU
HWPG 63 42 CELL_SLT A10 36 12
(39,40,43) HWPG IOPJ3/BST1 IOPD5 CELL_SLT (37) A10 RY/BY#/NC
C458 C470 D22 69 PORT-D-2 54 D/C# A11 6 29
(14) SUSC# IOPJ4/BST2 IOPD6 D/C# (37) A11 NC1
20P 5.6P 70 PORTJ-2 55 BL/C# A12 5 38 C439
(14) SWI# IOPJ5/PFS IOPD7 BL/C# (37) A12 NC2
PCICGRST# 75 A13 4 11 0.1U
(26,27) PCICGRST# IOPJ6/PLI A8 A14 A13 NC3
SW1010C 76 143 3
(30) VOLMUTE# IOPJ7/BRKL_RSTO IOPK0/A8 A9 A15 A14
IOPK1/A9 142 2 A15 VCC 31
148 135 A10 A16 1 30
(36) S5_ON IOPM0/D8 IOPK2/A10 A16 VCC 3VPCU
149 134 A11 A17 40
(36,41) SUSON IOPM1/D9 IOPK3/A11 A18 A17
155 PORT-K 130 A12 13
(36,40,41,42) MAINON IOPM2/D10 IOPK4/A12 A19 A18
156 129 A13 37 C453
(36) LAN_POWER IOPM3/D11 IOPK5/A13/BE0 A14 A19 0.1U
(36,43) VRON 3 IOPM4/D12 PORT-M IOPK6/A14/BE1 121 GND 23
4 120 A15 CS# 22 39
(14) DNBSWON# IOPM5/D13 IOPK7/A15/CBRD CE# GND
D19 SW1010C 27 RD# 24
(14) RSMRST# IOPM6/D14 A16 OE#
28 113 WR# 9
(14,22) PWROK IOPM7/D15 IOPL0/A16 A17 WE#
IOPL1/A17 112
R387 1K CS# 173 PORT-L 104 A18
+3V SEL0 IOPL2/A18
591SEL1# 174 103 A19 ST Micro M29W008AB/AMD-29LV081B/SST39VF080
T116 591CLK SEL1 IOPL3/A19 WR1#
T135 47 CLK IOPL4/WR1 48 T133
A A
AGND
GND1
GND2
GND3
GND4
GND5
GND6
GND7

NC10
NC1
NC2
NC3
NC4
NC5
NC6
NC7
NC8
NC9
122
159
167
137

Pin 103 internal is


PC87541V
17
35
46

96

11
12
20
21
85
86
91
92
97
98

"A19",Can't use to
AGND_591
GPIO PROJECT : MA7
R421 C550
Quanta Computer Inc.
10_6 1U-16V_6 Size Document Number Rev
Custom 3A
KBC-PC87551VPC
Date: Friday, December 23, 2005 Sheet 34 of 45
5 4 3 2 1
1 2 3 4 5 6 7 8

FAN OUT PWM CONNECTOR

20 mil CN30
LED INDIACTOR
L9 0_8 5VFAN1
+5V
(34) VFAN_1
4
3 WIRELESS LED +5V_LED
2
1
+
C130 C132 +5V_LED (12) SATA_LED# R448 330
10U-10V_8 0.1U FAN D25 D28 LED
WL_A# R450 330
(31) WL_A#
A A

LED
+3V
R65 4.7K
+5V

(34) FANSIG_1 C879

3
U47 0.1U
2 (32) ODDLED# R578 330
(31,34) RF_OFF#
4 2 D29 LED
1 Q35
(14) RF_LED_OFF#
DTC144EUA
TC7SH08FU

1
(34) NUMLED

2
Q37 +5V_LED
DTC144EUA

1 3 R446 330
D27 LED

1 3 R447 330
D26 LED

Q38
DTC144EUA
B B

2
(34) CAPSLED

D30 3VPCU_LED

R580 220 GREEN2


KEYBOARD TOUCHPAD (34) MBATLED0
R576 220 1
3
(34) MBATLED1
RED
TP_L R434 1K
CN7 CP1 220PX4 SW4 L-3WEGC-6.6LSF5
MY0 1 2 MY12
1 MY0 (34) 1 2
MY1 3 4 MY13
2 MY1 (34) 5 6
MY2 5 6 MY14 C628 RV1
3 MY2 (34) 3 4 -PWRLED
MX0 7 8 MY15 0.1U *VARISTOR_6 1 3 R449
4 MX0 (34)
MX1 SWITCH D31 150_0603
5 MX1 (34)
MX2 L-934GC-6.6LSF5
6 MX2 (34)
MX3 CP2 220PX4
7 MX3 (34)
MY3 1 2 MY8
8 MY3 (34)
MY4 3 4 MY9 1
9 MY4 (34)
MX4 5 6 MY10
10 MX4 (34)
MX5 7 8 MY11
11 MX5 (34)
MX6 12
12 MX6 (34)
MX7 TP_R R435 1K
13 MX7 (34)
MY5 CP3 220PX4 SW5 R696 -PWRLED
14 MY6
MY5 (34)
1 2 MX7 DFHS12FS238
15 MY6 (34) 1 2 D36
MY7 3 4 MY5 R697 *10K_6
16 MY7 (34) 5 6
MY8 5 6 MY6 C629 RV2 1 2
17 MY8 (34) 3 4
MY9 7 8 MY7 0.1U *VARISTOR_6
18 MY9 (34)

3
MY10 SWITCH 47K_6
19 MY10 (34)
MY11 RB500 R699 Q55
20 MY11 (34)
MY12 CP6 220PX4 (34) PWR_LED 2
21 MY12 (34) 2SC4081
MY13 1 2 MY0
22 MY13 (34)
MY14 3 4 MY1 L53 R698 150K_6 10K_6
23 MY14 (34)
MY15 MY2 0_8 R700 C874
24 MY15 (34) 5 6
MX0 12 MIL 5VTP C759 0.1U C873 22U R701

1
C 25 7 8 +5V C
100K_6 22U 1M_6
KEYBOARD
CP5 220PX4 CN6
1 2 MX1
12

1
3 4 MX2
MX3 L58 BLM11A05 TPDATA-1 11 D37
5 6 (34) TPDATA 10
7 8 MY3
L59 BLM11A05 TPCLK-1 9 RB500
(34) TPCLK 8
3VPCU 3VPCU CP4 220PX4 7 +5V_LED

2
RP59 RP60 MY4 6
1 2 5
10 1 MY8 10 1 MY5 3 4 MX4 TP_L
MY15 9 MY9 MY6 MY5 (34) 4
2 MY4 9 2 5 6 MX5
MY14 8 MY10 MY3 MY7 MX6 C762 C778 3 R2 330 +5V_LED
3 8 3 7 8 2
MY13 7 4 MY11 MY1 7 4 MY2 *100P-ESD_6 *100P-ESD_6 TP_R D1 LED
MY12 6 MY0 1
5 3VPCU 6 5 3VPCU
TOUCH PAD 12P R4 330 +5V_LED
10KX8 10KX8 D2 LED

R6 330 +5V_LED
D3 LED

15V +5V

LED_ON#1
R441
1M_6 3VPCU

3
2 Q33 2
2N7002E
Q36

3
2N7002E
D D

1
(34) LED_ON# 2 3VPCU_LED +5V_LED

Q34 C631 C641


DTC144EUA 0.1U 0.1U

1
PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
C 3A
TPM/TP/KEY/BTB
Date: Friday, December 23, 2005 Sheet 35 of 45
1 2 3 4 5 6 7 8
1 2 3 4 5

VIN 15V
VIN +1.8V SMDDR_VTERM +2.5V +1.5V +5V +3V +1.2V_VGA
15V

PR225 PR226
PR192 PR197 PR199 PR194 PR195 PR198 PR196 PR188 1M_6 1M_6
1M_6 22_8 22_8 22_8 22_8 22_8 22_8 22_8 PR200
1M_6 MAIND_2.5 (39,41)
MAINON_G
MAIND
MAIND (39,41)

3
A PQ57 Z2726 Z2725 Z2704 Z2705 Z2706 Z2707 A

3
3
DTC144EUA +2.5V

2 PR193 2 2
(34,40,41,42) MAINON 1M_6 PC207 PR227
2 2 2 2 2 2 2 2
2200P_6 1M_6
PQ64 PQ80 PQ79
PQ62 PQ63 PQ58 PQ59 PQ61 PQ56 2N7002E DTC144EUA 2N7002E

1
2N7002E 2N7002E 2N7002E 2N7002E 2N7002E PQ60 2N7002E

1
2N7002E

1
1
VIN 3VSUS 5VSUS 1.8VSUS 15V

PR88 PR176 PR175 PR179 PR177 VIN 3V_S5 5V_S5 15V


1M_6 22_8 22_8 22_8 1M_6 3VPCU

SUSON_G SUSD
SUSD (39)

3
Z2709 Z2710 PR143 PR138 PR218 PR140
3

1
2
5
6
1M_6 22_8 22_8 1M_6

SUSON 2 2 S5_ONG S5_OND 3 PQ4


(34,41) SUSON PR89 PC182
2 2 2 AO6402
B 1M_6 2200P_6 Z2712 B

3
PQ49 PQ48

3
DTC144EUA PQ47 PQ46 PQ50 2N7002E
1

4
3V_S5
2N7002E 2N7002E 2N7002E

1
S5_ON 2
1

1
(34) S5_ON 2 2 2
PR141 PC140 PC61
1M_6 2200P_6 0.01U_6
PQ26 PQ27 PQ75 PQ28
DTC144EUA 2N7002E 2N7002E 2N7002E

1
3V_S5

1
S0-S5

5VPCU

VA +5V +1.5V VIN 1.8VSUS SMDDR_VTERM SMDDR_VREF SMDDR_VREF SMDDR_VREF SMDDR_VREF SMDDR_VREF SMDDR_VREF_MCH SMDDR_VREF_MCH

PC242
0.1U
PC219 PC223 PC224 PC225 PC226 PC227 PC228 PC229 PC230 PC231 PC232 PC233 PC234

1
2
5
6
0.1U-50V_6 0.1U 0.1U 0.1U-50V_6 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U

C S5_OND 3 PQ74 C
AO6402
5V_S5

4
5V_S5 (15)
+1.8V +1.8V +1.8V +1.8V +1.8V +1.8V
PC243
.1U/16V/X7R 5V_S5
PC235 PC236 PC237 PC238 PC239 PC240
S0-S5
0.1U 0.1U 0.1U 0.1U 0.1U 0.1U

3VPCU

VIN VCC_CORE +1.05V


50mils
VIN LANVCC 15V

1
2
5
6
LANVCC
LAN_ON 3 PQ17
PR52 PR48 PR49 PR109 PR111 PR112 AO6402
1M_6 22_8 22_8 1M_6 22_8 1M_6
LANVCC
Z2720 LAN_POWER_G LAN_ON

4
3
Z2721 Z2722 Z2713
3

3
3

PC117
D 2 PR110 2 PC118 0.1U D
PR67 (34) LAN_POWER 1M_6 2200P_6
(34,43) VRON 2 2 2 2
1M_6
PQ16 PQ15 PQ18
PQ10 PQ8 PQ9 DTC144EUA 2N7002E 2N7002E
1

DTC144EUA 2N7002E 2N7002E


1

1
1

PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
Custom 3A
DISCHARGE
Date: Friday, December 23, 2005 Sheet 36 of 45
1 2 3 4 5
1 2 3 4 5

R452

PWR_GND
VAD
*0_6
VAD
VA1 VAD
CN21 VA PR145
POWER_JACK_90W PD16 0.01/1W_3720
PL6 5A_8 SBM1040 PC148
1 1 2 1U-25V_8
2 1 VIN
3 PL18
3 PR81 FBJ3216HS480NT_1206 PR7 10K/F_6
2 R3 300mil

1P

2P
4

1
10K_6
A PC2 PC1 PC152 PC150 PQ1 A

1
.1U_8 .1U_8 PL1 5A_8 0.1U_8 0.01U_6 PC34 PC33 DTA124EU

1
PC149

2
1 3
10U-25V_1206

0.1U-50V_6
10U-25V_1206

4
3
2
1
PC14

2
PC3
8724_3D3_LDO 1U-25V_8 EC-A23

2
EC-A18 0.1U-50V_8 PQ29
SI4425BDY-T1-E3

2
VA 8724_3D3_LDO
PD26 PR80 EC-A22
100K PR59 100K

5
6
7
8
SW1010C
CELL-SET
8724LDO 8724_3D3_LDO 0 = 4 CELL VIN

3
PR70 PR79 1 = 3 CELL

1
PC13
PR78 PC151 + PC244
825_6 1.33K_6
EC-A21

*10U-25V_1206
75K_4 2 CELL_SLT CELL_SLT (34) 0.1U-50V_6 PR2

220U/25V
200K/F_6

2
PQ7

2
EC-A19

2
PR50 33_6 2N7002E

27
26
A (38)
PC154 PC43

1
CSSP
CSSN
PR77 PC241 1 17 1U-10V_6 1U-10V_6
10K_6 0.01U-50V_6 DCIN CELLS
PC52 28724LDO

1
1U-25V_8 LDO PR5
B 8724DLOV PD3 PC35 100K/F_6 B
Q39 EC-A20 DLOV 22
10 SW1010C *2200P_6
ACOK DTA124EU ACIN PR38
24 8724BST
ACOK 8724LDO 8724LDO BST
3 1 0_6
15 PR26
VCTL *2.7R_6
13 25 8724DH PC42 1 D1 G1 8
(34) CC-SET ICTL DHI 0.1U-50V_6 PR153
12 23 8724LX 2 D1 S1/D2 7 0.01/1W_3720
REFIN LX PL7
2

PC79
ACOK#
ACOK#
11 ACOK DLO 21 8724DL 3 G2 6 8724LXR 1 2 BAT+
BAT+ (38)
PC64 PC153
PR146 1000P-50V_6 1000P-50V_6 0.1U-50V_6 9 20 4 S2 5 10UH-MSCDR1-104R

1P

2P
10K_6 ICHG PGND
28 IINP

1
8 19 PQ30 SI4914DY-T1-E3 PC159 PC160
SHDN CSIP PC158
CSIN 18

10U-25V_1206

10U-25V_1206
7 0.1U-50V_6
(34) ACIN CCV

2
6 CCI BATT 16 BAT+
PR147 BAT+ (38)
15K_6 5 4
CCS REF PR58
20K/F_6 5VPCU
VA PR69 PR64 PR149 3
1K_6 0_6 0_6 CLS
(12,22,36,39,40,42) 5VPCU
EC-A19 R1 EC-A25

GND

GND

VAD-5
3

PC156 29 PR54 3VPCU

14
PQ31 PR152 30K

3
C ACOK# C
2 ACOK# 100K/F_6 PC69 PC63 PC157 PU2
1

2N7002K 0.1U-50V_6 0.01U-50V_6 0.01U-50V_6 MAX8724 R2 PC80 PR102


(12,15,22,34,35,36,38,39,40) 3VPCU
PR148 1U-25V_8 1U/10V_6 100K_4
PD17 ACOK 2
SW1010C 1M_6 5V_AL PR151 PQ14
PD12 2N7002K
1

*0_6 VIN
2

SW1010C
3VPCU
PR150

1
(22,36,38,39,40,41,42,43) VIN

ACOK-2
20K/F_6

EC-A24 3VPCU

3
PR98
(12,15,22,34,35,36,38,39,40) 3VPCU
TEMP_MBAT 100K
(34,38) TEMP_MBAT D/C#
2
D/C# (34)
PD11 PR101

5
SW1010C 475K/F_6 PQ12
VIN 2N7002E
EMI 1 +
P332

1
4
P331 3 -
PU5
LMV331
PC59 PC71 PC56 PC115 PC120 PC134

2
0.1U-25V_6 0.1U-25V_6 0.1U-25V_6 0.1U-25V_6 0.1U-25V_6 0.1U-25V_6

PR104
332K/F_6

3
PR99
D VIN 100K D

2 BL/C# (34)
PQ13
PC131 PC146 PC147 PC144 PC16 PC26 2N7002E
0.1U-25V_6 0.1U-25V_6 0.1U-25V_6 0.1U-25V_6 0.1U-25V_6 0.1U-25V_6
PROJECT : MA7

1
Quanta Computer Inc.
Size Document Number Rev
Custom 3A
CHARGER MAX8724
Date: Friday, December 23, 2005 Sheet 37 of 45
1 2 3 4 5
1 2 3 4 5

3VPCU

3VPCU (12,15,22,34,35,36,37,39,40)
CN23 PR12 PL205A_8 BAT+
10K_6
9
8 BAT+ (37) SI4425BDY-T1-E3
PL11 5A_8
7
6
300mil 8 1 300mil VIN
5 TEMP_MBAT (34) 7 2
A 6 3 A
4
3 EC-A26 5 4 A (37)
C855
2 0.01U PC180
1 0.1U-50V_6 PQ41
PR14 PR13
1ST_BAT_CONN 330_6 330_6

PR173
100K/F_6

MBCLK
MBCLK (34)
(34) MBATV
Near to KBC

1
C856
PD2 PD1 0.01U PR172
ZD5.6V ZD5.6V 14K/F_6
EC-A26

2
B B

TO 1st BATTERY

MBDATA
MBDATA (34)

C C

D D

PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
Custom 3A
BBATT SELECTER
Date: Friday, December 23, 2005 Sheet 38 of 45
1 2 3 4 5
5 4 3 2 1

DC-DC(MAX8734A)
VIN
PR96
(3) SYS_SHDN# R480 *10K_4 3VPCU 1 2 PL2 5A_8

3.3_0805

1
5
6
7
8
D PC101 PC91 PC92 PC90 D

10U-25V_1206
10U-25V_1206

1000P-50V_6
PR158 390K_4 0.01U_6

2
4

+3V PC105
PC164 4.7U-25V_8 PQ34
3VPCU (12,15,22,34,35,36,37,38,40)
*.1U_4 PR159 PC163 RSS090N03
100K_4 4.7U-10V_8 3V_AL

3
2
1
PR155 PC161 0.1U-50V_6 PL8 2.5UH/7.5A
3VPCU
*100K_4

5
6
7
8
PU9 PR154
1 28 BST3
5A
N.C BST3 4.7_6 PD5 PC48 +
(34,40,43) HWPG 2 27 LX3 4 *EC10QS04
PGOOD LX3
3 26 DH3 220U/6.3V/25m
ON3 DH3

5V_AL 4 25 3VPCU
5VPCU 3VPCU ON5 LDO3
8734ILIM3 5 24 DL3
ILIM3 DL3 PQ32

3
2
1
6 23 RSS090N03
PC166 SHDN- GND
PR108 1U-10V_6 FB3 7 22 PC162
FB3 OUT3

5
PR105 *6.49K_6 0.1U-25V_6
5VPCU (12,22,36,37,40,42)
*15K_6 REF2V_8734 8 21
REF OUT5

5
6
7
8

1
C FB5 FB3 FB5 9 20 PC94 C
PR160 FB5 V+ PC88

10U-25V_1206
10 19 DL5 1000P-50V_6 PL9 2.5UH/7.5A PQ33
PRO- DL5 5VPCU AO4812

2
4
PR106 100K_4 8734ILIM5
0_6 PR107
11 ILIM5 LDO5 18
PD4 + PC46 + PC45
6A
0_6 PR165 *0_6 12 17
REF2V_8734 SKIP- VCC PQ36 *EC10QS04 *220U/6.3V/25m 220U/6.3V/25m

4
5V_AL PR168 *0_6 13 16 DH5 RSS090N03
TON DH5
+3V 3VSUS
LX5

3
2
1
14 BST5 LX5 15
PR166 PR164
PC165

5
6
7
8
0_6 0_6 MAX8734A
0.1U-25V_6
PC172
BST5 4 PC168
0.1U-25V_6
PR167 0.1U-50V_6

1
4.7_6

PR223 *0_4
VCC (36,41) MAIND_2.5
SUSD (36)
REF2V_8734 PD19 PQ38

3
2
1
CHP202U RSS090N03 MAIND PR224 0_4
(36,41) MAIND

3
PR157 PR162 PR163 47_6
0_6 0_6 5V_AL
3VSUS
3VSUS (13,14,26,27,28,29,31,36,41)
8734ILIM3 8734ILIM5 PC170 +3V
+3V (2,3,7,8,9,11,12,13,14,15,16,17,18,19,22,23,24,28,31,32,34,35,36,42,43)
B 15mil 15mil 1U-10V_6 PC167 B
4.7U-10V_8 +5V
+5V (15,23,29,30,32,34,35,36,42,43)
PR156 PR161 2 5VPCU
*100K/F *100K/F
PC107 0.01U_6 3 PD9 CHN217 5VPCU

1 10V

PC177
0.1U-25V_6

5
6
7
8
PC103
4.7U-25V_8
5VPCU MAIND 4
(36,41) MAIND
PQ37
RSS090N03

+5V
PC169

3
2
1
0.1U-25V_6

2 PR97 0_6 10V PC175


PC173
1
2
5
6

PC108 0.01U_6 3 PD10 0.1U-16V_6


PQ35 CHN217 0.1U-50V_6
SUSD 3 AO6402 1 PR103 0_6 15V
(36) SUSD

A 5VSUS A
4

5VSUS (27,31,33,36,41)
PC114
PC171 1U-25V_8
0.1U-16V_6

PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
Custom 3A
3V/5V
Date: Friday, December 23, 2005 Sheet 39 of 45
5 4 3 2 1
1 2 3 4 5

VIN

VIN PC62 1U-16V_6

1
5VPCU
PD6
VIN DAP202UT106
PC65 PC66 8743AGND
PC245 PC68

8
7
6
5
10U-25V_1206 10U-25V_1206 10U-25V_1206 1000P-50V_6 PR66 PC84 PC67 PC75 PC60 PC82
PQ44 PR55 0_6 1000P-50V_6 10U-25V_1206 10U-25V_1206 10U-25V_1206 0.01U_6

5
6
7
8
TPC8024H 0_6 PR63
4 8743VCC 8743VDD
A 1.5V/ 13.4A 10_6 A
PC74

22
4

4
0.1U-50V_6 PU3 10U-10V_8 PQ52
+1.5V PC81 8743BST2 19 21 PC57 TPC8024H 1.05V/ 11A

V+

VCC
BST2 VDD
0.1U-50V_6
PL12 8743DL2 20 25 8743BST1 +1.05V
+1.5V (4,7,8,9,13,15,31,36) DL2 BST1
1U/11A_IHLP-2525CZRZ1R0

1
2
3
8743LX2 17 26 8743DH1 PL21
LX2 DH1 1.5UH/9A_IHLP2525CZRZ1R5M01 (2,3,4,5,7,8,9,12,15,36) +1.05V

3
2
1
1

8
7
6
5

8
7
6
5
8743DH2 18 27 8743LX1
DH2 LX1
PC77 + PC76 + 16 24 8743DL1
CS2 DL1

5
6
7
8
PC53 PC54 4 4
PR174

1
PD7
15 OUT2 CS1 28
*2.7R_6 PQ45 PR185 PC193 + PD23 PC58 PC190
2

+
TPC8018H 14 1 4 *2.7R_6
FB2 OUT1 PC197 *SSM24 0.1U-50V_6 *10U-10V_8
Ra 0.1U-50V_6 *SKS30-04AT *330U/2V_ 9m H=2.8 PQ78 2 330U/2V_9m H=2.8
8743PG FB1 PQ51

2
*10U-10V_8 7 PGOOD
PR85 330U/2V_ 9m H=2.8 PC181 TPC8018H PR220 TPC8018H

1
2
3

1
2
3
TON 5
10K/F_6 *0.01U-16V_4 11 PC189
ON1 10K_4 8743REF *0.01U/16V_4 *330U/2V_9m H=2.8 PR46
MAX8743EEI+ 1K/F_4

3
2
1
12 ON2
8743FB2 REF 10
Rc
D43 SW1010C 8743ILIM213 6
ILIM2 SKIP PR62 PC70
8743ILIM1 3 *0_6 .22U
PR76 0_6 ILIM1
Rb (34,36,41,42) MAINON 23

OVP
UVP
GND PR65
PR90 PR93 0_6

8743VCC
20K/F_6 *0_6 C942 8743FB1
0.01u/16V 8743VCC

8
PR47
3VPCU PR51 20K/F_6
8743AGND 8743AGND *0_6
PR82 0_6
B 8743AGND 8743AGND
(34,36,41,42) MAINON Rd B

C943 PR183
*0.01u/16V 8743REF *10K_6
Vout=(1+Ra/Rb)*1 8743AGND 8743AGND
8743PG PR182 0_6 HWPG
HWPG (34,39,43)

PR181 PR178 PR228 0_6 Vout=(1+Rc/Rd)*1


100K/F_6 0_6 (41) SUSPWROK_1P8V
PR229 0_6
(42) 1993PG
8743ILIM1 8743ILIM2

PR219 PR184 Location GM ( 1.05V/9.66A, 1.5V/5.55A ) GT ( 1.05V/3.8A, 1.5V/13.4A )


PJ2 SHORT 100K/F_6 *100K/F_6

PR181 100K_1% ( P/N: CS41003F932 ) 100K_1% ( P/N: CS41003F932 )


8743AGND
8743AGND 8743AGND
PR219 100K_1% ( P/N: CS41003F932 ) 200K_1% ( P/N: CS42003F919 )

PQ52 TPC8024-H ( P/N: BAM80240000 ) RSS090N03 ( P/N: BAM090N0001 )

D41
1.05V PQ51 TPC8018-H ( P/N: BAM80180007 ) RSS090N03 ( P/N: BAM090N0001 )

+2.5V (7,9,17,18,36)
SW1010C 1 NC0 NC2 5 PL14 IHLP-2525CZRZ1R0(P/N:CV-10B0MZ01) IHLP2525CZRZ1R5M01(P/N:CV-1590MZ01)
PR189 20K/F_6 EN_4215 2 6
(34,36,41,42) MAINON EN VO +2.5V
C C
3VPCU 3 VIN GND0 8 PC197 470uF/2V_6m ( P/N: CH747RM8821 ) 330uF/2V_9m ( P/N: CH733RM8823 )
1

PC206 1 PC204 PC200


PC198 4 NC1 GND1 9 2.5V
ADJ

10U/6.3V_10%_8

*10U/6.3V_10%_8
0.01U/50V_6 0.1U/16V_6
PC203 PC205
2

1.1A
1

10U/6.3V_10%_8

PU10
7

0.1U/16V_6 SC4215 L-F


PR178 0_5% ( P/N: CS00003J951 ) 100K_1% ( P/N: CS41003F932 )
2

PR191 R1
S0
4215FB

PR190
*4.12K/F_6
PR184 NO ASM 46.4K_1% ( P/N: CS34643F904 )
10K/F_6
R2
PQ44 RSS090N03 ( P/N: BAM090N0001 ) TPC8024-H ( P/N: BAM80240000 )
Vo=0.8(R1+R2)/R2

PQ45 RSS090N03 ( P/N: BAM090N0001 ) TPC8018-H ( P/N: BAM80180007 )

1.5V
PQ? NO ASM TPC8018-H ( P/N: BAM80180007 )

PL14 IHLP2525CZRZ1R5M01(P/N:CV-1590MZ01) IHLP-2525CZRZ1R0(P/N:CV-10B0MZ01)

PC77 330uF/2V_9m ( P/N: CH733RM8823 ) 470uF/2V_6m ( P/N: CH747RM8821 )

D D

PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
Custom 3A
MAX8743 1.5VS_5/+1.05V/2.5V
Date: Friday, December 23, 2005 Sheet 40 of 45
1 2 3 4 5
5 4 3 2 1

VIN

D D
EC-A29

5VSUS
PL19
5A_8

51116_VIN

EC-A29

2
PC174 + + PC176
10U-25V_1206 10U-25V_1206 1.8V_LX
PD8 PC85
1U-10V_6 EC-A29
CH501H-40PT

1
PR92 3VSUS
6.8K/F_4

PC111
1.8 Volt +/-5% PC110 PU4 PR83

15

14

16
0.1U-50V_6 2200P-50V_4 SC480 100K_4
Design Current:15.4A

VDDP(V5IN-TI)

VDDP(V5FILT-TI)

CS
PR94
0_6
PC99 1.8BST 22 VBST

8
7
6
5
OCP:min 16.49A PQ39 13
PGOOD SUSPWROK_1P8V (40)
TPC8024H 0.1U-50V_6
C EC-A29 4 1.8V_DH 21 12 C
1.8VSUS PL10 DRVH NC
12.2*12.2*6
PQ73 S5 11 SUSON (34,36)
1.5uH_SIQH125_1R5PF_13A/6mohm TPC8018H
1.8V_LX

3
2
1
2 1 20 LL S3 10 MAINON (34,36,40,42)
330U/2V_ 9m H=2.8

330U/2V_ 9m H=2.8

8
7
6
5

8
7
6
5
PR100 23 51116_VLDOIN PR95 0_8 1.8VSUS
PC109 PC102 PC104 *2.7R_6 VLDOIN
+ +
4 4 1.8_DL 19
0.1U-10V_4 PR74 PC113 DRVL PC95
NC 7
0_4 *2200P PQ40 1U/10V_10%_6
PR73 TPC8018H
2K/F_4
3
2
1

3
2
1

18 PGND VTTGND 1
PC72 17
100P-50V_6 CS_GND PC87 PC96 PC97 PC98
TON(MODE) 4
100pF for SC480 1.8V_OUT 8 VDDQSNS 0.1U-10V_4 10U-6.3V_8 10U-6.3V_8 *10U-6.3V_8
PR72 1.8V_FB 9 24
5VSUS VDDQSET VTT SMDDR_VTERM
*0_4 2
VTTSNS

VTTREF
PR171 0_4 51116_COMP 6 0.9 Volt +/-5%
COMP

51116_TON
PR71 PC73 25

GND
5VSUS

PAD
PAD
PAD
10K/F_4 0.1U-50V_6 PAD
26 Design Current:1.2A
PC178 PAD
EC-A29 Maximum Current: 1.5A
EC-A30 1U/10V_10%_6

29
28
27
5

3
PR91 887K_4 51116_VIN
B B
PR170
10_6
10 Ohm for SC480 PC83
1000P_4
SMDDR_VREF

1.8VSUS PC179

1U/10V_10%_6

PC192
0.1U-25V_6
5
6
7
8

PR221 *0_4
(36,39) MAIND_2.5

MAIND PR222 0_4 4


(36,39) MAIND

PQ55
RSS090N03
+1.8V
3
2
1

A A
PC202
PC201
0.1U-16V_6 0.1U-16V_6

PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
Custom 3A
1.8V/0.9V/VGA1.2V
Date: Friday, December 23, 2005 Sheet 41 of 45
5 4 3 2 1
5 4 3 2 1

V_PWRCNTL MXX PR125 PR126


LO 1.2V
E 51.1K_1% 100K_1% E
HI 1.0V

VIN VIN_1993 +5V V_PWRCNTL MXX PR125 PR126


PR133 LO 1.1V
PL13 20_4_P 35.7K 110K_1%
VGA_P_VCC HI 0.95V
5A_8 PD15 +3V

1
PC186 CH501H-40PT_P PC133 PC135

1
PC183 PC184 PC188 PC185
1U-10V_6_P

2200P/50V_10%_4_P
1U-10V_6_P
10U-25V_1206_P

10U-25V_1206_P

10U-25V_1206_P

0.1U-50V_6_P

2
2

8
7
6
5

19

24

22
VIN_1993

VGA_BST
PR132 PR130
*100K_6_P

VDD

OVP/UVP

VCC
4 0_4_P 14
PQ54 V+
17 BST 1993PG
D 1.2V/1.0V 17A TPC8024H_P
1993_DH 15 DH
POK 4 1993PG (40) D

LSAT 3
+1.2V_VGA PC130
250 mils 23
PL15 0.1U-50V_8_P SHDN

1
2
3
+1.2V_VGA 1993_LX 16 21 PR134 0_6_P V_PWRCNTL (17)
LX GATE

8
7
6
5

8
7
6
5
0.56uH_P 7 VGA_REFIN VGA_P_REF
PU7 REFIN
PC194 + PC196 + PC195 PR186 1993_DL 18 PR126 110K/F_4_P
DL

1
*2.7R_6 4 4 MAX1993ETG PR127
330U/2V_ 9m H=2.8_P

330U/2V_ 9m H=2.8_P

0.1U-16V_6_P PR187 100K/F_4_P PC127


PC187 PQ77 PQ53 20 GND
470P_4_P
1.1K/F_4_P

2
*2200P_6 TPC8018H_P 8
OD
PC191 11 CSP
TPC8018H_P PR125

1
2
3

1
2
3
(34,36,40,41) MAINON
12 PR131 10K_4_P 35.7K/F_4_P
0.1U-16V_6_P CSN
TON 1
10 5VPCU
OUT

FBLANK
REF 6 VGA_P_REF
VGA_CSP 9 8743REF

SKIP
C C

ILIM
FB

1
+1.2V_VGA PR129 PC128 PR139
*20K/F_6 PR137

13
100K/F_6_P 1U/10V_10%_6_P 0_6_P

5
PR144

2
*43K_4 VGA_CORE_ON
PR136 0_6_P

3
3
PQ25 PC138
2
*MMBT3904 2 *1000P

1
PC129 PR128 PC141 PR142

1
*43K_4 PQ24
470P_4_P 53.6K/F_4_P *0.1U_4 *2N7002E

1
B D42 B

SW1010C_P
+1.2V_VPCIE (16,18)
PR115 1 5
10K_6_P NC0 NC2

(34,36,40,41) MAINON 2 EN VO 6 40mil +1.2V_VPCIE


1.8VSUS 3 8
VIN GND

1
PC123 40mil 4 NC1 GND 9 PC199 PC246
ADJ

0.01U-50V_6_P PC126
0.1U-50V_6_P
1

10U/6.3V_10%_8_P

10U/6.3V_10%_8_P
PC121 PC124 PU6

2
PC122 SC4215
7
*10U/6.3V_10%_8
10U/6.3V_10%_8_P

0.1U-50V_6_P
2

R1

PR118
A PR119 5.11K/F_6_P A
10K_6_P Vo=0.8(R1+R2)/R2
R2
PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
Custom 3A
VGA_CORE & +VGA1.2V
Date: Friday, December 23, 2005 Sheet 42 of 45
5 4 3 2 1
A B C D E F G H

VIN

5
6
7
8

5
6
7
8
PQ68
+5V TPC8024H PC5 PC4 PC24 PC12 PC11 PC29
PR211

2200P-50V_4

0.1U-50V_6

10U-25V_1206

10U-25V_1206

10U-25V_1206
0_6

*10U-25V_1206
4 4
PQ67
*TPC8024H PC9 PC8
PR4

2200P-50V_4

0.1U-50V_6
0_6
PC214

VIN +3V PR212

3
2
1

3
2
1
1 PU11 1
0.22U-25V_8 21K_6
DELAY_VR_PWRGOOD (7,14) 6 VCC BOOT 2

+3V 3 1 UG1
DCR 1.1m Ohms+-10% VCC_CORE (4,36) VCC_CORE
PWM UGTE PL16
PR40 7 8 PH1
FCCM PHSE 0.36uH
0_6

5
6
7
8

5
6
7
8
PR45 4 5 LG1
PC18 GND LGTE PQ66
0.1U-50V_6 TPC8018H PR30
10K_4 ISL6208 L-F 4 4 *2.7R_6 PC106 PC100 PC89 PC218

1
2

*330U/2.5V/ESR-9/POS

*330U/2.5V/ESR-9/POS

330U/2.5V/ESR-9/POS

330U/2.5V/ESR-9/POS
+5V PC44 PQ65 PR28 PR19 + + + +
6260AGND 0.1U-50V_6 PC15 TPC8018H PC38 0_4 0_4
1U-10V_6 *2200P_6
6260AGND

1
PD24

2
*EC31QS04
3
2
1

3
2
1
20

18

39

40
PC23 PU1

VDD

VIN

PGOOD
3V3
10U-10V_8 ISL6260_MLFP_40 L-F VSUM PR25 5.11K/F_6

19 PR23 10K/F_4
VSS FCCM
FCCM 24
41 GND
Throttling temp. PR21 10_6
+3V 27 PWM1
105 degree C 6260AGND
PWM1 PC215 PC22
VIN
PR36 0_4 1
(3) PSI# PSI#
23 +5V
ISEN1

2200P-50V_4

0.1U-50V_6
PR203 PR204 0_4 2
(34,39,40) HWPG PGD_IN
10K_4 PC30 PR22
6260AGND PR202 147K/F_6 3 0.22U-10V_6 *0_6
RBIAS PR3
2 2

5
6
7
8

5
6
7
8
(3) VR_TT# 4 0_6 PQ70 PC216 PC27 PC40 PC37 PC31 PC10
VR_TT# PWM2 TPC8024H
PWM2 26

2200P-50V_4

0.1U-50V_6

10U-25V_1206

10U-25V_1206

10U-25V_1206
PR31 PR214 470K_5%_4 NTC

*10U-25V_1206
6260AGND 5 NTC
4.02K/F_4 PR1 4 4
PC39 15n-50V_6 6 0_6
PC41 10nF-50V_4 SOFT
6260AGND ISEN2 22
6260AGND PC7
PR29 0_4 PC25 PU12 0.22U-25V_8 PR217 PQ69
28 VID0 PR17
(4) H_VID0 6 2 21K_6 *TPC8024H VCC_CORE
PR33 0_4 0.22U-10V_6 *287/F_6 VCC BOOT
(4) H_VID1 29 VID1 UG2
DCR 1.1m Ohms+-10%

3
2
1

3
2
1
3 PWM UGTE 1
PR32 0_4 30 25 +5V PL17
(4) H_VID2 VID2 PWM3
7 8 PH2
PR35 0_4 FCCM PHSE
(4) H_VID3 31 VID3

5
6
7
8

5
6
7
8
4 5 LG2 0.36uH
PR39 0_4 GND LGTE PQ72 PR53
(4) H_VID4 32 VID4 ISEN3 21

2
TPC8018H *2.7R_6
PR34 0_4 33 6260AGND PC6 ISL6208 L-F 4 4 PC217 PC112 PC213
(4) H_VID5 VID5

1
1U-10V_6 PC55 + + +
PR37 0_4 PQ71 *2200P

1
(4) H_VID6 34 VID6

330U/2.5V/ESR-9/POS

*330U/2.5V/ESR-9/POS

330U/2.5V/ESR-9/POS
TPC8018H PR86
PR44 0_4 35 PC36 1000P-50V_6 PD25 PR61 0_4
VR_ON

*EC31QS04
(34,36) VRON 0_4
(14) PM_DPRSLPVR PR43 499/F_4

2
36 DPRSLPVR PR27 11.5K/F_6

3
2
1

3
2
1
OCSET 7
PR41 0_4 37
(3,12) ICH_DPRSTP# DPRSTP#

(2) VR_PWRGD_CK410# PR42 0_4 38 CLK_EN# VSUM


VSUM 17
PR16
PC21 6.8n-25V_4 PR9 10K/F_4 11 PR10 PR215 PR216
VDIFF 2.94K/F_6 5.11K/F_6 0_4 0_4
PC19 PC20 PR8 VSUM
PR20 2.49K/F_6
0.1U-50V_6
0.22U-10V_6

4.53K/F_6
10 10K/F_4 PR18
FB PR213
3 3
10K_5% _6 NTC
PR24
PC28 3.3n-50V_4 82.5K/F_6 16 PR6 10_6
VO
9 COMP

PC32 PC17 Panasonic


DROOP

ERT-J1VR103J Intel Recommendation Option 1 :


VSEN

120P-50V_6 PR201 7.15K/F_6 8 15 0.1U-50V_6


RTN

VW DFB PR210 250KHZ<= Fs <= 300K HZ


1K/F_4 0.351uH<= Lo <=0.500uH +-20%
13

12

14

PC209 1000P-50V_6 Low-Freq. Decoupling : ESR 1.5m Ohms ; ESL 1.8nH/6


PC210
SPCAP EEFSX0D331R * 6 or
PR209
POSCAP 2R5TPE330M9 * 6
4.64K/F_6 EC-A32 6260AGND Mid-Freq. Decoupling : 3m Ohms/32
*10nF
PC211 PC212 ISL6260_VO MLCC 22uF_0805_X5R * 32 PCS.
10nF-50V_4 PC208
10nF-50V_4 330P-50V_4

Intel Recommendation Option 2 :


PJ1 SHORT 6260AGND 6260AGND
PR206 0_4 250KHZ<= Fs <= 300K HZ
VCCSENSE (4)
0.351uH<= Lo <=0.500uH +-20%
Low-Freq. Decoupling : ESR 1.5m Ohms ; ESL 0.8nH/6
6260AGND PR207 0_4
VSSSENSE (4) POSCAP 2R5TPL330MF9 * 6
Mid-Freq. Decoupling : 3m Ohms/24
PR208 PR205 MLCC 22uF_0805_X5R * 24 PCS.
10_6 10_6

4 4

PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
C 3A
ISL6260+6208(CPU)
Date: Friday, December 23, 2005 Sheet 43 of 45
A B C D E F G H
5 4 3 2 1

D D

IMVP Spec. Rev. 0.8

(Nom.) Yonah-2M Meron


HFM 1.2875 V 1.1500 V
CCM : Continuous Conduction Mode
LFM 0.8375 V 0.8375 V
DCM : Dis-Continuous Mode
Deeper 0.7625 V 0.7625 V
VBOOT 1.2000 V 1.2000 V
SLOPE -2.1 mV/A -2.1 mV/A

Active Mode
Active Mode
(Max.) Yonah-2M Meron
PSI# : H VID change
up or down PSI# : H
HFM 36 A 44 A DPRSTP# : H
DPRSTP# : H
DPRSLPVR : L
LFM 9.5 A 12.5 A DPRSLPVR : L
FCCM : H
C
FCCM : H C

Deeper 3.5 A 5.5 A


Dynamic 27 A 34.5 A
CCM CCM
TDC 26 A 32 A

Mode change

Vo VID6 VID5 VID4 VID3 VID2 VID1 VID0 Deeper Sleep Mode change
---------------------------------------------- Mode with Active Mode
1.5000 0 0 0 0 0 0 0
---------------------------------------------- PSI# : L VID change PSI# : H
1.4375 0 0 0 0 1 0 1 up
---------------------------------------------- DPRSTP# : L DPRSTP# : H
1.4000 0 0 0 1 0 0 0 DPRSLPVR : H DPRSLPVR : L
----------------------------------------------
1.3000 0 0 1 0 0 0 0
FCCM : L FCCM : H
B
----------------------------------------------
1.2875 0 0 1 0 0 0 1 DCM CCM B

----------------------------------------------
1.2000 0 0 1 1 0 0 0
----------------------------------------------
1.1500 0 0 1 1 1 0 0
---------------------------------------------- Mode change
1.1000 0 1 0 0 0 0 0 without VID change
---------------------------------------------- or
1.0000 0 1 0 1 0 0 0
----------------------------------------------
with VID change
0.9625 0 1 0 1 0 1 1 down
----------------------------------------------
0.9000 0 1 1 0 0 0 0
----------------------------------------------
0.8375 0 1 1 0 1 0 1
---------------------------------------------- Active Mode
0.8000 0 1 1 1 0 0 0
---------------------------------------------- PSI# : H
0.7625 0 1 1 1 0 1 1
----------------------------------------------
DPRSTP# : H
0.7500 0 1 1 1 1 0 0 DPRSLPVR : L
---------------------------------------------- FCCM : L
0.7000 1 0 0 0 0 0 0
----------------------------------------------
0.6000 1 0 0 1 0 0 0
----------------------------------------------
DCM
0.5000 1 0 1 0 0 0 0
A ---------------------------------------------- A

0.3000 1 1 0 0 0 0 0
----------------------------------------------

PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
C 3A
CPU_Operation State
Date: Friday, December 23, 2005 Sheet 44 of 45
5 4 3 2 1
5 4 3 2 1

MA7 / Schematic EC Tracking Record A ( for A-Test-> B Test ) Oct. 28, 2005

EC #/Page/Description/Part Affected

D D

C C

B B

A A

PROJECT : MA7
Quanta Computer Inc.
Size Document Number Rev
Custom 3A
EC RECORD A
Date: Friday, December 23, 2005 Sheet 45 of 45
5 4 3 2 1

You might also like