You are on page 1of 3

Univerzitet u Sarajevu

Elektrotehnički fakultet
Odsjek za automatiku i elektroniku
Predmet: Projektovanje sistema na čipu

Laboratorijska vježba 3
Zadatak 1
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity brojac_po_modulu is
generic( M : integer := 8;
N : integer := 3
);
port(
clk, reset : in std_logic;
max_tick : out std_logic;
q : out std_logic_vector(N-1 downto 0)
);
end brojac_po_modulu;
architecture brojac_arch of brojac_po_modulu is
signal r_reg : unsigned(N-1 downto 0);
signal r_next : unsigned(N-1 downto 0);
begin
process(clk, reset)
begin
if(reset = '1') then
r_reg <= (others => '0');
elsif (clk'event and clk = '1') then
r_reg <= r_next;
end if;
end process;
q <= std_logic_vector(r_reg);
max_tick <= '1' when r_reg = M-1 else '0';
r_next <= r_reg + 1;

end brojac_arch;

Modul brojac_po_modulu je instanciran u modulu lab3_zad1, čiji kod je dat u nastavku:


library ieee;
use ieee.std_logic_1164.all;
entity lab3_zad1 is
port(
KEY3 : in std_logic; --reset
KEY0 : in std_logic; --clk
LED_max : out std_logic;
LED : out std_logic_vector(2 downto 0)
);
end lab3_zad1;

architecture zad1_arch of lab3_zad1 is


begin
brojac : entity work.brojac_po_modulu
port map(
clk => KEY0, reset => KEY3, max_tick => LED_max, q => LED(2 downto 0)
);
end zad1_arch;

Na slici 1 je prikazano stanje nakon dva takt signala. Nakon toga se dovede reset signal (KEY3 na 1), i stanje
nakon takt signala je dato na slici 2. Na slici 3 je dato stanje nakon što brojač dostigne maksimalnu vrijednost, a
na slici 4 stanje nakon još jednog takt signala, tj. nakon što brojač dođe na nultu vrijednost. Flag LED_max služi
kao indikacija da je brojač dostigao maksimalnu vrijednost.

Slika 1

Slika 2

Slika 3

Slika 4

You might also like