You are on page 1of 12

The International Journal of Advanced Manufacturing Technology (2020) 109:1419–1430

https://doi.org/10.1007/s00170-020-05740-w

ORIGINAL ARTICLE

Recent developments and applications of chemical


mechanical polishing
Zhao-Wei Zhong 1

Received: 26 April 2019 / Accepted: 6 July 2020 / Published online: 16 July 2020
# Springer-Verlag London Ltd., part of Springer Nature 2020

Abstract
This article discusses advanced developments and applications of chemical mechanical polishing (CMP) published recently in
the selected papers indexed by Web of Science. The topics covered are advances in slurry and abrasives, pads and conditioning,
CMP for semiconductor device manufacturing, CMP for other applications, modeling and simulations, and CMP with ultrasonic
vibrations, lasers, photocatalysts, or UV lamps. Nonspherical abrasive particles have been developed for CMP, resulting in
increased material removal rates (MRRs). Advanced conditioning methods have been proposed to uniformly generate pad
surface shapes. Fixed abrasive CMP has advantages with higher MRRs. New models for designing the pad and conditioner
have been proposed, and more uniform pad shapes can be obtained. Integrated advanced process control improves the wafer-to-
wafer variation. Dental implants treated by CMP perform equally or better than the baseline-machined implants and the biphasic
calcium phosphate-treated implants. The slurry distribution and the abrasive behavior can be simulated by means of multiphase
modeling. Molecular dynamics simulations can explore the mechanism of CMP. CMP of wafers can be simulated using an
atomic force microscope with its tapping mode. Theoretical models have been developed to calculate removal depths, study the
chemical action in CMP, and explore the crystal orientation effects. CMP assisted by ultrasonic vibrations increases CMP MRRs
and lowers the roughness of polished surfaces. CMP assisted by using UV lamp power, femtosecond lasers, or photocatalysts
enhances CMP MRRs.

Keywords Chemical mechanical polishing . Slurry . Abrasive . Pad . Conditioning . Semiconductor device manufacturing .
Modeling . Simulation . Ultrasonic vibration . Laser . Photocatalyst . UV light

1 Introduction papers reported innovative researches on CMP slurry and


abrasives. The nonuniformity of polished surfaces and de-
Chemical mechanical polishing (CMP) is considered the only creased material removal rates (MRRs) can be caused by
process to attain global planarization [1] and thus has been pad wear. Understanding the wear mechanism of pads can
widely accepted [2, 3] for obtaining ultrasmooth and flat sur- help to improve the pad conditioning and result in uniform
faces [4–6]. It is the most competent process for wafer surfaces polished [11].
planarization [7] in the semiconductor industry [8]. Many pa- Meanwhile, research efforts have been made via modeling
pers reviewed in this paper presented CMP for manufacturing and simulations to reveal the polishing mechanisms.
of semiconductor devices. Besides the semiconductor field, Furthermore, conventional CMP of brittle and hard materials
CMP has found many applications in other fields as well. is difficult to concurrently achieve both high MRRs and low
Slurry and the abrasive material are most important factors surface roughness [12]. To overcome the difficulties, CMP
affecting the material removal of CMP [1–3, 9, 10]. Many with ultrasonic vibrations, lasers, photocatalysts, or UV lamps
has been proposed.
This general review article attempts to provide brief intro-
* Zhao-Wei Zhong duction to the developments and applications of CMP pub-
mzwzhong@ntu.edu.sg lished recently in the selected papers indexed by Web of
1
Science. Sections 2–7 of this paper cover the topics of slurry
School of Mechanical and Aerospace Engineering, Nanyang
Technological University, 50 Nanyang Avenue, Singapore 639798,
and abrasives, pads and conditioning, CMP for semiconductor
Republic of Singapore device manufacturing, CMP for other applications, modeling
1420 Int J Adv Manuf Technol (2020) 109:1419–1430

and simulations, and CMP with ultrasonic vibrations, lasers, Zhou and Zhu [22] presented preparation of CeO2/CeF3
photocatalysts, or UV lamps, respectively. powders. They milled ammonium fluoride, oxalic acid
dihydrate, and cerium nitrate hexahydrate and then calcined
them to obtain the powders. The powders having a fluorine
2 Slurry and abrasives doping content of 7 wt% led to large MRRs and considerable
suspension stability. The decreased abrasive hardness and
As discussed in Section 1, abrasives and the slurry are critical changes of particle morphology resulted in good surface
components affecting the MRRs of CMP [1–3, 9, 10, 13]. The finish.
surface and mechanical characteristics, structure, morphology, Wang et al. [23] modified alumina particles using N-(2-
and type of abrasive particles affect the material removal pro- aminoethyl)-3-aminopropyltrimethoxysilane. Compared with
cess [14], and thus the choice of them plays a key role [15]. unmodified particles, the modified particles demonstrated a
Recently, CMP with a fixed abrasive pad has become an al- larger friction coefficient, leading to improved surface finish
ternative technique to loose-abrasive polishing using a tradi- and greater MRRs.
tional pad [16, 17]. Many papers reported innovative re- Huang et al. [24] used magnetic nanoparticles (MNPs) and
searches on CMP slurry and abrasives, briefly introduced as ultrasonic vibrations to treat wastewater. Ultrasonic vibrations
follows. could decrease MNP sizes and had good adsorption capabili-
Liang et al. [18] introduced zinc acetate and developed ty, leading to 5 recycle times of MNPs.
peanut-shaped silica particles for CMP, as these particles Bun-Athuek et al. [25] studied the diameter and surface
could significantly increase MRRs because of their shapes. changes of silica particles in CMP of sapphire. During
They introduced CMP as a new method for planarization of CMP, aluminum silicate is left from the machined sapphire
Ti alloys, and nanoscale flatness was obtained [19]. MRRs and adhered to the slurry particles. This decreased the ef-
were increased up to 100 nm/min because of the fast reforma- fective particle surface areas and thus degraded the slurry
tion rate of oxide layers with sufficient H2O2. Dong et al. [1] particles, resulting in reduced MRRs with increased ma-
used an approach assisted by the lanthanum ion-induced effect chining time. They [26] polished sapphire wafers with a
and developed peanut-shaped silica abrasives as well. The mixture of large and ultrafine silica particles. The ultrafine
lanthanum ions functioned like glue and attracted negatively particles stuck to the large particles and altered the particle
charged silica. The mechanical actions were increased be- surfaces. Better MRRs and surface finish of the wafers were
cause of the peanut shape, and the abrasives resulted in more achieved.
solid-chemical reactions with the substrates, leading to an in- Dong et al. [27] developed potassium dihydrogen phos-
creased MRR by 32.6% compared with that using spherical phate solution-in-oil (KDP aq/O) for CMP of KDP. They
silica abrasives. replaced water in the traditional water-in-oil solution, reduced
Chen et al. [14] proposed abrasive particles with special the corrosion pits on KDP surfaces, and achieved better sur-
properties to be used in CMP and achieved increased MRRs. face finish.
The particles had mesoporous silica (mSiO2) shells and solid Zhou et al. [28] investigated CMP of sapphire with silica
silica (sSiO2) cores developed using cetyltrimethylammonium particles of different sizes. Using 10-nm particles resulted in
bromide. They [15] used mSiO2/CeO2 particles having CeO2 straight atomic step edges and smooth surfaces of 0.06 nm.
nanoparticle coatings and mesoporous silica (mSiO2) cores for Zhang et al. [29] also conducted CMP of sapphire wafers with
CMP of oxides. This led to decreased scratches and better silica-based slurries, and they revealed that most (not all) of
surface finish because of the spring-like behavior of the elastic the halogen salts and alkali metals could increase MRRs,
3+
mSiO2 cores. The MRR was also increased, due to more Ce which also depended on the alkaline agents and the particle
ions on CeO2 surfaces. size.
Sanusi et al. [20] studied ultrafiltration to recover the tung- Qu et al. [30] studied CMP of molybdenum using colloidal
sten slurry used for CMP. Membrane fouling was caused by silica and H2O2-based slurry. Due to soluble (NH4)2MoO4
the cake layer formed on the membrane surface, resulting in formed, MRRs of Mo were increased with the adding of am-
membrane pore jamming. The average size of silica particles monium sulfate in the slurry.
was decreased from that in the fresh slurry by 42% after CMP. Mandal et al. [31] added two reducing (sodium thiosulfate
Before it could be recycled in CMP, the used slurry also re- and oxalic acid) and three oxidizing (ferric nitrate, potassium
quired chemical modification. permanganate, and hydrogen peroxide) agents in slurry to
Khanna et al. [21] investigated the agglomeration behavior. investigate CMP of diamond. Hydrogen peroxide had almost
With an optical sensing system, the particle distribution was no influence on the CMP, but oxalic acid resulted in the best
obtained. Big particles (> 0.5 μm) in the slurry delivered MRR. Adding the redox agents accelerated the attachment
using pumps caused defects during CMP. A higher shear rate and removal of O or Si atoms in the slurry on diamond
of the pump resulted in more agglomeration in slurries. surfaces.
Int J Adv Manuf Technol (2020) 109:1419–1430 1421

Lei et al. [32, 33] prepared Ce-doped and zinc-doped SiO2 conventional benzotriazole inhibitor. TAZ had high
abrasives and performed CMP of sapphire. They revealed that planarization efficiency, wide potential inhibition interval,
the chemical reaction between the abrasives and sapphire led and low current density.
to low surface roughness and high MRRs. In summary, nonspherical abrasive particles such as
The quality of machined surfaces has drawn attention for peanut-shaped silica abrasive particles were developed for
advanced applications [34]. Besides MRRs, the roughness CMP, resulting in increased MRRs. Particles having mesopo-
[35] and the flatness [36] of machined surfaces are important rous silica shells and solid silica cores could lead to decreased
indicators to access the performance of machining processes. scratches and better surface finish because of the spring-like
If roughness and flatness do not fulfil the allowed require- behavior of the elastic cores. The MRR was also increased,
ments, rework might be necessary [35, 36]. However, theoret- due to more Ce3+ ions on CeO2 surfaces. Decreased abrasive
ical models are not sufficient yet, and hence more researches hardness and changes of particle morphology could result in
are demanded to establish optimal process conditions [37]. good surface finish. Modified particles with a larger friction
Chen et al. [38] studied colloidal silica with different dis- coefficient led to improved surface finish and greater MRRs.
persion states in CMP of silicon. The surface roughness was Ultrafine particles could stick to large particles and alter the
decreased with improved dispersion of colloidal silica. particle surfaces, leading to better MRRs and surface finish.
Dispersant made aggregate micelles disperse into smaller uni- Most of the halogen salts and alkali metals could increase
form particles, which created a thin film between the pad and MRRs. MRRs of Mo were increased with the adding of am-
Si surfaces and reduced the roughness of the polished surface. monium sulfate in the slurry. Adding redox agents accelerated
They [39] conducted CMP of SiO2 films with core/shell-struc- the attachment and removal of O or Si atoms in the slurry on
tured polystyrene/ceria abrasives to investigate the influence diamond surfaces in CMP of diamond.
of the core size. The biggest cores tested led to the largest
MRR; the smallest cores tested resulted in the lowest surface
roughness. 3 Pads and conditioning
SiC nanospheres were synthesized, and their sizes were
adjusted by Zhang [40] via adjusting the hexane/water ratio. A pad must be used in CMP, and its surface condition is
Compared with irregular nanoparticles, these nanospheres important affecting the quality of the polished surface and
lowered the roughness of silicon surfaces by 74% and en- the polishing effectiveness [46, 47]. Proper roughness of the
hanced the MRR of the CMP by 30%. polishing pad must be maintained to achieve stable polishing
CMP of HgCdTe wafers was performed by Zhang et al. [48]. Thus, a conditioner is widely used during CMP for the
[41] with a slurry containing SiO2 nanospheres, H2O2, malic maintenance of the preferred surface condition of the pad [49,
acids, and citric, after lapping of the wafers. The slurry 50]. However, the nonuniformity of polished surfaces can be
governed the removal of the oxidized films on the wafers, caused by pad wear. Therefore, understanding the wear mech-
while the acids dominated the passivating process. They [42] anism can help to improve the pad conditioning and lead to
also developed a method for CMP of Ti alloys with an uniform surfaces polished [11]. CMP of large optical flats can
environment-friendly slurry having deionized water, malic ac- be also conducted to obtain smooth surfaces and global pla-
id, H2O2, and silica. During CMP, H2O2 dictated the process narity. But, this poses a challenge for the large-diameter pad to
of corrosion. The corrosion current of H2O2 was steady with be conditioned with high profile accuracy [51].
the reactants of vanadia, alumina, and titania generated on the The pad roughness renders microscopic asperities for ma-
Ti alloy surfaces and was increased significantly with the ad- terial removal, and thus, it must be kept in an appropriate
dition of H2O2, demonstrating the outstanding reaction of the range by using a conditioner for reliable CMP. Studies proved
Ti alloy and the slurry. Moreover, they [43] used the slurry that the conditioner broke the pad surface and maintains the
containing silica, H2O2, and citric acid and performed CMP of pad wear rate (PWR). Kim et al. [48] explored the mechanism
cadmium zinc telluride wafers. It was found that H2O2 dom- for PWR changes. Pads had mechanical moduli changes when
inated the passivating process. They [44] also developed an- the process temperature rose. The pad property suddenly
other environment-friendly slurry consisting of chitosan oli- changed at temperatures of 60 to 80 °C. The tensile strength
gosaccharide, H2O2, and silica. During CMP of Cu, CuO and change led to the PWR drop. However, the drop, which re-
Cu(OH)2 were formed by H2O2 on Cu surfaces, which were sulted from diamond tip wear, could be prevented by an ap-
then dissolved by H+ ions from the ionization of chitosan propriate conditioner design.
oligosaccharide. The layer was eliminated by silica, resulting Ban et al. [51] proposed an advanced conditioning method
in an ultrasmooth Cu surface. for polishing of large optical flats using subaperture condition-
Using in situ electro-CMP of Al, Liu et al. [45] studied the ing that introduced the traverse movement and decreased the
evolution of corrosion inhibitor films. They used environmen- conditioner size. This method could uniformly generate the
tally friendly inhibitor 1,2,4-triazole (TAZ) to replace the pad surface shape by varying the traverse movement speed
1422 Int J Adv Manuf Technol (2020) 109:1419–1430

at different segments. Using an analytical model, the pad another research, Wang et al. [56] employed a fixed abrasive
shape could be calculated. pellet for machining of optical materials. The surface rough-
Lin et al. [52] used a theoretical model and investigated ness Ra and the MRR were 4.89 nm and 11.26 mm3/min,
pads with a cross pattern. Assuming the contact area of the respectively. Li et al. [57] analyzed the hydrodynamics of
wafer and pad had the Gaussian distribution, the model calcu- the slurry, designed the pad grooves, simulated the slurry
lated polishing times and the removal depth of each abrasive flow, and conducted polishing experiments. The new pad
particle. The study revealed that the surface pattern was influ- led to uniform slurry flow and good surfaces with low
enced by the pad pattern, which generated the shallow part of roughness.
the abrasive removal depth on wafers. Besides pad wear in polishing, wear effects were addressed
Zhou et al. [46] investigated the deterioration and variation in various machining and testing processes such as friction stir
of the pad performance in CMP of fused silica. The pad sur- processing [58], strain-induced melt activation process [59],
face became rough after long time polishing, causing rougher microstructural evolutions [60], friction stir welding and nano-
surfaces being polished and decreased MRRs. The pad had particles [61], lapping [62, 63], turning [64, 65], and milling
mechanical collision and chemical actions during CMP, lead- [66]. Such researches may be applied to the further investiga-
ing to pad wear and deteriorated performance. The downward tions of the wear effects on CMP in the future.
pressure increment accelerated the performance deterioration. In summary, advanced conditioning methods were pro-
Shin et al. [47] investigated the physicochemical degrada- posed to uniformly generate the pad surface shape. Pads had
tion of nonused pads in CMP of metals with H2O2, which mechanical moduli changes when the process temperature
reacted with the pads, leading to degradation of the pad poly- rose. Fixed abrasive CMP has advantages with higher
mer chain network and the reduced elastic modulus. The MRRs and better uniformity. However, the pad shape after
H 2 O 2 -soaked pads caused within-wafer nonuniformity wear is concave, affecting the surface uniformity. Thus, a
(WIWNU) and MRR changes. new model for designing the pad and conditioner was pro-
Fixed abrasive CMP has advantages over conventional posed, and a more uniform pad shape could be obtained. Ex
CMP in processing flat optical surfaces with higher MRRs situ conditioning resulted in good surface finish, while in situ
and better uniformity. However, the pad shape after wear is conditioning achieved high MRRs.
concave, affecting the surface uniformity. To study the fixed
abrasive pad wear, Nguyen et al. [11] proposed an analytical
model, considering the contact time between the conditioner
and pad surface and kinematic motions. The pad wear rate 4 CMP for semiconductor device
increases with increased contact time and cutting path density. manufacturing
The contact time and the cutting path density near the pad
center are more than those near the pad edge, resulting in For manufacturing of transistors and metal interconnects,
nonuniform pad wear. The calculation results agreed with CMP of tungsten is a critical process [67]. As metal flake
the experimental results. Nguyen et al. [53] further studied defects would result in electrical shorts, such CMP defects
the relationships of the pad shape and the speeds of the pad are problems [68]. For the next-generation semiconductor,
and conditioner. A new model for designing the pad and con- SiC is a key material because of its excellent properties [69,
ditioner was proposed [54]. Different pad wear profiles result- 70]. Therefore, CMP is widely conducted for machining of
ed from changing of the conditioner positions, patterns and SiC [71]. Cobalt [72] and ruthenium are promising barrier
sizes, and a more uniform pad shape could be obtained. Tian materials for the interconnects in the sub-10-nm technology
et al. [17] conducted CMP of glass using a fixed abrasive pad. node for manufacturing of integrated circuits (ICs) [73–75].
The diluted chemical fluid led to better polishing performance For giant large-scale IC fabrications, roughness, WIWNU,
than deionized water. Ex situ conditioning resulted in good and MRR are the challenging issues [76]. With the minimum
surface finish, while in situ conditioning achieved high MRRs feature size scaling towards sub-14 nm, fin field effect tran-
due to the larger alumina particles generated on the pad. They sistors (FinFETs) are the design architecture and CMP is
[16] also performed CMP of optical silicon using a fixed abra- needed for this integration scheme [77]. β-Ga2O3 is processed
sive pad and seven chemical slurries. Three of them resulted in via CMP, and it has applications in high-performance
Ra < 35 nm and MRRs > 50.4 μm/min. FinFETs [78]. CMP integrates SiGe into Si-based structures,
CMP using a fixed abrasive pad may be also called chemo- and the SiGe-based FinFET extends the complementary metal
mechanical-grinding (CMG). Wang et al. [55] developed oxide semiconductor [79]. Protrusion/dishing was reported
binder-free abrasive pellets (BAPs) with 100 wt% abrasives being a problem [80]. To avoid copper corrosion, advanced
and conducted CMG of sapphire wafers using the BAP. They microelectronic technology requires an alkaline solution in the
found that the roughness (Ra) of the wafers and the MRR of post-cleaning of copper CMP [81]. Moreover, CMP also faces
the CMG were 0.993 nm and 1.311 μm/h, respectively. In more new challenges for further improving surface flatness
Int J Adv Manuf Technol (2020) 109:1419–1430 1423

and roughness, decreasing the machining cost, and enhancing was performed by Sagi et al. [91] employing silica dispersions
machining efficiency [82]. that had guanidine carbonate, potassium permanganate, and
Liu et al. [76] studied the impacts of large particles on benzotriazole. The polishing did not result in loss and corro-
surface roughness, WIWNU, and MRRs of sion of Mn/Ru and Cu films. In addition, the corrosion and the
tetraethylorthosilicate (TEOS). They found that surface CMP of Co and Cu films were also studied by them [92]. The
roughness and WIWNU deteriorated but edge MRRs in- solution of H2O2, oxalic acid, and nicotinic acid minimized
creased significantly, when large particles were 8.6 million the corrosion of Co films. The solution with 3-wt% silica
parts/ml. A useful approach to good stability of slurry and abrasives led to excellent MRRs, roughness, and flatness of
CMP was to filter out the larger particles of colloidal silica. Co and Cu films.
CMP of cobalt films was performed by Popuri et al. [83] Koli et al. [77] introduced two methods for tightly control-
with a silica-based slurry that had H2O2 and citric acid. They ling the gate height in CMP of metal gates. To achieve the
reported that the soluble complex generated from abraded final gate height of less than 5 nm, integrated advanced pro-
cobalt significantly enhanced the MRRs. In another research, cess control (iAPC) using the data collected from each wafer
Oniki et al. [84] utilized a transparent sheet that had a low to adjust polishing time could improve the wafer-to-wafer
refractive index, during CMP for measuring the thickness of variation, while zonal iAPC could improve additional
the SiO2 film on silicon wafers. within-wafer variation by adjusting the zone pressure.
CMP was performed by Han et al. [85] for removing SiN CMP of SiC wafers was performed by Lu et al. [93], and
films, using their developed slurries with high-removal selec- the study revealed that larger %OH reacted with the SiC sur-
tivity between SiN films and oxide. Selective CMP of Si3N4/ face and created a soft SiO2 layer, resulting in larger chemical
SiO2 was also performed by Bae et al. [86] with a silica abra- reaction rates and lower surface roughness. In another CMP
sive. The abrasive surface charge was modified to a strong application for r-plane sapphire, because of the high breaking
negative zeta potential, which increased the attractive forces energy that was needed to break the Al-O bonds, the MRR
to Si3N4 and the repulsive forces to SiO2 and thus significantly was only 0.5 μm/h. Therefore, wafers were soaked by Zhao
augmented the selectivity of Si3N4 over SiO2. et al. [94] for 1 week in a new chelating agent, and this ap-
After CMP of copper interconnects, CuO residue was ob- proach significantly augmented the MRR to 2.48 μm/h.
served and hence studied by Kim et al. [87]. It was found that In summary, the soluble complex generated from abraded
because of the cleaning tool configuration, the cleaning chem- cobalt significantly enhanced the MRRs in CMP of cobalt
ical was not sufficient to remove the residue at the wafer films with a silica-based slurry that had H2O2 and citric acid.
center. Therefore, the problem was overcome by process im- Selective CMP of Si3N4/SiO2 could be performed with a silica
provements. The W-void formation during CMP was also abrasive newly modified. Galvanic corrosion inhibitors for the
investigated by Kim et al. [67, 88]. The investigations re- Cu/Ru couple in KIO4 solutions were investigated, and 1,2,4-
vealed that the void was formed due to the friction force and triazole and benzotriazole were good corrosion inhibitors for
oxidation. In addition, non-efficient in situ cleaning could re- Cu. The CuO residue observed after CMP of copper intercon-
sult in flake defects [68]. nects was overcome by process improvements. Integrated ad-
The compositions of the wafer surface film in CMP of W vanced process control could improve the wafer-to-wafer var-
were investigated by Cui et al. [89]. The investigation found iation and additional within-wafer variation and achieve the
that the Ti barrier was at the wafer edge, polishing of the final gate height of less than 5 nm. Larger %OH reacted with
barrier did not start at certain time, and this led to a smaller the SiC surface and created a soft SiO2 layer, leading to larger
barrier rate. chemical reaction rates and lower surface roughness. The ap-
Cheng et al. [75] investigated the CMP mechanism influ- proach to soak r-plane sapphire wafers for 1 week in a new
enced by the KIO4 concentration. The corrosion of Ru was chelating agent significantly augmented the MRR.
promoted by increased KIO4 concentration. When CMP of
barrier layers was conducted using the slurry with KIO4, gal-
vanic corrosion of Cu was a crucial problem. Thus, Cheng 5 CMP for other applications
et al. [90] investigated galvanic corrosion inhibitors for the
Cu/Ru couple in KIO4 solutions, and they found that 1,2,4- Ceramics have many applications in a number of industries
triazole and benzotriazole were good corrosion inhibitors for [95]. Certain ceramic materials such as Al2O3 and SiC are
Cu. abrasives used in the industry for machining applications
TaN/Ta is replaced with the Mn/Ru barrier-liner in Cu in- [96]. Sapphire, GaN, and SiC are difficult to efficiently finish
terconnects for the 5-nm technology. The galvanic corrosion with damage-free surfaces. Without CMP, damage-free and
and the removal rate selectivity for CMP of Cu/Ru/Mn/ smooth surfaces of extremely hard materials such as SiC and
SiCOH are the challenges. To tackle the challenges, the diamond are difficult to achieve efficiently [97]. Glass sub-
polishing of Mn, Cu, Ru, and SiCOH films on the substrates strates have wide applications in portable devices, and CMP
1424 Int J Adv Manuf Technol (2020) 109:1419–1430

can remove the defects introduced by grinding [98]. CMP is Alsaeedi and Ozdemir [107] performed CMP of dental im-
performed for machining of flat optical components [99]. In plants. These polished implants performed equally or better
addition, CMP can enhance the quality of the end faces for than the baseline-machined implants and the biphasic calcium
fiber array optics [100]. Furthermore, it can be employed for phosphate-treated implants. The CMP provided the highest
finishing optical silicon substrates for infrared applications passivation on the Ti-based surface, increasing the corrosion
[101]. Nanocrystalline diamond (NCD) thin films have intrin- resistance of the implants.
sic rough surfaces. Traditional diamond polishing has limita- Zhong et al. [101] conducted fixed abrasive (two-body
tions: films shatter because of high mechanical pressures and abrasion) CMP of silicon substrates, different from the con-
wafer bow, resulting in uneven surfaces. Thus, CMP of NCD ventional three-body abrasion CMP. It was found that table
films was researched [102]. CMP can also process Ti alloys, speed and head load had significant effects on the MRR,
which are used in micro-systems, medical sciences, and avia- which was much higher than that of conventional CMP. The
tion [19]. CMP of diamond was conducted using a SiO2 polishing time could be significantly reduced to a few minutes
wheel, without the conventional dry-etching process [103]. from hours, because of the high polishing efficiency.
Moreover, CMP found applications for reducing surface de- Compared with polishing of metals and semiconductors,
fects of cemented carbide inserts processed by grinding, for CMP of glass was still not enough [108]. Therefore, Tian
enhancing the cutting efficiency [104]. et al. [98] conducted CMP of glass disk substrates using a
Hu et al. [104] conducted CMP of insert rake faces with the low-cost fabric cloth pad. It was found that pad rotation speed
parameters optimized using Taguchi’s method. The inserts and downforce had significant effects on the MRRs.
finished using CMP and grinding were employed for turning Downforce and the interaction of pad rotation speed and
of the stainless steel. The cutting forces of polished inserts downforce had significant effects on polished surface flatness.
were smaller than those of ground inserts, under the same The interaction of polish head rotation speed and pad rotation
turning conditions. The average tool life of polished inserts speed had significant effects on surface finish. Good flatness
was 32.3% longer than that of ground inserts. and surface finish with high polishing efficiency could be
Yuan et al. [97] developed a model for CMP of diamond obtained.
and reported that B4C powders were the best abrasive. Good In summary, CMP of silicon lenses, glass disk substrates,
chemical reaction could be achieved when the abrasive hard- cutting tool insert rake faces, diamond, and NCD thin films
ness was over 2900 MPa. Diamond oxidation reaction could has been performed. Dental implants treated by CMP per-
be promoted by the mechanical and chemical synergic effect. formed equally or better than the baseline-machined implants
Zhang et al. [99] proposed a CMP model based on the and the biphasic calcium phosphate-treated implants.
Preston equation. The simulation revealed that lower axial
run-out error resulted in better polishing accuracy but lower
MRRs. A CMP machine was developed, and CMP tests 6 Modeling and simulations
achieved the flatness of 58 nm and roughness of 0.56 nm for
a UBK7 optic with a 300-mm diameter. The mechanism of CMP was not clear, and the understanding
Werrell et al. [102] performed CMP of NCD thin films with of CMP behaviors was a challenge [5]. This is because the
six different slurries for 3 h. It was found that this polishing details of the material removal process cannot be directly ob-
was a chemical process and increased the O1s oxygen content served during CMP experiments [109]. Thus, research efforts
on the film surface. Smaller particles were bonded in greater have been made via modeling and simulations to reveal the
numbers to the film surface, resulting in more C–C bond polishing mechanisms.
weakening to be polished at a greater rate. Multiphase modeling was carried out, and the slurry distri-
Liu et al. [100] studied CMP of fused silica with nanopar- bution and the abrasive behavior were simulated by Nguyen
ticles and reported that the material was removed by the tribo- et al. [9] with a new dynamic model. They found that the
chemical effect of the silica and particles. The relative speed particle number in the interface of the pad and the wafer would
between the pad and workpiece, the chemical reagent and be greater with reduced speeds and a larger gap of the pad and
concentration, and polishing pressure determined the MRRs. the wafer and the abrasive distribution was nonuniform.
Ozdemir et al. [105] presented CMP of implantable titani- Guo et al. [109] performed molecular dynamics simula-
um surfaces. CMP formed a thin oxide film on titanium im- tions and explored the mechanism for CMP of diamond using
plants to limit the growth of bacteria, enhanced the attachment silica. C atoms were not removed in pure H2O, while C atoms
of biocompatible coatings, and controlled the cell attachment. on the diamond surface were oxidized in aqueous H2O2 to
Ozdemir and Basim [106] reported that in CMP of titanium form C-OH or C-O-C bonds and were mechanically removed
plates, an oxide film grew on the implant surfaces. Film chem- by the abrasive. Wen et al. [110] also performed molecular
ical compositions, MRRs, surface roughness, and wettability dynamics simulations and studied CMP of (100) Si. Aqueous
of the film were studied under different CMP conditions. H2O2 made Si be oxidized and then Si and the abrasive
Int J Adv Manuf Technol (2020) 109:1419–1430 1425

mechanically interacted. The interfacial Si-O and Si-Si bonds CMP. The former model forecasted the asperity density and
on the substrate generated by chemical reactions were me- radius of the polishing pad by employing the wear of the
chanically broken, and Si atoms were removed from the conditioner and polishing pad determined using the latter
surface. model. The MRR was predicted by using the asperity density
Shi et al. [111] significantly improved CMP of GaN using and radius of the polishing pad. This approach was validated
S2O82−-Fe2+-based slurry, which had a large influence on the by experiments to be able to predict the MRR in CMP.
surface finish and polishing efficiency. They [5] simulated Luo et al. [120] built a theoretical model to investigate the
CMP of sapphire wafers with an atomic force microscope motion trajectories of abrasives. They performed simulations
using its tapping mode. The probe tip of the atomic force to analyze the effects of process parameters on the trajectory
microscope knocked down, adhered, and removed the chem- distribution. Polishing of silicon substrates using optimized
ical products from the wafer during imaging, resulted in char- process parameters obtained from simulations resulted in flat
acterizations of CMP. surfaces with roughness Ra of 2.69 nm [120].
Yin et al. [6] conducted CMP of sapphire and also the One challenging issue is the scale of CMP modeling using
analysis using chemistry software to investigate the reactions finite element methods (FEMs). The diameters of the
of sapphire and H2O and of sapphire and MgO-doped colloi- polishing head, wafer/substrate, and polishing pad have mac-
dal silica. During CMP, the solid-chemical reaction of the roscale sizes, while the thicknesses of the wafers/substrates
silica and sapphire occurred, resulting in good surface finish and polishing pad have mesoscale sizes. The abrasive particles
and increased MRRs. Rastegar [112] conducted simulations have microscale sizes or even nanoscale sizes if nanoscale
to study particle location changes and large particle effects. particles are modeled. Recently, the thicknesses of ultrathin
Even 1 wt% of larger particles could deteriorate the wafer wafers have microscale sizes. These size-scale differences
uniformity, and in situ conditioning could improve the MRR bring challenges to FEM modeling for optimal element sizes
uniformity. Wei et al. [113] developed a MRR model to study to be used.
the chemical action in CMP of SUS304. The MRR was in- Azimi et al. [121] studied the mesh sensitivity of an extend-
creased with the increased slurry flow rate. It reached a max- ed FEM for a crack model. The optimal element size was
imum value when the H2O2 concentration was 10%. obtained and the size had a central influence. The evaluation
Lin et al. [114] established a theoretical model for the de- revealed the special sensitivity because of the variation of the
termination of removal depths by considering the chemical element size. Due to the limitation resulted from the compu-
reaction in CMP of silicon, which was validated by the tational cost, the optimal element size may not always be
CMP experiments. Momoniat [115] derived a Reynolds equa- obtained. More researches on the mesh sensitivity of the
tion in cylindrical polar coordinates, considering the effect of FEMs applied to the modeling and simulations for CMP pro-
the Coriolis force on CMP, which increased the peak pressure. cesses may be conducted as the future work.
Zhu et al. [116] used a quasi-continuum method and sim- In summary, the slurry distribution and the abrasive behav-
ulated CMP of single-crystal copper, and they reported that ior can be simulated by means of multiphase modeling.
the crystal orientation of copper greatly affected the surface Molecular dynamics simulations could explore the mecha-
finish. The bonding strength between closely packed surfaces nism for CMP. CMP of wafers could be simulated using an
was weak and tended to lead to slip deformation. The mean atomic force microscope with its tapping mode. Theoretical
tangential cutting force in the (001)[100] orientation was models have been developed to calculate removal depths,
much greater than those in other two crystal orientations stud- study the chemical action in CMP, and explore the crystal
ied. They [117] further reported that shear band deformation orientation effects.
occurred in the cutting direction at 45° inside the copper ma-
terial, associated with sliding and dislocations in the shear
band region. Bigger abrasives led to deeper residual stresses, 7 CMP with ultrasonic vibrations, lasers,
larger plastic deformation, and better quality. photocatalysts, or UV lamps
Kawaguchi et al. [118] simulated CMP of Cu using aque-
ous H2O2 and a SiO2 grain. O atoms and OH groups were Conventional CMP of brittle and hard materials [122] has
developed by the chemical reactions of H2O2. The next step limits in concurrently achieving both high MRRs and low
was the most important for effective CMP: the O atom intrud- surface roughness [12, 123]. To overcome the limits, CMP
ed into Cu and dissociated Cu−Cu bonds at the interface of the with ultrasonic vibrations, lasers, photocatalysts, or UV lamps
grain and Cu surface, raising a Cu atom from the surface, has been attempted.
which was sheared off by the grain. Then, this Cu atom was A vibrator was developed by Li et al. [124], which provid-
eliminated due to the generation and desorption of Cu(OH)2. ed vibrations to wafers with a frequency of 1.7 MHz in the
Yu et al. [119] proposed a machine learning method to amplitude of nanometers. This made particles in pad cells
combine a data-driven model and a physics-based model for crush into the contact area, which increased active particles
1426 Int J Adv Manuf Technol (2020) 109:1419–1430

for polishing. As the center area of the wafer had more active surface finish. Modified particles with a larger friction coeffi-
particles, the surface quality was largely improved at this area. cient lead to improved surface finish and greater MRRs.
Hu et al. [125] performed ultrasonic CMP and lapping of Ultrafine particles stick to large particles and alter the particle
SiC, which decreased surface roughness. Ultrasonic lapping surfaces, leading to better MRRs and lower surface roughness.
offered good surface finish and high MRRs to the next ultra- (2) Advanced conditioning methods have been proposed to
sonic polishing process, in which ultrasonic energy drove uniformly generate pad surface shapes. Pads have mechanical
chemical corroding of SiC in the CMP slurry. Defects such moduli changes when process temperatures rise. Fixed abra-
as pits and scratches were further removed by ultrasonic CMP, sive CMP has advantages with higher MRRs. However, the
resulting in good surface finish and high MRRs. pad shape after wear can be concave, affecting the surface
Liu et al. [12] performed CMP with ultrasonic elliptical uniformity. A new model for designing the pad and condition-
vibrations and with the polished sample attached on the vibra- er has been proposed, and a more uniform pad shape can be
tor that could vibrate in vertical and horizontal directions at the obtained. Ex situ conditioning results in good surface finish,
same time, resulting in high MRRs up to 693.6 nm/min. A soft while in situ conditioning achieves high MRRs.
layer on the polished surface created by the chemical reaction (3) The soluble complex generated from abraded cobalt
of the slurry was removed by the mechanical action of vibra- significantly enhanced the MRRs in CMP of cobalt films with
tions and polishing. a silica-based slurry that had H2O2 and citric acid. Selective
A new pad was developed with photocatalysts, and CMP CMP of Si3N4/SiO2 could be performed with a silica abrasive
of SiC wafers was performed by Zhou et al. [69]. It was found newly modified. 1,2,4-triazole and benzotriazole are good cor-
that the ∗OH radicals under the UV light were more than those rosion inhibitors for Cu. Integrated advanced process control
without the UV, which promoted efficient chemical reactions. improves the wafer-to-wafer variation and additional within-
As a result, the MRR of the CMP using the UV and the new wafer variation. Larger %OH reacted with the SiC surface and
pad was largely increased, compared with that of the CMP created a soft SiO2 layer, leading to larger chemical reaction
using the traditional pad. rates and lower surface roughness. The approach to soak r-
With the oxidability of UV photo-excited hydroxyl radicals plane sapphire wafers for 1 week in a new chelating agent
on TiO2 particles, Yuan et al. [126] proposed photocatalysis- significantly augmented the MRR.
assisted CMP to polish SiC wafers clean and effective without (4) CMP of silicon lenses, glass disk substrates, cutting tool
toxic chemicals. UV light irradiation boosted the oxidation of insert rake faces, diamond, and NCD thin films has been per-
hydroxyl radicals on SiC wafers. Ultrasmooth surfaces were formed. Dental implants treated by CMP perform equally or
achieved via chemical reactions and mechanical abrading. better than the baseline-machined implants and the biphasic
Wang et al. [127] conducted CMP of GaN with photocat- calcium phosphate-treated implants.
alytic oxidation, as flat and smooth GaN is a good substrate (5) The slurry distribution and the abrasive behavior can be
for LED applications. They found that better surface finish simulated by means of multiphase modeling. Molecular dy-
and increased MRRs could be achieved with increased UV namics simulations can explore the mechanism of CMP. CMP
lamp power or TiO2 concentration, due to the enhanced reac- of wafers can be simulated using an atomic force microscope
tion rate of photocatalytic oxidation. with its tapping mode. Theoretical models have been devel-
Wang et al. [70] used a femtosecond laser to assist CMP of oped to calculate removal depths, study the chemical action in
SiC. The MRR of SiC irradiated in the transverse irradiation CMP, and explore the crystal orientation effects.
mode was 3 times greater than that of nonirradiated SiC. The (6) CMP assisted by using UV lamp power, femtosecond
rippled SiC surface greatly increased the contact area of SiC lasers, or photocatalysts enhances CMP MRRs. CMP assisted
and the polishing slurry. by ultrasonic vibrations increases CMP MRRs and lowers the
In summary, CMP assisted by ultrasonic vibrations in- roughness of polished surfaces.
creases CMP MRRs and lowers the roughness of polished
surfaces. CMP assisted by using UV lamp power, femtosec- References
ond lasers, or photocatalysts enhances CMP MRRs.
1. Dong Y, Lei H, Liu WQ, Wang TX, Xu L (2018) Preparation of
non-spherical silica composite abrasives by lanthanum ion-
induced effect and its chemical-mechanical polishing properties
8 Conclusions on sapphire substrates. J Mater Sci 53(15):10732–10742
2. Lei H, Tong KY (2016) Preparation of La-doped colloidal SiO2
(1) Nonspherical abrasive particles have been developed for composite abrasives and their chemical mechanical polishing be-
CMP, resulting in increased MRRs. Particles having mesopo- havior on sapphire substrates. Precision Eng J Int Soc Precision
Eng Nanotechnol 44:124–130
rous silica shells and solid silica cores lead to decreased 3. Zhang BC, Lei H, Chen Y (2017) Preparation of Ag2O modified
scratches and better surface finish. Decreased abrasive hard- silica abrasives and their chemical mechanical polishing perfor-
ness and changes of particle morphology result in good mances on sapphire. Friction 5(4):429–436
Int J Adv Manuf Technol (2020) 109:1419–1430 1427

4. Zhong ZW (2008) Recent advances in polishing of advanced ma- 22. Zhou C, Zhu DC (2018) Preparation and chemical mechanical
terials. Mater Manuf Process 23(5):449–456 polishing performance of CeO2/CeF3 composite powders.
5. Shi XL, Xu L, Zhou Y, Zou CL, Wang RR, Pan GS (2018) An in Micro Nano Lett 13(1):117–121
situ study of chemical-mechanical polishing behaviours on sap- 23. Wang WL, Liu WL, Bai LS, Song ZT, Huo JC (2017) Surface
phire (0001) via simulating the chemical product-removal process modified alumina particles and their chemical mechanical
by AFM-tapping mode in both liquid and air environments. polishing (CMP) behavior on C-plane (0001) sapphire substrate.
Nanoscale 10(42):19692–19700 J Inorg Mater 32(10):1109–1114
6. Yin D, Niu XH, Zhang K, Wang JC, Cui YQ (2018) Preparation 24. Huang CF, Huang AC, Chu FJ, Lin CL, Wan TJ (2017) Using
of MgO doped colloidal SiO2 abrasive and their chemical me- experimental design for the screening and optimization of key
chanical polishing performance on c-, r- and a-plane sapphire sub- factors on silica particles adsorption using magnetic nanoparticles
strate. Ceram Int 44(12):14631–14637 - a case study of chemical mechanical polishing wastewater treat-
7. Ke Z, Qing H, Liang L, Yi R (2017) Study on chemical mechan- ment. Water Pract Technol 12(3):647–659
ical polishing of silicon wafer with megasonic vibration assisted. 25. Bun-Athuek N, Yoshimoto Y, Sakai K, Khajornrungruang P,
Ultrasonics 80:9–14 Suzuki K (2017) Study on effect of the surface variation of col-
8. Chen H, Guo D, Xie GX, Pan GS (2016) Mechanical model of loidal silica abrasive during chemical mechanical polishing of
nanoparticles for material removal in chemical mechanical sapphire. Japanese Journal of Applied Physics 56(7):07kb01
polishing process. Friction 4(2):153–164 26. Bun-Athuek N, Takazaki H, Yoshimoto Y, Khajornrungruang P,
9. Nguyen NY, Tian Y, Zhong ZW (2014) Modeling and simulation Yasunaga T, Suzuki K (2018) Effects of mixed ultrafine colloidal
for the distribution of slurry particles in chemical mechanical silica particles on chemical mechanical polishing of sapphire.
polishing. Int J Adv Manuf Technol 75(1-4):97–106 Japanese Journal of Applied Physics 57(7):Article Number
10. Liu TT, Lei H (2017) Nd3+-doped colloidal SiO2 composite abra- 07md03
sives: synthesis and the effects on chemical mechanical polishing 27. Dong H, Wang LL, Gao W, Li XY, Wang C, Ji F, Pan JL, Wang
(CMP) performances of sapphire wafers. Appl Surf Sci 413:16–26 BR (2017) KDP Aqueous solution-in-oil microemulsion for ultra-
11. Nguyen NY, Zhong ZW, Tian Y (2015) An analytical investiga- precision chemical-mechanical polishing of KDP crystal.
tion of pad wear caused by the conditioner in fixed abrasive Materials 10(3):271
chemical-mechanical polishing. Int J Adv Manuf Technol 77(5- 28. Zhou Y, Pan GS, Gong H, Shi XL, Zou CL (2017)
8):897–905 Characterization of sapphire chemical mechanical polishing per-
12. Liu DF, Yan RM, Chen T (2017) Material removal model of formances using silica with different sizes and their removal
ultrasonic elliptical vibration-assisted chemical mechanical mechanisms. Colloids Surf-Physicochem Eng Aspects 513:153–
polishing for hard and brittle materials. Int J Adv Manuf 159
Technol 92(1-4):81–99
29. Zhang ZF, Zhang WJ, Zhang SD, Yan WX (2017) Study on
13. Zhong B, Chen X-h, Wen Z-j (2019) Relationship between Slurry
chemical mechanical polishing performances of sapphire wafer
Flow Pattern and Removal Characteristic based on a Novel
(0001) using silica-based slurry. Ecs J Solid State Sci Technol
Polishing Tool, 9th International Symposium on Advanced
6(10):P723–P727
Optical Manufacturing and Testing Technologies:
30. Qu XP, Yang G, He P, Feng H (2017) Chemical mechanical
Subdiffraction-Limited Plasmonic Lithography and Innovative
polishing of Mo using H2O2 as oxidizer in colloidal silica based
Manufacturing Technology, Chengdu, China
slurries. ECS J Solid State Sci Technol 6(7):470–476
14. Chen Y, Zuo CZ, Chen AL (2018) Core/shell structured sSiO(2)/
31. Mandal S, Thomas ELH, Gines L, Morgan D, Green J, Brousseau
mSiO(2) composite particles: The effect of the core size on oxide
EB, Williams OA (2018) Redox agent enhanced chemical me-
chemical mechanical polishing. Adv Powder Technol 29(1):18–
chanical polishing of thin film diamond. Carbon 130:25–30
26
15. Chen Y, Zuo CZ, Li ZF, Chen AL (2018) Design of ceria grafted 32. Lei H, Tong KY, Wang ZY (2016) Preparation of Ce-doped col-
mesoporous silica composite particles for high-efficiency and loidal SiO2 composite abrasives and their chemical mechanical
damage-free oxide chemical mechanical polishing. J Alloys polishing behavior on sapphire substrates. Mater Chem Phys
Compd 736:276–288 172:26–31
16. Tian Y, Zhong Z, Ng JH (2013) Effects of chemical slurries on 33. Lei H, Huang LQ, Gu Q (2017) Synthesis of Zn-doped colloidal
fixed abrasive chemical-mechanical polishing of optical silicon SiO2 abrasives and their applications in sapphire chemical me-
substrates. Int J Precis Eng Manuf 14(8):1447–1454 chanical polishing slurry. J Mater Sci-Mater Electron 28(2):
17. Tian YB, Zhong ZW, Lai ST, Ang YJ (2013) Development of 1229–1237
fixed abrasive chemical mechanical polishing process for glass 34. Sayuti M, Sarhan AAD, Fadzil M, Hamdi M (2012) Enhancement
disk substrates. Int J Adv Manuf Technol 68(5-8):993–1000 and verification of a machined surface quality for glass milling
18. Liang CL, Liu WL, Zheng YH, Ji XL, Li SS, Yin WJ, Guo XH, operation using CBN grinding tool-Taguchi approach. Int J Adv
Song ZT (2016) Fractal nature of non-spherical silica particles via Manuf 60(9-12):939–950
facile synthesis for the abrasive particles in chemical mechanical 35. Tlhabadira I, Daniyan IA, Machaka R, Machio C, Masu L,
polishing. Colloids Surf-Physicochem Eng Aspects 500:146–153 VanStaden LR (2019) Modelling and optimization of surface
19. Liang CL, Liu WL, Li SS, Kong H, Zhang ZF, Song ZT (2016) A roughness during AISI P20 milling process using Taguchi meth-
nano-scale mirror-like surface of Ti-6Al-4V attained by chemical od. Int J Adv Manuf 102(9-12):3707–3718
mechanical polishing. Chinese Physics B 25(5):058301 36. Zhao C, Li J, Yi D, Li B, Cao J (2020) Wafer flatness modeling in
20. Sanusi N, Yusoff MHM, Seng OB, Marzuki MS, Abdullah AZ chemical mechanical polishing. J Electron Mater 49(1):353–363
(2018) Ultrafiltration based on various polymeric membranes for 37. Ghosh G, Mandal P, Mondal SC (2019) Modeling and optimiza-
recovery of spent tungsten slurry for reuse in chemical mechanical tion of surface roughness in keyway milling using ANN, genetic
polishing process. J Membr Sci 548:232–238 algorithm, and particle swarm optimization. Int J Adv Manuf
21. Khanna AJ, Gupta S, Kumar P, Chang FC, Singh RK (2018) 100(5-8):1223–1242
Study of agglomeration behavior of chemical mechanical 38. Chen GP, Luo GH, Pan GS, Liu YH, Luo HM (2016) Influence of
polishing slurry under controlled shear environments. Ecs J colloidal silica dispersion on the decrease of roughness in silicon
Solid State Sci Technol 7(5):P238–P242 chemical mechanical polishing. Micro Nano Lett 11(7):382–385
1428 Int J Adv Manuf Technol (2020) 109:1419–1430

39. Chen AL, Zhang ZF, Li XZ, Chen Y (2016) Evaluation of oxide finishing performance on mono-crystal sapphire. Precis. Eng. 62,
chemical mechanical polishing performance of polystyrene coat- :40-46
ed ceria hybrid abrasives. J Mater Sci-Mater Electron 27(3): 56. Wang Z, Yu H, Lin Z, Wang Q (2019) Analysis on parameters of
2919–2925 polishing using fixed abrasive diamond pellets elastic tool, 9th
40. Zhang M (2017) Quasi-monodisperse beta-SiC nanospheres: syn- International Symposium on Advanced Optical Manufacturing
thesis and application in chemical-mechanical polishing. J Phys and Testing Technologies: Advanced Optical Manufacturing
Chem Solids 103:1–5 Technologies, Chengdu, China
41. Zhang ZY, Wang B, Zhou P, Guo DM, Kang RK, Zhang B (2016) 57. Li J, Huang J, Hua C, Wang J, Zhu Y, Zuo D (2019) Design of
A novel approach of chemical mechanical polishing using surface grooves on a polishing pad based on slurry uniform flow.
environment-friendly slurry for mercury cadmium telluride semi- Int J Adv Manuf 103(9-12):4795–4803
conductors. Sci Rep 6:22466 58. Mirjavadi SS, Alipour M, Hamouda AMS, Matin A, Kord S,
42. Zhang ZY, Shi ZF, Du YF, Yu ZJ, Guo LC, Guo DM (2018) A Afshari BM, Koppad PG (2017) Effect of multi-pass friction stir
novel approach of chemical mechanical polishing for a titanium processing on the microstructure, mechanical and wear proper-
alloy using an environment-friendly slurry. Appl Surf Sci 427: ties of AA5083/ZrO2 nanocomposites. J Alloys Compd 726:
409–415 1262–1273
43. Zhang ZY, Wang B, Zhou P, Kang RK, Zhang B, Guo DM (2016) 59. Mirjavadi SS, Alipour M, Hamouda AMS, Givi MKB, Emamy M
A novel approach of chemical mechanical polishing for cadmium (2014) Investigation of the effect of Al-8B master alloy and strain-
zinc telluride wafers. Sci Rep 6:26891 induced melt activation process on dry sliding wear behavior of an
44. Zhang ZY, Cui JF, Zhang JB, Liu DD, Yu ZJ, Guo DM (2019) Al-Zn-Mg-Cu alloy. Mater Des 53:308–316
Environment friendly chemical mechanical polishing of copper. 60. Ebrahimi M, Zarei-Hanzaki A, Abedi HR, Azimi M, Mirjavadi SS
Appl Surf Sci 467:5–11 (2017) Correlating the microstructure to mechanical properties
45. Liu P, Wang YG, Zhao YW, Bian D, Zhu YG, Niu SW (2018) In and wear behavior of an accumulative back extruded Al-Mg2Si
situ electrochemical behavior of aluminum chemical mechanical in-situ composite. Tribol Int 115:199–211
polishing at low down pressure in environmentally friendly and 61. Mirjavadi SS, Alipour M, Emamian S, Kord S, Hamouda AMS,
weakly alkaline slurry. Ecs J Solid State Sci Technol 7(11): Koppad PG, Keshavamurthy R (2017) Influence of TiO2 nano-
P698–P705 particles incorporation to friction stir welded 5083 aluminum alloy
46. Zhou Y, Luo HM, Pan GS, Zou CL, Luo GH, Chen GP, Kang CX on the microstructure, mechanical properties and wear resistance.
(2018) Study on pad performance deterioration in chemical me- J Alloys Compd 712:795–803
chanical polishing (CMP) of fused silica. Ecs J Solid State Sci 62. Lai Z, Hu Z, Fang C, Xiao Z, Hsieh P, Chen M (2019) Study on
Technol 7(6):P295–P298 the wear characteristics of a lapping wheel in double-sided lapping
47. Shin C, Chung H, Kim E, Hong S, Kwak D, Jin YH, Kulkarni A, based on the trajectory distribution. IEEE T Semiconduct M 32(3):
Kim T (2018) Monitoring the physicochemical degradation of 352–358
polishing pad soaked in hydrogen peroxide during chemical me- 63. Lai Z, Hu Z, Fang C, Yu Y, Xiao Z, Hsieh P, Chen M (2020)
chanical polishing. Ecs J Solid State Sci Technol 7(2):P77–P81 Research on factors affecting wear uniformity of the wheels in the
48. Kim H, Hong S, Shin C, Jin Y, Lim DH, Kim JY, Hwang H, Kim double-sided lapping. J Manuf Process 50:653–662
T (2017) Investigation of the pad-conditioning performance de- 64. Jumare AI, Abou-El-Hossein K, Goosen WE, Cheng Y-C,
terioration in the chemical mechanical polishing process. Wear Abdulkadir LN, Odedeyi PB, Liman MM (2018) Prediction mod-
392:93–98 el for single-point diamond tool-tip wear during machining of
49. Shin C, Kulkarni A, Kim K, Kim H, Jeon S, Kim E, Jin YH, Kim optical grade silicon. Int J Adv Manuf 98(9-12):2519–2529
T (2018) Diamond structure-dependent pad and wafer polishing 65. Zebala W, Kowalczyk R (2015) Estimating the effect of cutting
performance during chemical mechanical polishing. Int J Adv data on surface roughness and cutting force during WC-Co turning
Manuf Technol 97(1-4):563–571 with PCD tool using Taguchi design and ANOVA analysis. Int J
50. Ban XX, Zhao HY, Zhao SJ, Xie RQ, Gu YW, Zhu XL, Liao DF, Adv Manuf 77(9-12):2241–2256
Li L, Jiang ZD (2019) Effect of geometry error on accuracy of 66. Khorasani A, Yazdi MRS (2017) Development of a dynamic
large-diameter pads used for CMP dressing. Int J Adv Manuf surface roughness monitoring system based on artificial neural
100(5-8):1505–1520 networks (ANN) in milling operation. Int J Adv Manuf 93(1-4):
51. Ban XX, Zhao HY, Zhu XL, Zhao SJ, Xie RQ, Liao DF (2018) 141–151
Improvement and application of pad conditioning accuracy in 67. Kim HJ, Egan B, Shi XZ, Han JH (2018) A new approach to the
chemical mechanical polishing. Optical Engineering 57(9): formation mechanism of tungsten void defect in chemical me-
Article Number 095102 chanical polishing. Ecs J Solid State Sci Technol 7(11):P693–
52. Lin ZC, Wang RY, Jhang ZW (2018) Establishing a theoretical P697
model for abrasive removal depth of silicon wafer chemical me- 68. Kim HJ, Lawyer A, Egan B, Ng M, Huang CC, Han JH (2018)
chanical polishing by integrating a polishing times analytical mod- Study on the mechanism of nano-flake defect during tungsten
el and specific down force energy theory. Int J Adv Manuf contact chemical mechanical polishing. Ecs J Solid State Sci
Technol 95(9-12):4671–4683 Technol 7(4):P175–P179
53. Nguyen NY, Tian YB, Zhong ZW (2015) Improvement of the pad 69. Zhou Y, Pan GS, Zou CL, Wang L (2017) Chemical mechanical
wear shape in fixed abrasive chemical-mechanical polishing for polishing (CMP) of SiC wafer using photo-catalyst incorporated
manufacturing optical components, International Conference on pad. Ecs J Solid State Sci Technol 6(9):P603–P608
Optical and Photonic Engineering, Singapore, Proceedings of 70. Wang CW, Kurokawa S, Doi T, Yuan JL, Sano Y, Aida H, Zhang
SPIE Volume: 9524, Article Number: UNSP 95240F KH, Deng QF (2017) The polishing effect of sic substrates in
54. Nguyen NY, Zhong ZW, Tian YB (2016) Analysis and improve- femtosecond laser irradiation assisted chemical mechanical
ment of the pad wear profile in fixed abrasive polishing. Int J Adv polishing (CMP). ECS J Solid State Sci Technol 6(4):P105–P112
Manuf Technol 85(5-8):1159–1165 71. Tsai MY, Chen WK (2011) Effect of CMP conditioner diamond
55. Wang J, Wu K, Maezaki T, Zhou L, Onuki T, Shimizu J, Zhu Y shape on pad topography and oxide wafer performances. Int J Adv
(2020) Development of binder-free CMG abrasive pellet and Manuf 55(1-4):253–262
Int J Adv Manuf Technol (2020) 109:1419–1430 1429

72. Tian QY, Wang SL, Xiao Y, Wang CW, Wang QW, Liu FX, IEEE 29th Annual Semi Advanced Semiconductor Manufacturing
Zhang J, Wang R (2018) Effect of amine based chelating agent Conference, Apr 30-May 03, 2018. Saratoga Springs, NY
and H2O2 on cobalt contact chemical mechanical polishing. Ecs J 89. Cui J, Huang HL, Zhuang Y, Ward W, Nava V, Chen XQ (2017)
Solid State Sci Technol 7(8):P416–P422 XPS study of tungsten and barrier film transition at various
73. Cheng J, Wang BQ, Wang TQ, Li CK, Lu XC (2018) Chemical stages of chemical mechanical polishing endpoint and of surface
mechanical polishing of inlaid copper structures with Ru/Ta/TaN compositions post-CMP cleaning. Ecs J Solid State Sci Technol
as barrier/liner layer. Ecs J Solid State Sci Technol 7(11):P634– 6(9):P633–P640
P639 90. Cheng J, Wang TQ, Lu XC (2017) Galvanic corrosion inhibitors
74. Cheng J, Wang TQ, Pan JS, Lu XC (2016) Corrosion investiga- for Cu/Ru couple during chemical mechanical polishing of Ru.
tions of ruthenium in potassium periodate solutions relevant for ECS J Solid State Sci Technol 6(1):P62–P67
chemical mechanical polishing. J Electron Mater 45(8):4067– 91. Sagi KV, Teugels LG, van der Veen MH, Struyf H, Babu SV
4075 (2017) Chemical mechanical polishing and planarization of Mn-
75. Cheng J, Wang TQ, Wang J, Liu YH, Lu XC (2016) Effects of based barrier/Ru liner films in Cu interconnects for advanced met-
KIO4 concentration and pH values of the solution relevant for allization nodes. ECS J Solid State Sci Technol 6(5):P259–P264
chemical mechanical polishing of ruthenium. Microelectron Eng 92. Sagi KV, Teugels LG, van der Veen MH, Struyf H, Alety SR,
151:30–37 Babu SV (2017) Chemical mechanical polishing of chemical va-
76. Liu GR, Liu YL, Niu XH, Zhang WQ, Wang CW, Yang SH, Ma por deposited Co films with minimal corrosion in the Cu/Co/Mn/
TD (2018) Effects of large particles on MRR, WIWNU and SiCOH patterned structures. Ecs J Solid State Sci Technol 6(5):
Surface Quality in TEOS chemical mechanical polishing based P276–P283
on FA/O alkaline slurry. Ecs J Solid State Sci Technol 7(11): 93. Lu JB, Chen R, Liang HZ, Yan QS (2018) The influence of con-
P624–P633 centration of hydroxyl radical on the chemical mechanical
77. Koli D, Liang R, Kim HJ, Solan R (2016) Advanced process polishing of SiC wafer based on the Fenton reaction. Precision
control for variability control in chemical mechanical polishing Eng J Int Soc Precision Eng Nanotechnol 52:221–226
process. ECS Trans 72(18):11–16 94. Zhao X, Niu XH, Wang JC, Yin D, Yao CH (2017) Role of a new
78. Huang CJ, Mu WX, Zhou H, Zhu YW, Xu XM, Jia ZT, Zheng L, type chelating agent in chemical mechanical polishing of r-plane
Tao XT (2018) Effect of OH- on chemical mechanical polishing sapphire substrate. Ecs J Solid State Sci Technol 6(9):P618–P625
of beta-Ga2O3(100) substrate using an alkaline slurry. RSC Adv 95. Gong YD, Qu SS, Yang YY, Liang CY, Li PF, She YB (2019)
8(12):6544–6550 Some observations in grinding SiC and silicon carbide ceramic
matrix composite material. Int J Adv Manuf 103(5-8):3175–3186
79. Yang SH, Zhang BG, Wang CW, Zhang WQ, Liu YL, Gao BH
96. Hu C, Chen P, Xiang W (2019) Influence of rare earth Tb4O7
(2018) Studies on electrochemical characteristics of SiGe in appli-
addition on the densification, abrasion resistance and microstruc-
cation to chemical mechanical polishing. Ecs J Solid State Sci
ture of alumina ceramics. Ceram Int 45(3):3263–3268
Technol 7(5):P213–P220
97. Yuan ZW, Zheng P, Wen Q, He Y (2018) Chemical kinetics
80. You K, Seo J, Kim PJH, Song T (2017) Control of tungsten pro-
mechanism for chemical mechanical polishing diamond and its
trusion with surface active agent during tungsten chemical me-
related hard-inert materials. Int J Adv Manuf Technol 95(5-8):
chanical polishing. Ecs J Solid State Sci Technol 6(12):P822–
1715–1727
P827
98. Tian YB, Ang YJ, Zhong ZW, Xu H, Tan R (2013) Chemical
81. Wei KH, Hung CC, Wang YS, Liu CP, Chen KW, Wang YL
mechanical polishing of glass disk substrates: preliminary exper-
(2016) Cleaning methodology of small residue defect with surfac-
imental investigation. Mater Manuf Process 28(4):488–494
tant in copper chemical mechanical polishing post-cleaning. Thin
99. Zhang CP, Zhao HY, Gu YW, Ban XX, Jiang CY (2017) Design
Solid Films 618:77–80
of an ultra-precision CNC chemical mechanical polishing machine
82. Zhong ZW, Tian YB, Ang YJ, Wu H (2012) Optimization of the and its implementation. Opt Eng 57(1):015104
chemical mechanical polishing process for optical silicon sub- 100. Liu DF, Chen GL, Hu Q (2017) Material removal model of chem-
strates. Int J Adv Manuf Technol 60(9-12):1197–1206 ical mechanical polishing for fused silica using soft nanoparticles.
83. Popuri R, Sagi KV, Alety SR, Peethala BC, Amanapu H, Patlolla Int J Adv Manuf Technol 88(9-12):3515–3525
R, Babu SV (2017) Citric acid as a complexing agent in chemical 101. Zhong ZW, Tian YB, Ng JH, Ang YJ (2013) Chemical
mechanical polishing slurries for cobalt films for interconnect ap- Mechanical Polishing (CMP) Processes for Manufacturing
plications. Ecs J Solid State Sci Technol 6(9):P594–P602 Optical Silicon Substrates with Shortened Polishing Time.
84. Oniki T, Khajornrungruang P, Suzuki K (2017) In situ measure- Mater Manuf Process 29(1):15–19
ment method for film thickness using transparency resin sheet 102. Werrell JM, Mandal S, Thomas ELH, Brousseau EB, Lewis R,
with low refractive index under wet condition on chemical me- Borri P, Davies PR, Williams OA (2017) Effect of slurry compo-
chanical polishing. Japanese Journal of Applied Physics 56(7): sition on the chemical mechanical polishing of thin diamond films.
07kh02 Sci Technol Adv Mater 18(1):654–663
85. Han JY, Shi XZ, Wu CH, Koli D, Kim HJ (2017) Effect of reac- 103. Tatsumi N, Maruoka K, Harano K, Ito T, Sumiya H (2018)
tive ion etch on the polishing selectivity during silicon nitride Crystalline quality distributions of the type Ila diamond substrate
chemical mechanical polishing for sub-10 nm logic device. ECS and the CVD diamond layer processed by chemical mechanical
J Solid State Sci Technol 6(4):P101–P104 polishing using a SiO2 wheel. Jpn J Appl Phys 57(10):105503
86. Bae K, Baek KH, Kim J, Kim H, Yoon BU, Kim JJ (2017) Highly 104. Hu ZH, Qin CJ, Chen ZZC, Yang ZP, Fang T, Mao MJ (2018)
selective chemical mechanical polishing of Si3N4 over SiO2 Experimental study of chemical mechanical polishing of the final
using advanced silica abrasive. Jpn J Appl Phys 56(5):056501 surfaces of cemented carbide inserts for effective cutting austenitic
87. Kim H, Hong S, Jin Y, Lim DH, Kim JY, Hwang H, Kim T (2017) stainless steel. Int J Adv Manuf Technol 95(9-12):4129–4140
Investigation of copper oxide ring formation during post chemical 105. Ozdemir Z, Ozdemir A, Basim GB (2016) Application of chem-
mechanical polishing cleaning of Cu interconnect. ECS J Solid ical mechanical polishing process on titanium based implants. Mat
State Sci Technol 6(8):P542–P546 Sci Eng C-Mater Biol Appl 68:383–396
88. Kim HJ, Egan B, Solan R, Shi XZ, Han JH (2018) Brush cleaning 106. Ozdemir Z, Basim GB (2017) Effect of chemical mechanical
effect on tungsten voids defect in chemical mechanical polishing, polishing on surface nature of titanium implants FT-IR and
1430 Int J Adv Manuf Technol (2020) 109:1419–1430

wettability data of titanium implants surface after chemical me- particle sizes based on quasi-continuum method. Friction 5(1):
chanical polishing implementation. Data in Brief 10:20–25 99–107
107. Alsaeedi R, Ozdemir Z (2018) Evaluation of chemical mechan- 118. Kawaguchi K, Ito H, Kuwahara T, Higuchi Y, Ozawa N, Kubo M
ical polishing-based surface modification on 3D dental implants (2016) Atomistic mechanisms of chemical mechanical polishing
compared to alternative methods. Materials 11(11):Article of a Cu surface in aqueous H2O2: tight-binding quantum chemical
Number 2286 molecular dynamics simulations. ACS Appl Mater Interfaces
108. Kumar CR, Omkumar M (2019) Optimisation of process param- 8(18):11830–11841
eters of chemical mechanical polishing of soda lime glass. Silicon 119. Yu T, Li Z, Wu D (2019) Predictive modeling of material removal
11(1):407–414 rate in chemical mechanical planarization with physics-informed
109. Guo XG, Yuan S, Wang XL, Jin ZJ, Kang RK (2019) Atomistic machine learning. Wear 426, :1430-1438
mechanisms of chemical mechanical polishing of diamond (100) 120. Luo B, Yan Q, Pan J, Guo M (2020) Uniformity of cluster
in aqueous H2O2/pure H2O: molecular dynamics simulations magnetorheological finishing with dynamic magnetic fields
using reactive force field (ReaxFF). Comput Mater Sci 157: formed by multi-magnetic rotating poles based on the cluster prin-
99–106 ciple. Int J Adv Manuf 107(1-2):919–934
110. Wen JL, Ma TB, Zhang WW, van Duin ACT, Lu XC (2017) 121. Azimi M, Mirjavadi SS, Asli SA (2016) Investigation of mesh
Atomistic mechanisms of Si chemical mechanical polishing in sensitivity influence to determine crack characteristic by finite
aqueous H2O2: ReaxFF reactive molecular dynamics simulations. element methods. J Fail Anal Prev 16(3):506–512
Comput Mater Sci 131:230–238
122. Zhong ZW, Venkatesh VC (2009) Recent developments in
111. Shi XL, Zou CL, Pan GS, Gong H, Xu L, Zhou Y (2017)
grinding of advanced materials. Int J Adv Manuf Technol
Atomically smooth gallium nitride surface prepared by
41(5-6):468–480
chemical-mechanical polishing with S2O82–Fe2+ based slurry.
Tribol Int 110:441–450 123. Zeng Y, Zhang J, Zhou H, Guo H (2019) A new processing tech-
112. Rastegar V (2018) Effect of large particles during chemical me- nique for fabrication of ultra-thin wafer. Int J Adv Manuf 100(5-
chanical polishing based on numerical modeling of abrasive par- 8):1287–1298
ticle trajectories and material removal non-uniformity. IEEE Trans 124. Li L, He Q, Zheng M, Ren Y, Li XL (2019) Improvement in
Semicond Manuf 31(2):277–284 polishing effect of silicon wafer due to low-amplitude megasonic
113. Wei X, Yang XD, Xie XZ, Hu W (2016) A material removal rate vibration assisting chemical-mechanical polishing. J Mater
model-based chemical action of ultra-thin SUS304 substrate in Process Technol 263:330–335
chemical mechanical polishing. Int J Adv Manuf Technol 85(1- 125. Hu Y, Shi D, Hu Y, Zhao HW, Sun XD (2018) Investigation on
4):287–290 the material removal and surface generation of a single crystal SiC
114. Lin ZC, Wang RY, Ma SH (2018) Theoretical model and exper- wafer by ultrasonic chemical mechanical polishing combined with
imental analysis of chemical mechanical polishing with the effect ultrasonic lapping. Materials 11(10):Article Number 2022
of slurry for abrasive removal depth and surface morphology of 126. Yuan ZW, He Y, Sun XW, Wen Q (2018) UV-TiO2
silicon wafer. Tribol Int 117:119–130 photocatalysis-assisted chemical mechanical polishing 4H-SiC
115. Momoniat E (2017) A Reynolds equation modelling Coriolis wafer. Mater Manuf Process 33(11):1214–1222
force effects on chemical mechanical polishing. Int J Non-Lin 127. Wang J, Wang TQ, Pan GS, Lu XC (2016) Effects of catalyst
Mech 92:111–117 concentration and ultraviolet intensity on chemical mechanical
116. Zhu AB, He DY, Luo WC, Liu YY (2016) Role of crystal orien- polishing of GaN. Appl Surf Sci 378:130–135
tation on chemical mechanical polishing of single crystal copper.
Appl Surf Sci 386:262–268 Publisher’s note Springer Nature remains neutral with regard to jurisdic-
117. Zhu AB, He DY, He SL, Luo WC (2017) Material removal mech- tional claims in published maps and institutional affiliations.
anism of copper chemical mechanical polishing with different

You might also like