You are on page 1of 2

module fulladder1(input x,y,z,output sum,carry);

wire sum1,carry1,carry2;
assign sum1 = x ^ y ;
assign carry1 = x & y ;
assign sum = sum1 ^ z ;
assign carry2 = sum1 & z ;
assign carry = carry1 | carry2 ;
endmodule

BLOCK DIAGRAM

CIRCUIT DIAGRAM

You might also like