You are on page 1of 157

MC LC

CHNG 1: .............................................................................................................................. 4
H THNG M V M ....................................................................................................... 4
I. BIU DIN S TRONG CC H THNG M ........................................................... 4
1. Khi nim c bn ........................................................................................................... 4
2. Cc h m thng dng.................................................................................................. 5
3. Biu din s trong cc h m ....................................................................................... 6
II. H M HAI (NH PHN) ............................................................................................. 7
III. M HO H S 10 ........................................................................................................ 9
1. Khi nim v m ho h s ............................................................................................ 9
2. Cc m thng dng......................................................................................................... 9
CHNG 2: ............................................................................................................................ 11
I S BOOLEAN................................................................................................................. 11
I. KHI NIM CHUNG ...................................................................................................... 11
1. M u ......................................................................................................................... 11
2. Mt s khi nim c bn .............................................................................................. 11
II. BIN V HM LOGIC.................................................................................................. 12
1. Khi nim v bin v hm logic................................................................................... 12
2. Cc hm logic s cp.................................................................................................... 13
3. H hm y d.............................................................................................................. 17
III. Phng php biu din hm logic ...................................................................................... 18
1. Phng php dng bng gi tr ca hm ...................................................................... 18
2. Phng php hnh hc.................................................................................................. 18
3. Phng php biu thc i s ...................................................................................... 18
4. Phng php dng bng Karnaugh .............................................................................. 19
CHNG 3.............................................................................................................................. 21
TI THIU HO HM BOOLEAN ...................................................................................... 21
I. PHNG PHP TI THIU HO ................................................................................ 21
1. Khi nim ti thiu ho ................................................................................................ 21
2. Phng php ti thiu ho hm logic bng bin i i s ......................................... 22
3. Nhm cc phng php ti thiu ho theo thut ton.................................................. 22
CHNG 4: ............................................................................................................................ 27
GII THIU VI MCH S .................................................................................................... 27
I. NH NGHA V PHN LOI ..................................................................................... 27
1. nh ngha .................................................................................................................... 27
2. Phn loi vi mch theo bn cht ca tn hiu vo / ra .................................................. 27
3. Phn loi theo mt tch hp..................................................................................... 28
4. Phn loi theo cng ngh ch to ................................................................................. 28
II. CC THNG S CHNH CA VI MCH S ............................................................ 30
1. Mc logic ..................................................................................................................... 30
2. c tnh truyn t ....................................................................................................... 30
3. Cc thng s v dng in. .......................................................................................... 31
4. Cng sut tiu th......................................................................................................... 32
5. H s ti FAN-IN; FAN-OUT ..................................................................................... 33
6. Khong l chng nhiu (Noise Margin)....................................................................... 34
7. Thi gian truyn t v thi gian qu ..................................................................... 35
8. Dng v IC ................................................................................................................... 36
9. Gii hn nhit .......................................................................................................... 36
III. CNG NGH IC S ..................................................................................................... 37
1. Cng ngh n cc (cng ngh MOS - Metal Oxide Semiconductor) ........................ 37

2. Cng ngh lng cc ................................................................................................... 39


3. Giao tip TTL-CMOS v CMOS-TTL. ....................................................................... 43
CHNG 5: ............................................................................................................................ 46
PHN TCH V THIT K MCH T HP...................................................................... 46
I. M HNH TON HC CA MCH T HP.............................................................. 46
II. PHN TCH MCH T HP........................................................................................ 47
III. THIT K MCH T HP.......................................................................................... 47
1. Bi ton thit k v cc bc thc hin ....................................................................... 47
2. Thit k mch t hp 2 tng v nhiu tng .................................................................. 47
3. Thit k mt h hm t hp.......................................................................................... 50
CHNG 6: ............................................................................................................................ 51
MT S MCH T HP THNG GP ........................................................................... 51
I. B CNG NH PHN MT CT S............................................................................ 51
1. Phn tch bi ton ......................................................................................................... 51
2. Xy dng s ............................................................................................................ 52
II. B TR NH PHN MT CT S ............................................................................. 53
II. B TR NH PHN MT CT S ............................................................................. 54
III. B SO SNH COMPARATOR................................................................................. 55
1. B so snh 2 s nh phn 1 bit...................................................................................... 55
2. B so snh n bit ............................................................................................................ 56
IV. B TO V KIM TRA CHN L - PARITY GENERATOR AND CHECKER ... 57
IV. B TO V KIM TRA CHN L - PARITY GENERATOR AND CHECKER ... 58
1. Mch to bit chn l ..................................................................................................... 58
2. Mch kim tra chn l .................................................................................................. 58
V. MCH PHN LOI NGT .......................................................................................... 60
VI. B CHN KNH V PHN KNH (MULTIPLEXER AND DEMULTIPLEXER) 60
1. B chn knh................................................................................................................ 60
2. B phn knh................................................................................................................ 61
VII. B CHUYN M........................................................................................................ 61
1. Cc loi m tiu biu.................................................................................................... 61
2. Mch m ho - lp m (ENCODER) ........................................................................... 62
3. Mch gii m (DECODER) ......................................................................................... 64
4. Thit k mch chuyn m............................................................................................. 67
5. Mt s vi mch chuyn m thng dng ....................................................................... 67
CHNG 7: ............................................................................................................................ 70
CC PHN T NH C BN.............................................................................................. 70
I.KHI NIM CHUNG ....................................................................................................... 70
II. NH NGHA V PHN LOI .................................................................................... 70
1. nh ngha .................................................................................................................... 70
2. Phn loi FF ................................................................................................................. 70
2. Phn loi FF ................................................................................................................. 71
3. Biu din FF ................................................................................................................. 71
III. CC LOI FF V IU KIN NG B................................................................. 71
1. Flip-Flop kiu RS ......................................................................................................... 71
2. JK Flip-Flop. ................................................................................................................ 73
3. D Flip-Flop................................................................................................................... 75
4. Flip-Flop kiu T. .......................................................................................................... 76
IV. CHUYN I GIA CC LOI FF .......................................................................... 77
CHNG 8.............................................................................................................................. 79
B M .................................................................................................................................. 79

I. NH NGHA V PHN LOI ..................................................................................... 79


1. nh ngha:................................................................................................................... 79
2. Phn loi....................................................................................................................... 79
3. hnh trng thi ca b m: .................................................................................... 80
II. M CA B M......................................................................................................... 81
III.CC BC THIT K B M ................................................................................. 82
IV. MT S DNG B M THNG GP................................................................. 82
1. B m thun, ng b, h s m K= 2n, dng m nh phn.................................... 82
2. Cc b m nghch, nh phn, ng b vi h s m chn ........................................ 87
3. Cc b m nh phn, ng b vi h s m chn..................................................... 88
4. Thit k b m c mch khi ng ............................................................................ 89
V. LU KHI THIT K B M: ................................................................................ 90
VI. MT S IC M THNG GP:.............................................................................. 90
CHNG 9.............................................................................................................................. 91
M T V THIT K MCH DY..................................................................................... 91
I. KHI NIM C BN. .................................................................................................... 91
1. M hnh tng qut. ....................................................................................................... 91
2 Phng php m t mch dy. ...................................................................................... 91
II. CC BC THIT K MCH DY. .......................................................................... 94
1. Thit k mch dy t bng trng thi ca Otomat. ...................................................... 94
2. Thit k mch dy t hnh trng thi....................................................................... 96
CHNG 10............................................................................................................................ 97
THANH GHI DCH ................................................................................................................. 97
I, KHI QUT .................................................................................................................... 97
1. nh ngha:................................................................................................................... 97
2. Cu to.......................................................................................................................... 97
3. Phn loi....................................................................................................................... 98
II. HNH TNG QUT ................................................................................................ 98
1. S khi: ................................................................................................................. 101
2. Cc bc thit k........................................................................................................ 102
IV. MCH TO DY TN HIU TUN HON............................................................ 104
1. S khi: ................................................................................................................. 104
2. Cc bc thit k........................................................................................................ 105
V. B M VNG, V B M VNG XUN.......................................................... 107
VI. B GHI DCH VI HM HI TIP L HM CNG MODULE 2 ...................... 107
1. B ghi dch vi hm hi tip l hm cng module c Lmax=2n-1............................. 107
2. V d ng dng mch loi ny thit k b m:.................................................... 108
CHNG 11.......................................................................................................................... 112
MCH DY NG B ....................................................................................................... 112
Mch dy ng b l mt mch s bao gm cc mch t hp v cc phn t nh FF, mch
hot ng theo s ng b ca xung nhp Ck. ....................................................................... 112
I. PHN TCH ................................................................................................................... 112
II. THIT K ..................................................................................................................... 112
1. Cc bc thit k:....................................................................................................... 112
III. TI THIU HO: ....................................................................................................... 114
1, Phng php Caldwell: .............................................................................................. 114
2. Phng php phn hoch: .......................................................................................... 119
3. Phng php dng bng so snh................................................................................ 120
IV. M HO TRNG THI ............................................................................................ 123
V. MCH DY NG B DNG M HNH MOORE V M HNH MEALY ....... 123

CHNG 12.......................................................................................................................... 128


MCH DY KHNG NG B........................................................................................ 128
I. CC BC THIT K: ................................................................................................ 128
II. M HO V TI THIU HO THI TRONG MCH DY KHNG NG B.
............................................................................................................................................ 130
III. HIN TNG CHU K V CHY UA ................................................................ 131
1. Hin tng chu k:..................................................................................................... 131
2. Hin tng chy ua: ................................................................................................. 132
IV. CC V D MINH HO ............................................................................................ 133
1. V d 1:....................................................................................................................... 133
2. V d 2........................................................................................................................ 137
CHNG 13.......................................................................................................................... 141
THIT K MCH S DNG MSI V LSI ........................................................................ 141
I. KHI NIM: .................................................................................................................. 141
II. B DN KNH MUX.................................................................................................. 142
1. S tng qut: ......................................................................................................... 142
2. Cc ng d ng ............................................................................................................ 142
II. ROM(READ ONLY MEMORY-B NH CH C)................................................ 143
1. S cu to: ............................................................................................................. 143
2. ng dng..................................................................................................................... 143
Chng 3 : KHO ST B NH BN DN ................................................................ 152
Hnh 4.1 S cu trc n gin ca ROM16 x8 ............................................................. 153
PHN 1
I S BOOLEAN
V VI MCH S

CHNG 1:
H THNG M V M
I. BIU DIN S TRONG CC H THNG M
1. Khi nim c bn
+ H thng m l t hp cc quy tc gi v biu din cc con s c gi tr xc nh
+ Ch s l nhng k hiu dng biu din mt con s
+ Phn loi h thng m gm 2 loi l h thng m theo v tr v h thng m
khng theo v tr
. H thng m theo v tr l h thng m trong gi tr v mt s lng ca mi ch s ph
thuc vo v tr ca ch s nm trong con s
V d: trong h m thp phn:

Con s 1278 c s 8 ch 8 n v
Con s 1827 c s 8 ch 8.103 n v

Nh vy tu vo v tr khc nhau trong con s m ch s biu din gi tr khc nhau.


. H thng m khng theo v tr l h thng m gi tr v mt s lng ca mi ch s khng
ph thuc vo v tr ca ch s nm trong con s.
V d: trong h m La m trong cc con s IX, XX hay XXXIX u c X biu din gi tr
10 trong h thp phn m khng ph thuc vo v tr ca n trong con s.

Nhn xt: h thng m khng theo v tr cng knh khi biu din gi tr ln do t s dng.
Do vy, khi ni ti h thng m ngi ta hiu l h thng m theo v tr v gi tt l h
m.
2. Cc h m thng dng
Nu mt h m c c s l N th mt con s bt k trong h m s c gi tr
trong h thp phn thng thng nh sau:

A = a n 1 .N n 1 + a n 2 .N n 2 + ... + a1 .N 1 + a 0 .N 0
Trong ak l cc ch s lp thnh con s (k = 0, 1 n-1) v 0 < ak < N-1
Sau y l mt s h m thng dng:
+ H m mi (thp phn): c c s l 10, cc ch s trong h m ny l: 0, 1, 2, 3, 4, 5, 6,
7, 8 v 9.
v d: con s 1278 = 1.103 + 2.102 + 7.101 + 8.100 biu din mt nghn hai trm by mi tm
n v theo ngha thng thng
+ H m hai (nh phn): c c s l 2, cc ch s trong h m ny l 0 v 1
v d: 1011 trong h nh phn s biu din gi tr
A = 1.23 + 0.22 + 1.21 + 1.20 = 11 trong h m 10 thng thng
+ H m mi su (thp lc phn hexa): c c s l 16 vi cc ch s: 0, 1, 2, 3, 4, 5, 6, 7,
8, 9, A, B, C, D, E v F
v d: 8E trong h m hexa s biu din gi tr
A = 8.161 + 14.160 = 142 trong h m 10 thng thng
+ H m tm (bt phn octa): c c s l 8 vi cc ch s 0, 1, 2, 3, 4, 5, 6 v 7
vd: con s 12 trong h octa biu din gi tr
A = 1.81 + 2.80 = 10 trong h m thng thng
Bng i chiu 16 con s u tin trong cc h m trn
H 10

H 2

H 16

H 8

0000

0001

0010

0011

0100

0101

0110

0111

1000

10

1001

11

10

1010

12

11

1011

13

12

1100

14

13

1101

15

14

1110

16

15

1111

17

3. Biu din s trong cc h m

Mt s trong h 10 c biu din vi cc thnh phn: du ( + hoc - ), phn nguyn,


du phy ( , ) v phn l
Khi cc con s c x l bi cc mch s th cc con s ny phi c biu din di
dng h 2 hoc dng m no to thnh t cc s h 2 nh m BCD, m Gray ). Do vy,
cc con s c th biu din theo s sau:

Dng l

H 2

Du phy tnh
Dng nguyn

Con s
Du phy ng

2
8
C s
10
16

H BCD

H 2

Dng l

Dng nguyn

H BCD

Du phy tnh:

Dng nguyn: du phy lun sau ch s cui bn phi. v d: 1001,


Dng l: du phy lun trc ch s u bn tri. v d: ,1001
Du phy ng:

Chuyn s thnh dng chun ho dng lu tha


v d: 12,78 chuyn thnh (,1278).102
Du : quy c ly gi tr 1 ch du m v gi tr 0 ch du dng

v d: 1 0101 trong h 2 ch s -5 trong h m 10

0 1001 trong h 2 ch s +9 trong h m 10


Tuy nhin, ngi ta cng cn thng s dng s b biu din s m nh sau:
S b 1: dng s 1 biu din du m v phn gi tr thc hin php ly phn b cho
mi ch s (chuyn 1 thnh 0 v 0 thnh 1 cho mi ch s)
v d: s b 1 ca 0101 l 1 1010
S b 2: dng 1 biu din du m cn phn gi tr i ra s b 1 sau cng thm
1 vo hng n v
v d: s b 2 ca -0101 l 1 1011
S b 9: dng 1 biu din du m cn phn gi tr tr thnh mt s sao cho tng
ca s mi v s c mi hng bng 9
v d: s b 9 ca
l

0011 0100 0010 (bng 342 theo h mi)


1 0110 0101 0111

(bng 657 theo h mi)

S b 10: ly s b 9 cng thm 1 n v


v d: s b 9 ca
l

0011 0100 0010


1 0110 0101 1000

(bng -658 theo h mi)

II. H M HAI (NH PHN)


1. Cc php tnh s hc trong h m 2 (module 2)

+ Php cng: Da trn cc nguyn tc sau


0+0

1+0

0+1

1+1

10 (0 nh 1)

+ Php tr: Da trn cc nguyn tc sau


0-0

1-0

1+1

10 - 1

+ Php nhn: Da trn cc nguyn tc sau


0.0

1.0

0.1

1.1

+ Php chia: thc hin nh vi h thp phn


2. Chuyn i gia h 2 v h 10

Trong khi con ngi s dng h m 10 th cc mch gia cng v x l s liu li s


dng h m 2 nn vic chuyn i gia hai h m ny l rt quan trng.
a. Chuyn i t h 2 sang h 10

Mt con s trong h 2 c gi tr trong h 10 l:


A = a n 1 .2 n 1 + a n 2 .2 n 2 + ... + a1 .21 + a 0 .2 0
trong ak = 0 hoc 1 (vi k = 0, 1, 2, n-1)
v d: chuyn i con s 1001 trong h 2 sang h 10 nh sau:
A = 1.23 + 0.22 + 0.21 + 1.20 = 9
b. Chuyn i s t h 10 sang h 2

Chuyn i tng phn nguyn v phn l sau gp li


Chuyn i phn nguyn theo nguyn tc chia v ly phn d
v d: chuyn i s 17 h mi sang h hai nh sau
Phn
nguyn chia
cho 2

S d

17

s h 10

S h 2

Chuyn i phn l theo nguyn tc nhn 2 tr 1nh sau:


t s 10 (phn l) tn cng bn tri. Nhn s h mi ny vi 2, nu tch s ln hn
1 th ly tch s tr i 1, ng thi ghi 1 xung hng di (hng t h s cn tm), nu tch s
nh hn 1 t 0 xung hng di, ghi sang ct 2 v tip tc ti khi hiu s bng 0 hoc t s
l theo yu cu
v d: chuyn i s 0,525 h mi sang h hai. p dng quy tc trn ta c:
H 10

0,525 x 2 = 1,05

0,525

1,05 1 = 0,05

H 2

0,05 x 2 = 0,1

0,1 x 2 = 0,2

0,2 x 2 = 0,4

Vy s h 2 thu c l 0,1000
T 2 kt qu trn ta tm c s h 2 tng ng vi s h 10 bng cch gp phn
nguyn v phn l vi nhau
v du:
S h 10

S h 2
17

10001

0,525

0,1000

17,525

10001,1000

III. M HO H S 10
1. Khi nim v m ho h s

thc hin vic chuyn i cc con s gia 2 h thng m 2 v 10 ngi ta s dng


phng php biu din 2 10. Phng php ny gi l m ho cc con s trong h m 10
bng cc nhm m h 2 (BCD Binary Coded Decimal).
Cc ch s trong h 10 gm cc s t 0 ti 9 do s c biu din bng cc h s hai
c 4 ch s. Ngha l thc hin chuyn i mt s h 2 sang h 10 ta phi thc hin chuyn
i vi n = 4
A = a n 1 .2 n 1 + a n 2 .2 n 2 + ... + a1 .21 + a 0 .2 0
A = 8a3 + 4a 2 + 2a1 + 1a0

Trong , 8-4-2-1 gi l trng s v m c quy lut trn gi l m BCD c trng s t


nhin hay m BCD 8421
v d:
H 10

M BCD 8421

12

0001 0010

1278

0001 0010 0111 1000

Tuy nhhin, trn thc t ngi ta cn s dng cc m BCD vi trng s khc nhau nh:
7421, 5421, 2421
Ch : Cc con s biu din bng m BCD 8421 v 7421 l duy nht trong khi cc m BCD
5421 hay 2421 l khng duy nht.
2. Cc m thng dng

Khi s dng 4 ch s h 2 ta s c 16 t hp khc nhau nhng m BCD ch s dng 10,


do d 6 t hp. Bng cch chn 10 trong s 16 t hp khc nhau ngi ta s c nhiu loi
m khc nhau. Thng dng nht l: M BCD, M tha 3,M Gray Ngoi ra c th s dng 5
ch s h 2 m ho, v d: M Johnson, M 2 trn 5
+ M BCD: c trnh by trn
+ M tha 3: c to thnh bng cch cng thm 3 n v vo m BCD 8421. Loi
m ny c s dng rng ri trong thit b tnh ton s hc ca h thng x l hoc gia cng
cc tn hiu s.

+ M Gray: c c im l khi chuyn t mt m s ny sang m s khc tip theo th


t m ch thay i ti cng 1 v tr ca k hiu m
+ M 2 trn 5: s dng 5 ch s h 2 biu din cc ch s h 10. Mi t hp lun c
2 ch s 1 v 3 ch s 0.
+ M Johnson: s dng 5 ch s h 2 vi c im l khi chuyn sang m s k tip s
thay 0 bng 1 bt u t phi sang tri ti khi t 11111 ( ng vi 5 trong h 10) s bt u
thay 1 bng 0 v cng theo chiu t phi sang tri.

Bng biu din cc ch s h 10 theo cc loi m khc nhau

S
S h 2
(BCD- 8421)
h
B B B B
1 3 2 1 0
0

M tha 3

M Gray

M 2 trn 5

M Johnson

A
3

A
2

A
1

A
0

G
3

G
2

G
1

G
0

D
4

D
3

D
2

D
1

D
0

J
4

J
3

J
2

J
1

J
0

10

CHNG 2:
I S BOOLEAN
I. KHI NIM CHUNG
1. M u

K thut in t ngy nay c chia lm 2 nhnh ln k thut in t tng t v k


thut in t s. K thut in t s ngy cng th hin nhiu tnh nng u vit v tc x
l, kch thc nh gn, kh nng chng nhiu cao, tiu th in nng t . Do , in t s
c ng dng rng ri trong nhiu lnh vc v ngy cng tr thnh mt phn thit yu hn
trong cc h thng v thit b hu ht cc lnh vc c ng dng khoa hc k thut v cng
ngh mi (c kh, ho hc, y hc...).
Hn na, vi s pht trin ca mch tch hp to nn s thc y cng mnh m
trong vic to ra nhng mch s c phc tp cng tng. Nn cng ngh ban u ch to
c cc mch tch hp c nh (S.S.I) nhng, ngy nay, vic s dng cc mch tch hp c
va (M.S.I), c ln (L.S.I) v cc ln (VLSI) ngy cng tr nn ph bin.
Trong mch s, tn hiu u vo 1 trong 2 trng thi logic 0 hoc 1 v u ra cng 1
trong 2 trng thi 0 hoc 1tu theo tn hiu u vo v cc phn t trong mch gi l cc cng
logic. m t mch s ngi ta s dng cng c ton hc l i s Boolean (i s logic).
y l c s ton hc cho mi lnh vc c lin quan n k thut s.
2. Mt s khi nim c bn
+ i s logic: l mt tp hp S ca cc i tng A, B, C trong xc nh 2 php ton
cng logic v nhn logic vi cc tnh cht sau:
Tnh cht

Tn gi

S cha (A + B) v (A.B)

tnh ng kn

A+B=B+A

Lut giao hon

A.B = B.A
(A + B).C = A.C + B.C

Lut phn phi

A + B.C = (A + B).(A + C)
(A + B) + C = A + (B + C)

Lut kt hp

(A.B).C = A.(B.C)
A+A=A
A.A = A
A + B = B A.B = A

tnh nht qun

A+0=A
A.0=0
A+1=1
A.1=A

11

A+ A =1
A. A = 0
A. (A + B) A + A.B A

Lut hp th

A + B = A.B

Lut De Morgan

A.B = A + B

A + A.B = A + B
A + AB = A + B
A.B + A.C + B.C = A.C + B.C
A A
1= 0
0 =1

+ Gin Venn: y l cch biu din trc quan cc php ton trong i s logic. Trn gin
Venn tp hp S c biu din bng 1 vung cn cc phn t A, B, C c biu din
bng cc min nm trong vung . Min khng c trn gin c coi bng 0 v min
ln nht (ton b vung) c coi bng n v 1.
v d: tp hp S l mt nhm cc sinh vin v c biu din bi ton b min trong hnh

A.B hay A B

A+B hay A B

vung; trong nhm sinh vin c 2 nhm ph A v B, vi sinh vin thuc nhm A c tc
nu trong khi cc sinh vin ca nhm B c mt xanh.
Khi , phn giao ca A v B bao gm cc sinh vin c c mt xanh v tc nu (A.B). H l
thnh vin ca c nhm A v nhm B.
Nhm cc sinh vin m c tc nu hoc mt xanh c th c biu din: A+B (c xem nh
hp ca cc nhm)
II. BIN V HM LOGIC
1. Khi nim v bin v hm logic

+ Bin logic l mt khi nim dng thay cho thut ng mnh tu , mnh ny c th
ng hoc sai v khng c kh nng mt mnh va ng va sai, ngha l bin logic ch
nhn mt trong hai gi tr l ng hoc sai
V d, cu: Hm nay l th Nm v tri ang ma c th c biu din nh sau:

12

C = A.B.
vi

A : hm nay l th Nm.
B: tri ang ma.
C: ton b cu.

Khi no th ton b cu l ng?


C th thit lp mt bng lit k cc trng hp ng(True) hay sai(False) cho A v B:
A

sai

sai

sai

sai

ng

sai

ng

sai

sai

ng

ng

ng

Nu 1 c s dng thay th cho pht biu ng v 0 cho pht biu sai th bng
trn c th c biu din li nh sau:
A

Nh vy, ton b cu l ng khi A v B u ng cn cc trng hp khc C sai.


+ Mt mnh phc tp c to thnh t cc mnh n gin ban u, n nhn mt trong
2 gi tr l ng hoc sai. Khi , k hiu l F(A, B, C ) hay F(x1, x2, x3 ), ngi ta gi
l hm logic ca cc bin A, B, C hay ca x1, x2, x3
+ Trong k thut s cc gi tr ng v sai ca bin logic hay hm logic c k hiu l 1 v
0 (y n thun l k hiu m khng phi l ch s ca h hai). Thm na vic thc hin cc
gi tr logic cn ph thuc vo vic chn cc tr s vt l biu din.
V d: vi vi mch thuc h TTL ngi ta a ra 2 cch k hiu cho mc logic
. Mc logic dng:
Xi = 1

ng vi mc in p cao 5V

Xi = 0

ng vi mc in p thp 0V

. Mc logic m:
Xi = 1
Xi = 0
2. Cc hm logic s cp

ng vi mc in p thp 0V
ng vi mc in p cao 5V

a. Hm logic s cp mt bin

13

Fi

F(A)

Biu thc

Tn gi

F1

Hng s 0

F2

Lp li A

YES

F3

o bin A

NOT

F4

Hng s 1

b. Hm logic hai bin


A

0 0 1 1

0 1 0 1

K hiu v biu thc i


s ca hm

Tn gi ca hm

F0

0 0

0 0 F0 = 0

Hng s 0

F1

0 0

0 1 F1 = A.B

Nhn logic

F2

0 0

1 0 F2 = A.B

Cm B

F3

0 0

1 1 F3 = A

Lp li A

AND

YES / BUFFER
F4

0 1

0 0 F4 = B. A

Cm A
INHIBITION

F5

0 1

0 1 F5 = B

Lp li B
YES / BUFFER

F6

0 1

1 0 F6 = A.B + B. A = A B

Khc du / cng module


2
XOR

F7

0 1

1 1 F7 = A + B

Cng logic

OR

F8

1 0

0 0 F8 = A B = A + B

Hm Pierce

NOR

F9

1 0

0 1 F9 = A ~ B = A.B + A.B

ng du

F10

1 0

1 0 F10 = B

B ca B
NOT B

F11

1 0

1 1 F11 = B A = A + B

Ko theo A
IMPLICATION

F12

1 1

0 0 F12 = A

B ca A
NOT B

F13

1 1

0 1 F13 = A B = A + B

Ko theo B
IMPLICATION

F14

1 1

1 0 F14 = A/B = A.B

Hm Sheffer

14

NAND
F15

1 1

1 1 F15 = 1

Hng s 1

Cc hm logic s cp

+ Hm F(A,B) = A.B
Hm ny thc hin php nhn logic ca hai bin A v B. Phn t thc hin chc nng
ca hm trn l phn t AND (cn gi l cng AND). Mt cng AND c hai hay nhiu u
vo v ch c mt u ra. u ra c mc logic 1 ch khi tt c cc u vo mc 1; v c
mc 0 khi mt trong cc u vo mc 0. Hnh di y ch ra k hiu v bng chn l ca
cng AND vi 2 u vo.

Tng qut: Hm AND ch mang ga tr 1 khi cc u vo ng thi bng 1


+ Hm F(A,B) = A + B

Hm ny thc hin php cng logic. Phn t thc hin l phn t OR (cn gi l cng
OR). Cng OR c mc logic cao khi c t nht mt u vo mc 1; v ch khi c 2 u vo
mc logic 0 u ra cng OR mi c mc logic 0. Hm OR c k hiu v bng chn l nh
hnh di y:

Tng qut: Hm OR ch mang gi tr 0 khi tt c cc u vo ng thi bng 0

+ Hm F(A) = A
Hm ny thc hin php ly phn t b ca A. Phn t thc hin hm l phn t NOT,
thng c gi l cng o, c mt u vo v mt u ra. Trng thi ca u ra lun ngc
vi u vo. K hiu ca mch v bng chn l nh sau:
+ Hm F(A,B) = A.B
A

Hm ny cn gi l hm Sheffer. Phn t mch in thc hin hm l phn t NAND


(cng NAND). V c bn, y l mt cng AND theo sau l cng NOT. u ra c mc logic
0 ch khi tt c u vo c mc logic 1. Di y l k hiu v bng trng thi (bng chn l)
ca cng NAND 2 u vo.

15

Tng qut: Hm NAND ch mang gi tr 0 khi tt c cc u vo u c mc logic 1

+ Hm F(A,B) = A + B
Hm ny cn gi l hm Pierce. Phn t mch in thc hin hm l phn t NOR
(cng NOR). y l cng OR theo sau bi cng NOT. u ra c mc logic thp khi mt hay
nhiu u vo mc logic cao; v u ra c mc logic cao ch khi tt c u vo mc thp.
Di y l k hiu v bng chn l ca hm.
Tng qut: hm NOR ch mang gi tr 1 khi tt c cc u vo u c mc logic 0

+ Hm F(A,B) = A B = A B + A.B
Phn t thc hin hm ny l phn t Exclusive OR (hay cng XOR). Cng ny c 2
u vo. Cng ny l thnh phn c bn ca php so snh. Khi 2 u vo ging nhau, u ra
mc logic 0; cn khi 2 u vo khc nhau, u ra c mc logic 1. Di y l k hiu v bng
trng thi.
Tng qut: hm XOR cho gi tr 1 khi s cc ch s 1 trong t hp l mt s l. y chnh l

tnh cht ca hm cng module n bin


+ Hm F(A,B) = A B = A ~ B = A B = A.B + A.B
Hm ny gi l hm tng ng. Cng logic thc hin hm ny l cng XNOR. y
l s kt hp ca hm XOR v theo sau bi hm NOT. Khi 2 u vo ging nhau u ra
mc logic 1; cn khi 2 u vo khc nhau, u ra c mc logic 0. Di y l bng chn l v
k hiu hm

16

Tng qut: hm XNOR s mang gi tr 1 khi s cc ch s 1 trong t hp l mt s chn (k


c 0)

Ch : Vi cng mt phn cng nh nhau nhng nu s dng vi cc mc logic khc nhau


th chc nng ca cc cng s thay i. Cc cng logic trn c thc hin vi kiu logic
dng. Nu dng logic m th ta c tng ng nh sau:

3. H hm y d

Mt hm logic bt k lun c biu din di dng t hp ca cc hm s cp trn.


Tuy nhin, trn thc t khng nht thit phi s dng ht cc hm s cp m ch cn mt
b phn ca cc hm s cp.
Mt h hm s cp c gi l y nu c th biu din mt hm logic bt k bng
cch thc hin cc php ton ca i s logic ln cc phn t ca h hm ny.
Cc h hm sau c chng minh l cc h hm y :
+ H hm 1: gm cc hm AND, OR, NOT
+ H hm 2: gm cc cng AND, NOT
+ H hm 3: NOR
+ H hm 4: NAND

+ H hm 5: AND, NOT

17

Gii thch chi tit hm NOR v hm NAND to thnh cc hm khc nh th no v


trnh by phng php thit k mch dng cng NOR v cng NAND
III. Phng php biu din hm logic
1. Phng php dng bng gi tr ca hm

Phng php ny s dng bng ghi mi t hp c th ca bin v gi tr hm tng


ng. Bng ny cn gi l bng hm hay bng chn l (bng s tht)
v d: Cho mt hm 3 bin c gi tr nh trong bng ng vi cc t hp ca bin nh sau:
X3
X2
X1
F
0
0
0
0
0
0
1
1
0
1
0
X
0
1
1
1
1
0
0
1
1
0
1
0
1
1
0
0
1
1
1
X
X l k hiu m ti gi tr ca hm khng xc nh (c th l 0 v c th l 1)
Nhn xt: Phng php trn c u im l trc quan v r rng nhng n t ra cng knh v
qu rm r khi s bin tng ln. Do phng php ny ch dng biu din cho cc hm
s cp hay cc hm c s bin nh.
2. Phng php hnh hc

Trong phng php ny ngi ta biu din n bin ng vi khng gian n chiu. Mi t
hp ca bin c biu din bi mt im trong khng gian
Nh vy, n bin s biu din bi 2n im vi quy c 2 im trn cng mt cnh ch
khc nhau 1 bin duy nht.
v d: trng hp 1, 2 v 3 bin biu din nh trong hnh di y
3. Phng php biu thc i s
0

10
010

11

011

111
110
00

000

01

001

100

101

nh l: Mt hm logic n bin bt k lun c th biu din di dng chun tc tuyn y


hoc chun tc hi y
Dng chun tc tuyn y l tuyn ca nhiu thnh phn, mi thnh phn l hi gm y
n bin

18

Dng chun tc hi y l hi ca nhiu thnh phn, mi thnh phn l tuyn gm y


n bin
a. Cch vit hm s di dng chun tc tuyn ( CTT ) y :

+ S ln hm bng 1 s l s tch ca n bin


+ Trong mi tch cc bin c gi tr 1 c gi nguyn, cc bin c gi tr 0 c ly ph
nh
+ Hm F bng tng cc tch trn
b. Cch vit hm s di dng chun tc hi ( CTH ) y :

+ S ln hm bng 0 s l s tng ca biu thc n bin


+ Trong mi tng cc bin c gi tr 0 c gi nguyn, cc bin c gi tr 1 c ly ph
nh
+ Hm F bng tch cc tng trn
v d: Xy dng hm logic ca cc bin A, B ,C c cc gi tr nh sau:
F (0,0,0) = F( 1, 0,0) = F(1,1,0) = 1
Cc trng hp khc bng 0
Thc hin cc bc nh trn ta c hm F vit di dng CTT v CTH nh sau:
F(A, B, C) = A.B.C + A.B.C + A.B.C = 0,4,6
F(A, B, C) = ( A + B + C )( A + B + C )( A + B + C )( A + B + C )( A + B + C ) = 1,2,3,5,7
4. Phng php dng bng Karnaugh

Quy tc xy dng bng:


+ Bng c 2n biu din hm n bin, mi cho mt t hp bin
+ Cc cnh nhau hay i xng nhau ch khc nhau 1 bin (ghi theo th t ca m Gray).
Cc hng v ct ca bng c ghi cc t hp gi tr bin sao cho hng v ct cnh nhau hay
i xng nhau ch khc nhau 1 bin
+ Ghi gi tr ca hm ng vi t hp ti
Ch : i vi CTT gi tr hm bng 0 c trng
i vi CTH gi tr hm bng 1 c trng
Hm khng xc nh ti t hp no th nh du X vo
v d: biu din hm sau bng bng Karnaugh

0,2,5 vi N = 1, 4 (cch vit theo CTT)


(cch vit theo CTH)
F(A, B, C) = 3,6,7 vi N = 1, 4
F(A, B, C) =

Vi N l tp hp ca t hp bin m ti gi tr ca hm khng xc nh.


Thc hin nh cc bc trn ta c bng Karnaugh biu din cho hm F theo CTT nh sau:

19

A \ BC

00

01

11

10
1

Hoc c th biu din hm F theo CTH nh sau:


A \ BC

00

0
1

01

11

0
0

10

20

CHNG 3
TI THIU HO HM BOOLEAN
I. PHNG PHP TI THIU HO
1. Khi nim ti thiu ho

Ti thiu ho l tm dng biu din i s n gin nht ca hm. Khi s gim c


ti a s cng thc hin hm. y l yu cu rt cn quan tm v n gip cho vic thc
hin mch c n gin v hiu qu.
V d: Cho hm c dng CTT v CTH y nh sau:
F = X 3 .X 2 .X 1 + X 3 .X 2 .X 1 + X 3 .X 2 .X 1
F = ( X 3 + X 2 + X 1 )( X 3 + X 2 + X 1 )( X 3 + X 2 + X 1 )( X 3 + X 2 + X 1 )
Khi s cng thc hin hm s c dng:
U2B
U1A
U2C
U1B

U4A

U2A
U3A

U1C
U3B

Tuy nhin nu s dng bng chn l ca hm ta c:


X3

X2

X1

T bng chn l d dng thy F = X2. R rng biu thc ny n gin hn rt nhiu so vi
biu thc trn, v th mch lc ny cng ch l mt b m cho X2 m thi
X2

21

Cng c mt s yu t khc ngoi gi thnh nh hng n phc tp ca mch cn


c quan tm. Mt trong cc yu t l thi gian tr truyn t, l khong thi gian tnh t lc
c s thay i ti u vo ti khi c s thay i kt qu ti u ra. Cng nhiu cng c mc
ni tip vi nhau th thi gian tr ny cng ln.
V d vi hm : f = A*B*C + A*B*C+A*D

1)

l mt dng ti thiu v u ra c mc tr ca cng AND thm vi mc tr ca cng


OR.
Tuy nhin, cng vi hm ny theo lut phn phi, ta c:
f = A*(B*C+ B*C +D).

2).

Hm ny c thi gian tr ln hn hm trc v n gm mc tr ca 3 cng. Bi th, d


r hn, n c thi gian tr ln hn.
Mt yu t ng quan tm khc l ti ca u vo. Xt 1). tn hiu A phi iu khin 3
ti (3 cng), trong khi vi 2). ti ch c mt cng.
Ti nay vn cha c phng php ti u no c th thc hin vic ti thiu ho mt
cch ti u. Vic ti thiu ho hm logic c th thc hin bng mt trong hai cch c bn l:
+ Bin i i s
+ Thut ton
2. Phng php ti thiu ho hm logic bng bin i i s
Trong trng hp s bin t v hm c biu din bng phng php gii tch ngi ta
c th thc hin bin i trc tip hm theo cc tnh cht ca i s
V d: dng phng php bin i i s ta thc hin rt gn hm f nh sau:
f = A. X + A. X + A. X
f = A. X + A. X + A. X + A. X
f = X ( A + A) + A( X + X )
f =X+A
r rng l hm f c n gin i rt nhiu thay v mt hm phc tp

U7A
A
U6A
U7B

U3C
f

U8A
A

U6B
U7C

3. Nhm cc phng php ti thiu ho theo thut ton


Mt s khi nim:

22

nh: nh l mt tch gm y cc bin ca hm ban u (nu hm c n bin th


nh l tch n bin)
nh 1 l nh m ti hm s bng 1
nh 0 l nh m ti hm s bng 0
nh khng xc nh l nh ti hm khng xc nh (k hiu l X)
Thng thng khi cho mt hm s dng CTT ngi ta cho tp cc nh 1 v cc nh
khng xc nh (N) ca hm ban u.
Tch cc tiu l mt tch m ti hm bng 1 hoc khng xc nh vi thnh phn cc
bin khng b bt c n. Tch cc tiu l biu din ca 1 nhm 2k nh. Tch cc tiu ny
ph cc nh hay cc nh cha trong tch cc tiu, ngha l dng tch cc tiu biu din ti
a s nh vi s bin t nht. C s ton hc ca vic tm tch cc tiu l p dng php dn:
A. X + A. X = A
Tch quan trng l mt tch cc tiu ph t nht 1 nh 1. N nht thit phi xut hin
trong biu thc cui cng ca bi ton. Tp hp cc tch quan trng chnh l ph ti thiu, kt
qu cui cng ca bi ton.
Ch : Khi tin hnh vi hm vit di dng CTH y th thay cc nh 1 bng nh 0.
Cc khi nim tng v tch cng i ch cho nhau. Ngha l:

nh l tng y n bin
Biu din hm bng tch cc tng
Tng cc tiu
Tng quan trng
Ph ti thiu l s tng quan trng t nht m ph ht c s nh 0
Gi tr ca bin s gi nguyn nu c gi tr 0 v o nu c gi tr 1
Qu trnh ti thiu ho gm cc bc nh sau:

+ Biu din hm s di dng CTT y vi tp cc nh 1 v nh khng xc nh


hoc CTH y vi tp cc nh 0 v nh khng xc nh
+ Tm cc tch cc tiu
+ Tm cc ph ti thiu
+ a ra cch biu din mi ca hm
a. Phng php dng bng Karnaugh.

Bng Karnaugh l mt bng c 2n , mi tng ng vi mt t hp trong bng trng


thi v cha cc gi tr u ra tng ng. Mt c trng ca biu ny l lun sp xp sao
cho ch c s thay
i ca mt bin khi chuyn
t ny sang k
cn.
L1
Trong bng ta
s vit c:

ch n 2 du hoa th, ta

23

A = L1 . R1 .L2.R2 + L1 .R1.L2.R2

S dng cc nh l ca i s Boolean, c th vit li:


A = L1 .L2.R2.( R1 +R1)
= L1 .L2.R2. 1
= L1 .L2.R2.
Nh vy, hm c ti thiu ho gm mt cng AND 3 u vo.
Nguyn l thit lp biu Karnaugh
ti cc k nhau, gi tr 1 c nhm li vi
Kch thc ca nhm l lu tha ca 2 (v d: 2
, 16 , 32 ...). V d 4 ca ct th t trong
hnh bn c th c nhm. Nh vy, ton b
c ti gin thnh A. B , chnh l cc phn t
ca c nhm. Cc phn t c gi tr khc nhau
s khng xut hin. Kt qu ny cng nhn
nu ta p dng cc nh l ca i s Boolean
ny nh sau:

chnh l
nhau.
, 4 , 8
bng
nhm s
chung
(C v D)
c
cho 4

f = A. B.C.D + A. B .C.D + A. B .C. D


= A. B .C .( D +D) + A. B .C.(D+ D )
= A. B .C +A. B .C = A. B .(C+ C )
= A. B
Ch : Bng Karnaugh, ging nh bn th gii, pha bn phi s tip lin pha bn tri, nn
c th nhm cc nm i din nhau. Nguyn l ny cng c p dng cho bn trn v bn
di. (tc l chng ta nhm theo kiu i xng hoc lin k)
V d, c th nhm 4 4 gc ca biu nh hnh di y

24

T cc nhn xt trn ta rt ra c cc bc tin hnh ti thiu ho bng bng


Karnaugh cho dng CTT l:
1, Biu din hm cho trn bng Karnaugh
2, Xc nh cc tch cc tiu ca hm (tch cc tiu tm c bng cch dn 2k c gi
tr 1 hoc X vi k ti a, cc ny gn k hoc i xng nhau)
3, Tm ph ti thiu l chn mt s t nht cc nhm tch cc tiu sao cho ph ht c
cc nh 1 ca hm
Ch :

. Qu trnh hon ton tng t khi hm biu din dng CTH

. Khi lp bng Karnaugh vi CTT nhng bng 0 nn trng cn dng CTH th


b trng nhng c gi tr 1.
b. Ti thiu ho bng phng php Quine - Mc.Cluskey

Phng php ny c thc hin cho hm biu din di dng CTT


Cc bc tin hnh:
Bc 1: Tm tch cc tiu

. Xc nh nh 1 v X
. Sp xp cc t hp bin theo s lng ch s 1 c trong chng
. So snh mi t hp thuc nhm i vi t hp thuc nhm (i + 1). Nu 2 t hp ch
khc nhau 1 ct s th kt hp 2 t hp thnh mt t hp mi, trong s dng du thay
cho ct s khc nhau. nh du vo 2 t hp va kt hp
. Loi b cc t hp ging nhau v lp li bc trn cho n khi ht cc t hp c kh
nng kt hp
. Tp hp cc t hp trong bng cui v cc t hp khng b nh du chnh l tp cc
tch cc tiu
Bc 2: Tm ph ti thiu

. Lp bng c ct l cc gi tr c nh l 1 (cc gi tr ny thng ghi theo h m 10


cho tin theo di), hng l cc tch cc tiu
. nh du X vo m tch cc tiu hng ph nh ct. Ct c 1 du X chnh l tch
quan trng
. Loi b cc ct c ph trong tch quan trng
. Loi cc tch quan trng khi hng

25

. Lp bng mi v tip tc qu trnh n khi tt c cc nh u c ph


V d: Ti thiu ho hm sau bng phng php Quine Mc. Cluskey nh sau:
Hm f =

(0,2,5,8,9,10,11)
Sp xp li

Thc hin php dn

H 10

H 2

H 10

H 2

0000

0000

(0,2)

00-0

(0,2,8,10)

-0-0

0010

0010

(0,8)

-000

(0,8,2,10)

-0-0

0101

1000

(2,10)

-010

(8,9,10,11)

10- -

1000

0101

(8,9)

100-

(8,10,9,11)

10- -

1001

1001

(8,10)

10-0

10

1010

10

1010

(9,11)

10-1

11

1011

11

1011

(10,11)

101-

Vy kt qu cui cng l: -0-0 v 10- Hay f = B.D + A B

26

CHNG 4:
GII THIU VI MCH S
I. NH NGHA V PHN LOI
1. nh ngha

Vi mch l nhng linh kin in t c mt chc nng xc nh v c ch to bng


mt cng ngh ring. Vi mch hin i thng a nng v c th s dng linh hot trong
nhiu thit b in t khc nhau
Ngi ta phn loi theo mt s tiu ch sau:
+ Phn loi theo bn cht ca tn hiu in vo / ra ca vi mch
+ Phn loi theo mt tch hp
+ Phn loi theo cng ngh ch to
2. Phn loi vi mch theo bn cht ca tn hiu vo / ra
Nh bit, tn hiu in c phn thnh 2 loi l tn hiu tng t v tn hiu s.
+ Tn hiu tng t (analog) l tn hiu c bin bin thin lin tc theo thi gian
+ Tn hiu s (digital) l tn hiu c bin mt trong hai ga tr hu hn mang
ngha logic 0 hoc 1, ng vi 2 mc thp v cao. Tn hiu s gin on theo thi gian.
Nu k hiu X, Y l tn hiu vo v ra ca vi mch, theo bn cht ca tn hiu vo / ra
ny ta s c cc loi vi mch sau:

Tn hiu vo Tn hiu ra

Loi vi mch

Tng t

Tng t

Tng t

Tng t

ADC / analog-digital converter

Tng t

DCA / digital-analog converter

Trong phm vi ca mn k thut s chng ta ch xt ti vi mch s, ngha l c u vo


ln u ra u l tn hiu s.
Cc vi mch s ny bao gm t cc cng logic n gin nh AND, OR, NOR, NAND
flip-flop, MUX, DEMUX, Memory n cc loi mch cc k phc tp nh cc b vi
x l

27

3. Phn loi theo mt tch hp

Mt tch hp c nh ngha l tng cc phn t tch cc (transistor) hoc cng


logic cha trn mt n v din tch ca mng tinh th bn dn trong vi mch
v d: B vi x l Pentium III ca Intel c mt tch hp l 9triu transistor trn 1 inch
vung
Mc tch hp c nh ngha l tng s nhng phn t tch cc hoc cng logic trn
mng tinh th bn dn ca vi mch
Nhng thng s trn phn no cho thy phc tp ca mch. Phn loi theo mc
tch hp ta c
Loi mch

S transistor

S cng logic

V d

SSI Vi mch c nh

Hng chc

1 - 10

Gate, flip-flop

MSI Vi mch c trung bnh

Hng trm

10 - 100

Gate, counter,
shift-register,
encoder, small
memory

LSI Vi mch c ln

Hng nghn

100 - 1000

Larger
Memory,
microprocessor
4 / 8bit

VLSI - Vi mch c rt ln

Hng vn

> 1.000

MP 16/32bit,
console
i/o
8086, Z8000

ULSI Vi mch c cc ln

Hng triu

> 10.000

MP 64bit

4. Phn loi theo cng ngh ch to

IC c th chia ra lm 4 loi: IC mng mng/ mng dy; IC khi rn; v IC lai


Di y l cc hng pht trin vi mch theo cng ngh ch to

28

Vi mch
Thick / thin film

Monolithic
Digital

Hybrid
Analog (BJT)

Digital

Analog

UJT MOS
P / N chanel

CMOS

BJT
RTL

DTL

TTL

ECL

a. Vi mch mng mng / mng dy

Cc IC loi ny c ch to bng cch lng ng nhng vt liu nht nh trn mt


cch in (v d nh gm, s..). Sau hng lot cc qu trnh to mask trn to thnh in
tr, in dung hay in cm. Cc linh kin tch cc nh diode, transistor s c ch to
theo cch thng thng vi kch thc nh (thng l FET). Mch ny cho tch hp kh
cao nhng khng bng loi n khi, tuy nhin li c kh nng chu ng in p v nhit tt
hn. IC mng mng v mng dy c s dng cho cc mch i hi chnh xc cao
b. Vi mch bn dn khi rn

IC monolithic c to ra hon ton trn mt n v tinh th cht bn dn nn l Si, cc


cht bn dn khc s c khuch tn vo trong cht nn to ra nhiu loi mt ghp khc
nhau. Nhng mt ghp ny c th to thnh in tr, in dung, diode hay transistor.
Nhng vt liu bn dn c khuch tn vo trong cht nn di dng hi v ng li
trn cht nn sau hng lot cc qu trnh to mask nhit cao.
Qu trnh to mask l qu trnh trong ngi ta tin hnh oxy ho b mt cht bn
dn, tc l lp kn b mt ca n bng SiO2. Sau ph mt lp cm quang ln trn b mt
SiO2. Dng mch thu nh, chp ln phim to thnh khun sng. t khun sng ln b mt
cht cm quang, chiu nh sng vo ta s thu c dng mch theo yu cu. Dng ho cht n
mn cc rnh, loi b cht cm quang thc hin khuch tn cht vo. Mask c to thnh
bng phng php nh trn gi l phng php quang khc.
Vi mch monolithic c 2 loi l mch lng cc v mch MOS, ngy nay vi mch MOS
tr nn ph bin do d ch to, din tch nh nn kh nng tch hp cao.
c. Vi mch lai

29

y l s kt hp ca 2 loi vi mch trn. IC lai c th bao gm nhiu tinh th


monolithic c ghp vi nhau thnh khi, cng c th l s kt hp gia mch
monolithic vi mch mng mng th ng.
IC lai mang y u im ca 2 loi vi mch monolithic v mng mng / mng dy
nh kch thc nh gn m cng sut li ln, chnh xc cao
II. CC THNG S CHNH CA VI MCH S
1. Mc logic
Mc logic l gi tr in p vo / ra c quy nh cho cc s nh phn 0 v 1. Mc
logic l thng s quan trng nht ca vi mch s, nh thng s ny m ta c th d dng nhn
bit c nhng trng thi logic ra v vo bng cch o nh vn k hoc oscilloscope.
Gia cc thng s khc nhau (in p, dng, thi gian...) c trng cho mt h logic th
cc tham s in tnh c bit quan trng bi v chng xc nh gii hn dng v p ti u ra
v u vo.
Mi trng thi logic ca linh kin (High hay Low) c xc nh bi di in p cho
php.
Tng cng s c 4 di in p, mi di c xc nh bi 2 gii hn in p; nh vy s
c 8 gi tr in p c trng cho mi h logic.
Cc mc v di in p cho php.

Ta c quan h in p u vo v ra sau:
Volmax <= VilMax .
VohMax <= VihMin .
2. c tnh truyn t

VolMin >=VilMin .
VohMin >= VihMin .

ng c tuyn truyn t (transfer characteristic) l ng cong ch ra mi quan h


gia in p vo v ra.
Di y l ng c tuyn truyn t ca mt cng o (trn) v mt cng khng o
(di).
Vi cng o, di in p v cc mc gii hn s c xc nh nh hnh di y:

30

Trong thc t, in p vo v ra ln nht c cho bi gi tr in p cung cp Vcc v


cc gi tr nh nht l bng zero tc bng in p t.
Nh vy, s ch cn 4 gi tr in p gii hn v c quan h:
Volmax <= VilMax .
VohMin >= VihMin .
Bng so snh gi tr in p vo v ra ca cc h logic TTL, CMOS, HCT v h

ECL.
Ch : in p cung cp khc nhau.

3. Cc thng s v dng in.

Cc di gii hn v dng in cng c nh ngha tng t nh di gii hn in p.


Cc gi tr dng ra l cao hn cc gi tr vo.
Chiu ca dng in c quy c nh sau: chiu dng l chiu dng i vo cc ca
linh kin cn chiu m l chiu dng i ra khi linh kin.
V d: cc thng s c tnh l tng ca cng NAND c ch ra hnh a. Tuy nhin, trong
thc t cc ng c tnh ny l cc ng cong nh trong hnh b.

a)

b)

Ni chung, vi cc h logic ta u c:
VolMin = 0.
VohMax = Vcc.
bi th, ch cn 4 gi tr gii hn v dng in:
IilMax .
IihMax .

31

IolMin.
IohMin .
ta c quan h:
IolMin >= IilMax .
IohMin >= IihMax .
Bng sau s ch ra cc gi tr dng ra v vo tng ng vi cc h logic TTL, CMOS v
ECL.

Dng tiu th trong trng thi tnh.

Ti trng thi tnh, dng cung cp l tng dng tiu th ca tng linh kin khi cc cng
ca n l n nh, khng xy ra s chuyn trng thi.
Cc nh sn xut s cung cp cc thng tin v dng tnh quiescent cho tng linh kin
v di cc iu kin th c bit.
Bng sau ch ra cc gi tr dng max cho mt vi linh kin ca cc h logic.

4. Cng sut tiu th.

Cng sut tiu th bi cc linh kin logic chia thnh 2 loi: tnh v ng.
Thnh phn cng sut tnh to nn do dng tnh.
Thnh phn ng to nn do dng in yu cu tch v phng cho in dung ti u
ra; do dng in yu cu bi cc in dung ni; v do dng in cn thit to trng thi dn
cho cc Transistor u ra.
Vi cc linh kin ECL, cng sut tiu th ch yu do hot ng trong min tch cc.
Cng sut tiu th c tnh theo cng thc:
P = Icc*Vcc + Cpd*Vcc2*fi + (CL*Vcc2*fo).
vi:

Icc: dng tnh.

Vcc : in p cung cp.


fi : tn s tn hiu vo.

32

fo : tn s tn hiu ra.
Cpd : in dung tng ng u vo.
CL : in dung ti.
Thnh phn cng sut tnh tiu th ca cc linh kin LS-TTL cao hn rt nhiu so vi
linh kin CMOS nhng li nh hn so vi linh kin h ECL.
Tng cng sut ng ca 1 linh kin h CMOS ph thuc ch yu vo tn s, khng
ging nh linh kin h TTL.
Bng cng sut tiu th ca cc linh kin trong mt s h logic.
5. H s ti FAN-IN; FAN-OUT

H s ti u vo FAN-IN.

FAN-IN l t s gia dng vo ca 1 linh kin c th v dng vo ca 1 mch chun.


Thng thng, mch c ly lm chun s l 1 cng logic c bn ca cng h logic.
H s ny c dng nhiu trong qu kh khi cc h logic mi c gii thiu. Ngy nay, h
s FAN-IN khng c nhc n trong cc gii thiu sn phm data-sheet ca cc nh sn
xut.
H s ti FAN-OUT quan trng hn v c dng nhiu hn.
H s ti u ra FAN-OUT.

FAN-OUT l t s gia dng ra nh nht ca 1 linh kin logic v dng ra ca 1 linh


kin c th c ly lm chun.
FAN-OUT cng c th c nh ngha l s ln nht cc cng c th c iu khin
t 1 u ra, m khng lm vt qu cc gii hn ra ca linh kin.
H s FAN-OUT s c tnh vi c mc in p cao cng nh mc thp v h s nh
hn s c chn.
Trong trng hp cng LS-TTL, ta c:

FAN OUT ( H ) =

Ioh 400 A
=
= 20
Iih 20 A

FAN OUT ( L) =

Iol 8mA
=
= 20
Iil 0.4mA

Bng sau ch ra h s FAN-OUT ca cc h logic:


TTL-LS

CMOS

HCT

ECL

33

FAN-OUT

20

100

100

34

6. Khong l chng nhiu (Noise Margin).

Nu u ra ca 1 cng logic c ni vi u vo ca 1 cng logic cng h, bt k


nhiu chng ln no cng khng th gy ra li nu bin ca n nh hn khong l chng
nhiu.

Khong l chng nhiu (biu din bi NM) c n v l Volts.


Tham s ny c nh ngha cho mc logic thp (NML) cng nh mc logic cao
(NMH).
Ta c phng trnh biu din mi quan h ca NM vi cc mc in p
NML = VilMax -VolMax .
NMH = VohMin VolMin .
Thng thng, c mt vi ngun nhiu ac, v nh hng ca n ph thuc vo cc nhn
t sau:
tr khng vo v ra, in dung nh hng trn ng vo cng nh bn thn nhiu ca
ng dy.
nhiu t ngun cung cp.
nhiu t.
Nhng yu t ny to nn nhiu nh hnh sau; nhiu c biu din nh cc ngun in
p.

34

Cc nhiu xung thng thng kh loi b v chng c to nn bi cc s c m rt


kh pht hin v chng c truyn i bi cc thnh phn k sinh.
Bng di y so snh cc loi nhiu vi cc h logic khc nhau
S liu trong bng ch ra rng khong l chng nhiu ca h logic CMOS cao hn nhiu
so vi cc h logic khc. Nh vy, ta nn dng h CMOS trong mi trng nhiu chng hn
trong mi trng cng nghip.
TTL-LS
CMOS
HCT
ECL [(+5V)
(+15V)
[+5V]
5,2V]
NMH
0.7V
5V
2.4V
0.3V
NML
0.3V
5V
0.7V
0.3V
7. Thi gian truyn t v thi gian qu

C hai khong thi gian c trng cho tng h logic, trong thi gian truyn t l
tham s quan trng hn. N l khong thi gian gia thi im thay i mc logic vo v thi
im xut hin thay i mc logic ra tng ng. N s xc nh tc ln nht ca ton
mch. Thi gian qu xc nh tc chuyn mc ca tn hiu ra.
Thng thng, mi linh kin s s phi ch r cc thi gian truyn t sau:
tPHL : thi gian tr vi u ra chuyn t mc cao xung thp.
tPLH : thi gian tr vi u ra chuyn t mc thp ln cao.
Cc thi gian tr ny, phi c o gia cc mc ngng c th, trong hu ht cc
trng hp, trng vi 50% khong thay i tn hiu.
Tham s ny ch yu dng cho vic thit k cc h thng logic v khi kt qu thay i,
thi gian s phi xc nh theo mt cch c bit cho mi thay i chng li cc xung
khng mong mun.
Thi gian qu (transition time)

Thng thng, vi mi linh kin s cng phi nu r cc thi gian qu sau:


tTHL : thi gian qu vi u vo chuyn t cao xung thp
tTLH : thi gian qu vi u vo chuyn t thp ln cao.
Thi gian c o trong khong 10-90% thay i ca tn hiu.
Thi gian ny cn khi thit k cc mch logic tun t, vi cc u vo kch, bi v tn
hiu kch khng nhanh linh kin s khng lt trng thi.
Hnh sau biu din thi gian tr truyn t cng nh thi gian qu ca mt cng o.
Bng so snh cc gi tr thi gian ca cc h logic.

35

Bng ny ch ra rng h ECL c tc cao nht, h CMOS c tc thp nht.

8. Dng v IC

C 3 phng php ng b cho tinh th silic l: phng php T05, ng v dng hp


v ng v hai hng chn song song.
+ ng v dng T05, hnh dng ny ging nh ca transistor, ngha l dng m c
nhiu chn. Kiu ng rn ny hin nay t c s dng nhng do c kh nng tiu tn nhit
tt nn ch yu c dng cho IC tuyn tnh.
+ ng v 2 hng chn song song / DIP, y l cch ph bin nht ng v IC. N
ln hn kiu ng rn nhng c u im l d lp rp v s dng. Cc loi IC ng v kiu
c s chn t 8 ti hng trm chn.
C nhiu kiu vt liu c s dng ng rn, thng dng v r nht l ng gi cht
do. IC c t vo khung kim loi sau ton b mch c bao ph bng k thut c
cht do. Ngoi ra tng kh nng chu nhit ngi ta cn dng k thut ng rn bng
gm.
+ ng v dng hp / flat pack, y l kiu ng v cho cc IC c mt tch hp cao,
thng gi l IC dn.
IC flat pack thng c s dng cho cc h thng yu cu tin cy cao.

9. Gii hn nhit

Hu ht c IC u c th hot ng trong mt di nhit kh rng t -55 ti +1250C.


Cc mch c bit c th lm vic ngoi di trn tu theo cu to ca chng.
Vi loi IC ng rn bng cht do th gii hn nhit nh hn (t 0 ti +700C) so vi
loi ng rn bng gm v thng c gn thm cc cnh tn nhit hay thm ch c c qut
gi.

36

III. CNG NGH IC S


1. Cng ngh n cc (cng ngh MOS - Metal Oxide Semiconductor)

Cng ngh MOS c u im l d ch to v cng on thc hin t quy trnh hn, mt


tch hp cao do transistor n cc c kch thc nh v c bit l tiu th in nng rt t.
Di y ta s xem xt mt s h logic MOS thng dng nht
a. H logic PMOS

Cc transistor MOSFET y c dng knh P nn gi l PMOS. Do cc ht mang in


l l trng nn PMOS c tn s lm vic kh nh (khong 1MHz) v l trng di chuyn kh
hn in t. PMOS c mt tch hp cao, cng sut tiu th nh v d ch to. Tuy nhin
h ny khng tng hp vi TTL (h logic rt ph bin m ta s ni c th phn sau) do
mch i hi nhiu in p ngun nui khc nhau.
Cng ngh PMOS thng ch to cc b vi x l tc chm nh NEC com
43/44/45 hay TMS 1000
b. H logic NMOS

MOSFET c s dng l MOSFET knh N c ht dn in l in t nn t c tc


cao hn PMOS hng chc ln.
NMOS cho mt tch hp rt ln, cng sut tiu th cng ch tng ng PMOS,
khong 0,2mW/cng
NMOS c kh nng tng thch vi TTL nn ch cn mt ngun nui duy nht.
H NMOS c mt s ci tin thnh cc h HMOS, XMOS hay VMOS c mt tch
hp cao hn, cng sut tiu th nh hn nhng tn s lm vic li cao hn.
Mt s b vi x l c ch to theo cng ngh NMOS nh 8080 / 8085 / 8086, Z80 /
Z80000, MC 6800 / 68000
c. H logic CMOS.

H CMOS s dng cc cp MOSFET knh N v knh P ch ti tch cc do


cng sut tiu th nh, 10 W/cng. Ngng i trng thi bng khong 1/2 in p ngun
nui.
v d: hnh di y l s ca cng NOT s dng cng ngh CMOS.
Mch ny gm 2 Transistor trng khc loi,
NMOS (T1) v PMOS (T2).
u vo c ni ti cc ca G v u ra ni ti
cc mng D.
in p cung cp trong cc mch logic CMOS
thng c k hiu Vdd.
Hot ng

Khi u vo mc logic thp, NMOS s ngt


(v VGS 0V) v PMOS dn (v VGS -Vdd ). Bi th,
in p u ra c mc cao thc t bng Vdd (khi khng ti).
Tng t, khi u vo c mc logic cao, dn n u ra c mc logic thp bng 0V
(khng ti).
u im ca vic s dng mch 2 T khc loi (b).

37

Vic s dng 2 T b, khin cng ngh CMOS c nhng u im so vi cc h logic


khc:
Gim cng sut tiu th trong iu kin tnh xung khong vi W (khng c dng ti
mch ra v khi 1 T dn, T kia s ngt).
Khi chuyn trng thi, sn xung s dc hn v c thi gian i xng hn, tc: tTHL =
tTLH .
Mc logic 0 v 1 ti u ra s xp x 0V v Vdd .
Gim dng u vo trong iu kin tnh, thm ch v 0A do cc G c cch ly i vi
MOS.
Tuy nhin, u im ca vic gim cng sut tiu th do cc ca G c cch ly i vi
cng ngh MOS s dn n nhc im l: cc u vo c th lu tr cc in tch tnh in
to nn mt lp mng cht cch in ng li trn knh. Do , cn c mch chng tnh in
ti u vo, nm bn trong mch tch hp. Mch ny, v c bn l mt nhm cc Diode c
ni vi nhau nh hnh di y bi th in p VGS khng th ln hn Vdd hay gim xung
0V.
Khng ging cc h logic khc, cng sut tiu th ca
CMOS tng nhanh khi tn s hot ng tng v 2 l
do chnh:
+ S ln np v phng trn mt giy ca cc
in dung k sinh (to bi cc ca G) tng ln.
+ Trong khong thi gian chuyn mc logic, c
hai MOS u dn.
V cc l do ny, cng sut tiu th, m c b
qua di iu kin tnh, s tng khi tn s tng, cho
n tn s khong vi MHz th cng sut tiu th ca h CMOS s xp x nh cc h lng
cc.

Seri CMOS loi HC v HCT.

Seri HC (CMOS tc cao High Speed) c gii thiu vo nhng nm bt u thp


k 80. Loi ny c tc v dng cao hn CMOS chun khong 10 ln, s chn tng
thch vi h TTL; khong l chng nhiu cao hn TTL v Vdd t 2 n 6V. Khi lm vic vi
in p 5V nh TTL tc ca cc h trn gim i rt nhiu
Seri mi ny c cng sut tiu th thp hn h TTL; kh nng chng nhiu cao hn;
kh nng iu khin u ra cao hn v in p hot ng t 2 6V.
V in p ra ca HC khng tng thch vi TTL nn seri HCT c pht trin, vi
cng tnh nng nh HC nhng c kh nng tng thch TTL vi in p cung cp Vdd = 5V.
Mt s ch tiu k thut ca CMOS:
Thi gian tr

30 100ns

38

Cng sut tiu tn

0,01mW (1mW tn s 1MHz)

Kh nng ti

50

n nh nhiu

~ 45%Vdd

Mc logic

Mc 0 bng 0V; mc 1 bng Vdd

Ngun cung cp

3 15V

Cc cng logic c bn

NOR; NAND

2. Cng ngh lng cc

Thnh phn c bn ca cc vi mch cng ngh lng cc l s dng cc transistor


lng cc. Cng ngh ny c mt s h c bn sau:
a. H logic TTL (Transistor Transistor Logic)

y l h vi mch c s dng rng ri trong mi lnh vc v tr thnh tiu chun


tng hp TTL cho cc h logic khc.
c tnh in ca cng logic TTL.

Xt cng logic c bn ca h TTL l cng NAND c cho nh hnh di


Transistor T1 l loi nhiu emiter. Transistor T2
lm nhim v cung cp 2 tn hiu ngc pha; tn hiu
ny iu khin tng ra gm T3, D1 v T4.
Transistor T3 c gi l transistor ni ngun
(pull-up) v hot ng nh mt mch lp E khi u
ra mc cao n s khin cho tr khng ra rt thp.
Nu c hai u vo mc cao, mch s tiu th
dng ca mi u vo khong 40A.
Collector ca T1 c ni vi base ca T2 v c
mc in p 2VBE, tc l khong 1,4V. Diode tng
ng ca tip gip base-collector ca T1 ly ngun
qua in tr R1, do vy c phn cc thun; nh th
Transistor T2 ri vo trng thi bo ho. Dng Emitter
ca T2 mt phn chy qua R3, mt phn chy vo base ca T4 do , a T4 vo trng thi
bo ho. in th base ca T3, Vb3 c gi tr bng vi tng Vbe ca T4 cng vi VceSat ca T2.
in p qua Emitter ca T3 l:
Ve3 = VceSat + Vd1 .

39

Do , Vb3 = Ve3 v transistor T3 trng thi ngt (OFF). Lc ny, Transistor T4 s


thng (ON), c dng in khong 16mA chy qua v u ra c mc logic 0 tc in p t
khong 400mV.
Gi tr logic 0 in hnh ti u ra l 220mV, vi dng in t 16mA
Gi tr dng ny iu khin 10 u vo logic TTL trng thi 0.
Tr khng ra Rout do T4 t khong 12Ohm.
Trng thi OFF (mt u vo ti mc thp, u ra mc cao).
Xt trng hp ti thiu mt u vo mc thp (u vo khng vt qu 400mA).
Gi tr dng ln nht khi u vo c mc logic 0 l khong 1,6mA, bi th mt cng
vi u ra mc thp c th iu khin khong 10 cng khc.
Lc ny, Transistor T1 s dn, T2 v T4 ri vo trng thi ngt. in p trn collector
ca T2 l cao do vy, T3 t bo ho.
Di nhng iu kin ny, dng a qua u ra t 400A, iu khin 10 cng
khc.
in p u ra Vo, khi ngun cung cp, khng nh hn 2,4V.
Thc t, vi gi tr p vo thp hn 800mV, in p ra in hnh t 3,3V.
Dng in ra trong iu kin ngn mch c gi tr nh nht l 18mA v gi tr max l 58
mA, c gii hn ch yu bi R4.
Tr khng ra mc cao l khong vi trm Ohm.
Qu trnh chuyn trng thi ca cng TTL.

chuyn trng thi t 1 (OFF) v 0 (ON) mt u vo s c mc th t cn u vo


kia ni vi Vcc. Khi in p u vo mc thp tng, dng in u vo s gim v khi
tng t ti 0,8V T2 bt u dn v in p trn collector ca n gim. Kt qu, in p u ra
gim cho n khi in p u vo t khong 1,4-1,5V, lc ny in p u ra c gi tr
khong 2V. in p trn base ca T2 l khong 1,4V v do vy, c T2 v T4 u dn.
Bt u t thi im ny, in p u ra nhanh chng gim xung gi tr VceSat ca T4 ,
tc l T2 t bo ho cn T3 chuyn sang trng thi ngt (OFF).
C mt khong thi gian rt ngn khi m c T3 v T4 u dn; trong khong thi gian
ny c dng chy qua R4, T3, D1 v T4.
Dng ny c hn ch ch yu bi R4.
Khi chuyn t trng thi thp (low) ln cao (High), ban u cc u vo trng thi
cao.
Khi in p ca mt (hay nhiu) u vo gim xung 1,4V, T1 bt u dn khin cho
T2 v T4 cng ri vo trng thi dn. Dng qua T2 gim in p trn collector ca T2 tng
khin T3 ri vo trng thi dn v th u ra s mc thp.
D cho cng TTL mc ON hay OFF tr khng ra lun thp, cho php cng TTL c
th iu khin ti dung khng cao.
Cc nhnh ph ca h TTL.

H logic chun TTL (STD) c thay i qua nhiu nm c cc tnh nng tt hn,
to nn cc nhnh ph (sub-families) ca h TTL.

40

Thc t, cc nhnh ph ca h TTL chun hot ng nhanh hn hay tiu th cng sut
t hn so vi h TTL chun.
Chng gm:
S TTL (Schottky TTL) : tc tng gp 3 ln nhng cng sut tiu th tng ln ti
20mW/cng.
AS TTL (Advanced Schottky): tc gn bng ECL (1 n 2ns)
LS TTL (Low Power Schottky TTL) : cng tc nhng cng sut tiu th gim 5 ln.
10ns, 2mW/cng
F TTL (Fast TTL) : tc gp 4 ln, cng sut tiu th gim mt na.
ALS TTL: 3ns, 1.25mW/cng
Mt s k hiu ca TTL cho bit di nhit cng tc
74:

00C - +700C

84:

-250C- +850C

54:

-550C - +1250C

Mt s ch tiu k thut ca TTL chun:


Thi gian tr

10ns

Cng sut tiu tn

10mW

Kh nng ti

10

n nh nhiu

Cao

Mc logic

mc 0 bng +0,4V;
mc 1 bng +3,6V

Ngun cung cp

5V 10%

Cc cng logic c bn

NOR; NAND

b. H logic ECL.

H logic ECL (Emitter Coupled logic) c to ra s dng cng ngh lng cc (ging
nh h TTL).
y l h logic c tc hot ng nhanh nht trn th trng. N t c tc
v 2 l do:
+ Trnh vic a cc linh kin tch cc vo trng thi bo ho.
+ Cho php tiu th cng sut cao hn trn mi cng so vi cc h logic khc.
Mt thnh phn chnh trong h ECL l b khuch i vi sai, trong 2 Transistor c
ghp Emitter chung nh trong hnh di y

41

Cc c im ca b khuch i vi sai:
Dng emitter khng i.
Dng s chy t Transistor ny sang T kia, khi in p
Vin a ti u vo ca T th nht nm trong khong:
VBB 0,1V <Vin <VBB+0,1V.
vi VBB : in p chun a vo base ca T th 2.
in p u ra ca mch s nhn mt trong hai gi tr c
th v nh vy, n hot ng ging nh mch nh phn. Bi
th, mch khuch i vi sai thng thng c xem nh mt
mch tng t nhng cng l mch s quan trng.
V cc mch s so snh c to nn t mch vi sai khng c Transistor no ri vo
trng thi bo ho, do vy, h logic ECL c tc hot ng rt nhanh v thi gian tr thp
hn 1ns.
Tuy vy, tc cao phi tr gi bng vic tng cng sut tiu th trn mi cng so vi
h TTL (khong 25mW/cng)
Di y l cng OR v NOR vi 2 u vo.
Mch ny tng t nh mch hnh trn ch khc l
u vo s dng 2 Transistor mc song song.
Nu A v B mc thp, T1 v T2 s khng dn
trong khi T3 tch cc (dn). Lc ny, Y c mc thp v Y
c mc cao.
Nu mt trong hai u vo mc cao, dng Emitter
s chy qua R3 v dng collector ca T3 gim gn v 0.
Bi th in p ti im Y tng v in p Y gim. Nh
vy, mch logic thc hin hm OR ti u ra Y v hm
NOR ti u ra cn li.
Mt trong nhng nhc im ca cu trc mch ECL
hnh trn l cc mc in p u ra c khc bit so vi
u vo. khc phc, mc thm 2 Transistor T4 v T5 theo kiu CC, nh trong hnh di
y a mc in p tr v ng cc gi tr yu cu.
y l cu trc c bn ca
cng ECL vi 3 u vo. in p
chun VBB c to ra t mch b
nhit (khng c ch ra hnh v).
Mch ny to ra cc mc in p :
V(0) = -1,7V.
V (1) = -0,9V.
Khong l chng nhiu ca h
logic ny rt hp v iu ny gii
thch ti sao cc cng c cp
ngun gia t v -VEE (-5.2V)
lm gim tr khng trong.

42

3. Giao tip TTL-CMOS v CMOS-TTL.

Nh gii thiu phn trn ta thy h TTL v h CMOS l 2 h logic ln nht v c


s dng nhiu nht. TTL c u im v tc cn CMOS li c u im v cng ngh ch
to n gin v tiu th in nng t. V vy, vic ghp ni gia 2 h logic l rt quan trng
d rng cc nh sn xut khuyn ngh nn dng cng mt h logic trong mt mch in t.
a. Giao din TTL-CMOS.

Trng hp n gin nht ca giao tip gia linh kin TTL v CMOS l khi ta ch c 1
ngun cung cp duy nht l 5V.

Nh ta thy trong hnh bn, mt in tr ni ngun (pull-up-c gi tr khong vi


KOhm) c s dng ko u ra c mc logic cao ca cng TTL (m c gi tr nh nht l
2,4V, cha c xem nh l mc cao i vi CMOS) ln xp x 5V.
Khi cc linh kin c cc ngun cung cp khc nhau giao tip vi nhau ta phi s dng
mt linh kin tng thch TTL vi u ra h collector hay h cc mng D nh hnh sau:

B m in hnh l 7407 hay 7417 c cp ngun +5V, vi in tr ni ngun


khong vi KOhm gia u ra v VDD (c th iu khin nhm thu c in p t +3V n
+18V).
b. Giao tip CMOS-TTL.

Vic ghp ni trc tip linh kin CMOS-TTL s dng cng ngun cung cp +5V yu
cu vic xem xt dng r ca linh kin CMOS gi mc in p u ra thp ca CMOS
nm trong phm vi cho php ca linh kin TTL.
Trong Seri CMOS CD4000 B tt c cc linh kin u c th iu khin ti thiu mt

43

linh kin TTL nhng ch thuc h LS.


iu khin mt hay nhiu linh kin ca h TTL STD, TTL S hay TTL F..., yu cu
c mt b m (chng hn nh CD4049 hay CD 4050).
Khi cc linh kin c ngun cung cp ring khc nhau ghp ni vi nhau, mt b m
CMOS vi u ra h cc mng D c s dng nh hnh di.

Tnh hung ny cn gp phi khi cn truyn d liu t phn thu thp d liu s dng
linh kin CMOS (chng hn trong khu vc cng nghip yu cu khong l chng nhiu caoch c vi cc linh kin h CMOS) ti h thng x l dng cc linh kin h TTL. Linh kin
c s dng nn l b m CMOS vi u ra h cc mng D- MM 74C906. in tr R nn
nm trong khong vi KOhm.

44

PHN II
MCH T HP

45

CHNG 5:
PHN TCH V THIT K MCH T HP
Mch s c chia lm 2 loi l :
+ Mch t hp / Combinational Circuit
+ Mch dy / Sequential Circuit
Mch t hp l mch m tn hiu ra ch ph thuc vo tn hiu vo. Phng trnh xc nh tn
hiu ra ca mch l:

Yi = fi(X1, X2, , Xn) vi i = 1 m


Yi l tn hiu ra u ra th i, c m u ra
Xj l tn hiu vo u vo th j, c n u vo
Ngi ta cn gi mch t hp l mch khng c nh
Mch dy l mch c tn hiu ra ph thuc vo trng thi trong ca mch v c th ph thuc
hoc khng ph thuc vo tn hiu vo. Phng trnh c trng ca mch dy l: Yi = fi(X1,
X2,Xn, S1, S2 ,. Sk) vi i = 1 m

Yi l tn hiu ra u ra th i, c m u ra
Xj l tn hiu vo u vo th j, c n u vo
St l trng thi trong ca mch
Mch dy c kh nng lu tr d liu nn cn c gi l mch c nh.
C th coi mch t hp l mt trng hp ring ca mch dy vi s trng thi trong
ca mch l 1.
I. M HNH TON HC CA MCH T HP
X1
X2
X3
Xn

Y1

Mch
t
hp

Y2
Y3

Mch
t
hp

Ym

X = { X1, X2, Xn}: tp hp cc tn hiuu vo


Y = { Y1, Y2, Ym}: tp hp cc tn hiu u ra
Khi mch t hp c th c m t bi h m phng trnh i s Boolean nh sau:
Yi = fi( X1, X2, Xn) vi i = 1 m
V mt ton hc c th ni m hnh ton hc ca mch t hp chnh l otomat khng c
nh, m t bng phng trnh:
O = (X, Y, f)
Vi X, Y l b ch vo, ra v f l nh x t X vo Y

46

II. PHN TCH MCH T HP

Bi ton phn tch la bi ton t s logic cho trc vit hm logic ca cc u ra theo
cc vo v nu cn th cn phi ch ra dng sng ca tn hiu ra tng ng vi tn hiu
vo, xc nh gi tr tnh hiu tng im trong s .
Cc bc phn tch mch t hp nh sau:

+ t cc bin ph vo mi mch u ra ca mi mch logic


+ Vit phng trnh ca cc bin ph (vit ln lt t u vo cho n u ra)
+ Trong biu thc cui cng, thay th cc bin ph bng cc gi tr tng ng rt ra
c hm logic cho cc u ra cho s .
v d: phn tch mch t hp cho hnh di y:
U2B
U1A

U1C

U2C

U2A
U1B

III. THIT K MCH T HP


1. Bi ton thit k v cc bc thc hin

y l bi ton ngc vi bi ton phn tch, l t yu cu cho trc nh chc nng,


dng sng ta phi xy dng s mch thc hin nhng yu cu .
Trong phm vi ca chng ny ta ch xt n vic s dng cc vi mch c nh (SSI),
thc hin theo cc bc sau:
+ M t bi ton di dng chc nng
+ Ti thiu ho
+ Ch ra s logic dng cho cc cng cho
2. Thit k mch t hp 2 tng v nhiu tng
a. Mch 2 tng

u im:
+ C th thc hin c mi hm logic
+ C tc cao
+ Vic phn tch v thit k mch n gin
Nhc im:
+ Trong mt s trng hp thit k khng nhn c s n gin nht
+ Thng yu cu cc phn t c s u vo ln
Cc cch thit k mch hai tng vi cc phn t cho trc
Tng1 / tng 2
AND
OR
NAND
X
CTT
X
AND

NOR
1. CTH

47

2. f , D
1. CTT
CTH

OR

2. f , D
1. CTT

1. CTH
NAND

2. tp , D

2. f , D

1. CTT
X

NOR

X
X
1. CTH

2. tp , D

2. f , D

Ghi ch:
f : ph nh hai ln hm f
tp : ph nh hai ln tng thnh phn
D: p dng lut Demoorgan
Cc gi tr tn hiu vo Xi v Xi c sn
Trn cng mt tng ch s dng mt loi phn t (AND, OR, NAND, v NOR)
Nhng phn t ny c s u vo khng hn ch
v d: Cho hm logic f =

0,1,5,6,7

Trc khi xy dng s ta cn thc hin ti thiu ho hm trn theo dng CTT v
CTH
Biu din hm f trn bng Karnaugh
C / AB

00

01

11

10

T bng Karnaugh d dng vit c:

f = A.C + B.C + A.C


f = ( A + B + C )( A + C )
Da vo bng kt hp u vo v u ra ta c th xc nh c s mch cho f nh sau:
1. Tng 1 dng mch AND, tng 2 dng mch OR
f = A.C + B.C + A.C
2. Tng 1 dng mch OR , tng 2 dng mch AND
f = ( A + B + C )( A + C )
3. Tng 1 dng mch OR, tng dng mch NAND
+ Vit f di dng CTT f = A.C + B.C + A.C

48

+ Ph nh hai ln hm f, sau p dng 2 ln lut D


f = A.C + B.C + A.C
f = ( A + C ).( B + C )( A + C )
4. Tng 1 dng mch NAND, tng 2 dng mch AND
+ Vit f di dng CTH f = ( A + B + C )( A + C )
+ Ph nh 2 ln cc thnh phn v p dng De Morgan
f = ( A + B + C )( A + C )
f = A.B.C. A.C
5. Tng 1 dng mch NAND, tng 2 dng mch NAND
+ Vit hm di dng CTT f = A.C + B.C + A.C
+ Ph nh hai ln hm f v p dng De Morgan
f = A.C + B.C + A.C
f = A.C.B.C. A.C
6. Tng 1 dng mch NOR, tng 2 dng mch OR
+ Vit hm di dng CTT f = A.C + B.C + A.C
+ Ph nh 2 ln cc thnh phn sau p dng D
f = A.C + B.C + A.C
f = A+C + B+C + A+C
7. Tng 1 dng NOR, tng 2 dng mch NOR
+ Vit hm di dng CTH f = ( A + B + C )( A + C )
+ Ph nh 2 ln f v p dng D
f = ( A + B + C )( A + C )
f = ( A + C) + ( A + B + C)
8. Tng 1 dng mch AND v tng 2 dng mch NOR
+ Vit hm f di dng CTH f = ( A + B + C )( A + C )
+ Ph nh 2 ln hm s f v p dng D
f = ( A + B + C )( A + C )
f = ( A + C) + ( A + B + C)
f = ( A.C ) + ( A.B.C )

49

b. Mch nhiu tng

Khi s u vo ln hn s u vo cho php ca phn t cho trc lc phi tng s


rng ca mch. S dng cc s thay th nh sau:
U9A
U6A

U11
U5A
U7A

U1D

U9B

U6B

U10A
U4
U2D

U10B

U12A
U8A
U13A

U12B

3. Thit k mt h hm t hp

C hai cch thit k mt hm t hp l thit k ring tng hm hoc thit k c phn


chung hn ch s u vo.

50

CHNG 6:
MT S MCH T HP THNG GP
I. B CNG NH PHN MT CT S
1. Phn tch bi ton

M hnh ton hc ca b cng y 1 bit (FA Full adder)

Ai

Si

B cng 1
bit
FA

Bi
Ci-1

Ci

trong Ai v Bi l cc s nh phn th i ca A, B a vo cng


Ci-1 l s nh ca ct c trng s nh hn bn cnh ( ca php tnh trc )
Si l l ch s ca tng ct th i Si = Ai Bi C i 1
Ci l s nh a n ct c trng s ln hn bn cnh Ci = Ai.Bi + C i 1 ( Ai + Bi )
Ch : Php cng 2 s nh phn lun bt u t ct s c trng s nh nht
Bng chn l ca php cng y mt bit
Ai

Bi

Ci-1

Si

Ci

51

2. Xy dng s

C th xy dng b cng theo 1 trong 2 cch nh sau:


+ Xy dng trc tip t h phng trnh ca Si v Ci
+ Xy dng t cc b bn tng (HA Half Adder). y l phng php c s dng
nhiu trong thc t v di y ta s xem xt ti phng php ny.
B bn tng l b c bng chn l sau:
A

T bng chn l rt ra c:

S = A B
C = A.B

Nh vy s ca b bn tng nh sau:

HA

U1A
S

T phng trnh ca b tng y

U2A
C

Si = Ai Bi C i 1
Ci = Ai.Bi + C i 1 ( Ai + Bi )
ta xy dng c s ca b tng FA bng 2 b HA v 1 cng OR nh sau:

A
B

C1
HA
1

Ci

S1

C2
Ci-1

HA
2

S2

Si

chng minh:
Si = S 2 = Ci 1 S1 = Ci 1 A B
Ci = C1 + C 2 = AB + C i 1 .S1 = AB + C i 1 ( A B)
Ci = AB + C i 1 ( AB + AB) = A( B + B.C i 1 ) + B( A + A.C i 1 )
Ci = A( B + C i 1 ) + B ( A + C i 1 ) = AB + C i 1 ( A + B)

Nguyn l hot ng ca b cng nh phn.

52

Rt nhiu mch logic cn cc thit b c kh nng cng 2 s nh phn. Mt b cng c


th tnh ton mt php cng nh phn. V u ra ph thuc u vo ti mt thi im xc nh
theo yu cu, nn s s dng mch logic t hp.

Hnh trn l s ca 1 b bn tng 1-bit v mch ton tng. S d c gi l b bn


tng v n khng cng bit nh ti u vo, mt vic thng yu cu khi cng nhng s c
nhiu s hng.
cng cc s vi nhiu s hng, mch phi c kh nng x l thm 1 u vo na.
u vo ny l kt qu ca php cng t tng trc. Mch nh vy, c gi l mch ton
tng (Full Adder).
Ghp ni tip cc b cng.

B ton tng s l phn t c s cho vic xy dng b cng n-bit. Hnh bn ch ra lm


th no m cc u vo v ra ca mt phn t cng n l c th c ni vi nhau to
thnh b cng 3-bit.
Hon ton tng t vi cc b cng nhiu bit khc.

53

II. B TR NH PHN MT CT S

Cch lm hon ton tng t nh khi xy dng b cng nh phn 1 ct s. Ngha l xy


dng bng chn l, ti thiu ho , sau xy dng trc tit hot dng b bn tr to ra b
tr y
Bng chn l ca b tr y (FS Full Substructor) nh sau:
Bi
Ai
Hi
Ci
Ch s th i ca Ch s th i ca S nh t ct c Ch s th i ca
s tr
s b tr
php tr
trng s nh hn
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

0
1
1
0
1
0
0
1

Ci
S nh a ti
ct c trng s
ln hn
0
1
1
1
0
0
0
1

T bng chn l ta ti thiu ho hm Hi v Ci bng bng Karnaugh ta nhn c:


Hi = Ai Bi C i 1
Ci = A.B + C i 1 ( A + B)

Bng chn l ca b bn tr (h s Half Substructor)


A
B
H
0
0
0
0
1
1
1
0
1
1
1
0

C
0
1
0
0

H = A B
C = A.B
Khi ta c s b bn tr v b tr y nh sau:

A
B

C1
HS
1

Ci

H1

C2
Ci-1

HS
2

S2

Hi

Chng minh:

54

Hi = C i 1 H 1 = Ci 1 A B
Ci = AB + A B.Ci 1 = AB + ( AB + AB).Ci 1

U2A

HS

Ci = C1 + C 2 = AB + H 1.Ci 1

Ci = A( B + B.Ci 1 ) + B( A + ACi 1 )

U1A

U3A
C

Ci = A( B + Ci 1 ) + B( A + C i 1 )
Ci = AB + C i 1 ( A + B)

Ch : trn thc t t khi ngi ta s dng b tr m thng bin thnh php cng hay dng
m b s dng cc b cng
III. B SO SNH COMPARATOR
Mt b so snh s thc hin php so snh 2 s nh phn v kt qu s c th hin ti
u ra.
B so snh nh phn thng thng c ba u ra: A=B, A>B, A<B. V cc u ra ch ph
thuc vo trng thi tc thi ca u vo nn mch khng c cc phn t nh.
1. B so snh 2 s nh phn 1 bit
Bng chn l cho cc hm ra ca b so snh nh sau:
A
B
A=B A>B
0
0
1
0
0
1
0
0
1
0
0
1
1
1
1
0

A<B
0
1
0
0

T bng chn l trn ta thy:


Hm (A = B) = A B = AB + AB

Hm NXOR

Hm (A > B) = A.B

Hm cm B (inhibition)

Hm (A < B) = AB

Hm cm A

Di y l s ca b so snh 1 bit theo nguyn l v s c th dng cc cng


NAND.
U5A
f2
U4B

U4A

U4D

U5B
f1

U4C
B

vi

U5C
f3

f1 l hm (A = B)
f2 l hm (A > B)
f3 l hm (A < B)

55

2. B so snh n bit

Gi s c 2 s nh phn n bit A v B c biu din nh sau:


An An 1 .... A1
Bn Bn 1 .....B1
trong An, Bn l ct s c trng s ln nht v A1, B1 l ct s c trng s nh nht
xy dng s b so snh ny c 2 cch nh sau:
+ Xy dng trc tip cc hm f1, f2 v f3 (thc cht l xy dng 1 h 3 hm logic, mi
hm 2n bin)
+ Xy dng s gin tip t cc b so snh 1 bit c sn
Xt v d vi n bng 3
A = A3A2A1
B = B3B2B1
Khi ta thy:
(A = B)

(A3 = B3)(A2 = B2)(A1 = B1)

(A > B)

(A3 > B3) + (A3 = B3)(A2 > B2) + (A3 = B3)(A2 = B2)(A1 > B1)

(A < B)

(A3 < B3) + (A3 = B3)(A2 < B2) + (A3 = B3)(A2 = B2)(A1 < B1)

S dng cc b so snh 1 bit (A1, B1), (A2, B2) v (A3, B3), ta s c s mch thc
hin so snh 3 bit nh sau:
U7A

U1B

f1

U1C

U5D

U7C
f2

U7B

U1D

U6A

U8A
f3

U8B

Vi mch so snh nh phn 4-bit.

Vi mch SN74LS85 c mt b so snh cc s nh phn 4-bit.

56

Di y l s chn v s c th bn trong ca vi mch thc hin

57

IV. B TO V KIM TRA CHN L - PARITY GENERATOR AND CHECKER

Phng php kim tra chn l l mt phng php n gin nht xc nh li trong
vic truyn d liu. Phng php ny c thc hin bng cch thm 1 bit d liu c
truyn i sao cho s ch s 1 trong d liu lun l mt s chn hoc s l. Bit thm vo
gi l bit chn l.
Bit chn: nu bit thm vo c gi tr sao cho s ch s 1 trong d liu l mt s chn
(Even)
Bit l: nu bit thm vo c gi tr sao cho s ch s 1 trong d liu l mt s l (Odd)

thc hin c vic truyn d liu theo kiu a thm bit chn , l vo d liu cn
xy dng:
+ S to c bit chn, l thm vo n bit d liu
+ S kim tra c h l h chn hay l vi (n+1) bit u vo (n bit d liu v 1 bit
chn / l)
1. Mch to bit chn l
Xt v d trong trng hp d liu 3 bit, trng hp s bit ln hn c th thc hin
hon ton tng t.
Gi 3 bit d liu l d1, d2, d3 v Xe, Xo l 2 bit chn, l thm vo d liu. Xe l gi tr
bit phi thm vo h l h chn , Xo l gi tr bit phi thm vo h l h l. Bng chn l
ca mch to bit chn l cho trng hp d liu 3 bit nh sau:
Vo
Ra
d1

d2

d3

Xe

Xo

T bng chn l ta c:
Xe = d1 d 2 d 3
Xo = Xe = d1 d 2 d 3
2. Mch kim tra chn l

Bng chn l ca mch kim tra tnh chn l ca h cho


Vo

Ra

d1

d2

d3

Fe

Fo

58

T bng chn l ta thy


Fe = d1 d 2 d 3 X
Fo = Fe
Fe ch ra tnh chn ca h, ngha l nu h chn Fe = 1
Fo ch ra tnh l ca h, ngha l nu h l Fo = 1
Ch : phng php kim tra chn l ch pht hin c li n hoc s li l l m khng
pht hin c li chn v khng c kh nng sa li

59

V. MCH PHN LOI NGT

Mch phn loi ngt l mch c nhim v:


+ Ti thi im t nu c t nht 1 trong cc thit b ngoi vi cng gi yu cu ngt ti b
VXL th mch phi to ra tn hiu yu cu ngt IR (interrupt request) ti b VXL
+ Ti thi im t nu c nhiu thit b ngoi vi cng g yu cu ngt ti VXL th mhc
phi ch ra cc thit b ngoi vi no cn u tin gii quyt ngt ti thi im .
S khi ca b phn loi ngt c cho trong hnh di y
Trong (A1, A2 ..An) l cc t hp tng ng vi cc thit b ngoi vi (TBNV)
IR = khi c t nht 1 trong 2n TBNV c yu cu ngt ti b VXL
Nh vy b phn loi ngt c 2n u vo v n+1 u ra
I1

TBNV 1

IR
I2

TBNV 2

TBNV 2

B
phn
loi
ngt

I2

B
VXL

A1

An

V d: xy dng s b phn loi ngt cho 4 thit b ngoi vi, vi gi thit cc thit b ngoi
vi c u tin ngt theo th t P3, P2, P1, P0
Nh vy b phn loi ngt s c 4 u vo v 3 u ra ( IR v AB l a ch ca cc
TBNV). Khi ta c bng chn l ca b phn loi ngt nh sau:
Vo

Ra

P3

P2

P1

P0

IR

T bng chn l xc nh c cc hm u ra nh sau:


IR = P1 + P2 + P3
A = P3 + P 2.P3
B = P3 + P1P 2 P3
VI. B CHN KNH V PHN KNH (MULTIPLEXER AND DEMULTIPLEXER)
1. B chn knh
B dn knh l mch c 2n u vo d liu X, n u vo a ch A, 1 u cho php En
v 1 u ra Y (c th c mch c thm u ra Y )

60

Nhim v ca b chn knh l chuyn thng tin t mt u vo d liu c a ch c


xc nh nh cc u vo a ch n u ra khng o khi u vo cho php trng thi tch
cc.
Tu theo gi tr ca n u vo a ch m u ra s bng mt trong nhng gi tr u
vo Xj. C th l nu gi tr thp phn ca t hp (An-1An-2A0) bng j th Y =Xj nu khi
En = 1.

En

Hnh bn l s khi ca mt
chn 1 u vo t 2n u vo
Hin nay b MUX c dng
phn t vn nng xy dng nhng
hp khc, c th l:

b MUX
nh mt
mch t

X0
X1

MUX
2n 1

+ To hm logic
+ To cc dy xung
+ Truyn d liu

X2n - 1

+ MUX c dng nh b
thng tin dng song song u vo
tip u ra

chuyn
thnh ni

An-1 An-2

A0

+ Gii m a ch
+.
2. B phn knh
En

B chn knh l mch c 1 u


liu X, n u vo a ch A, 1 u cho
v 2n u ra Y

d
En

Y0

Hnh bn l s khi ca b
Nhim v ca b phn knh thc
chc nng gii m t mt a ch ca
cho to tn hiu iu khin ca knh
chuyn thng tin t mt u vo d
ch c xc nh nh cc u vo a
u ra khng o khi u vo cho php
thi tch cc.

vo
php

DEMUX
X

MUX
1 2n

Y1

Y 2n - 1

cht l c
knh

l
liu c a
ch
n

trng

An-1 An-2
A0
Tu theo gi tr ca n u vo
a ch m
u ra th i (Yi) s bng gi tr u
vo X. C
th l nu gi tr thp phn ca t hp (An-1An-2A0) bng i th Yi = X nu khi En = 1.
VII. B CHUYN M

Trong cc h thng in t dng mch s, d liu c x l v truyn i di dng t


nh phn n bit, mt t n bit c th biu din cho 2n phn t tin khc nhau. T nh phn n bit
gi l m (code) ca phn t tin tc. C rt nhiu loi m khc nhau c s dng cho tng
mc ch khc nhau, di y ta s xt n cc m tiu biu v cc mch t hp thc hin
chuyn m, gm 2 loi l m ho v gii m (ENCODER v DECODER)
1. Cc loi m tiu biu
a. M k t

61

+ M ASCII (American Standard Code for Information Interchange): dng 8 bit m


ho cho bng ch ci v mt s k t c bit (m ny c s dng rng ri nht m ho
k t cho cc h thng x l vn bn)
+ M EBCDI (Extended Binary Coded Decimal Interchange): dng 8 bit m ho cho
k t
+ M BAUDOT: dng 5 bit biu din cho 1 k t, thng dng cho teletype v bu
in
b. M s

Cc loi m thng s dng l nh phn, d 3, Gray, BCD


Phn ny c m t c th trong chng 1.
Ngoi ra cn mt s loi m c bit nh m sa sai l m ngoi cc bit mang thng tin
cn c mt s bit thm vo pht hin v sa li, vi d: m chn l, m CRC . M 7 vch
c di bng 7 dng biu din ch s thp phn bng n 7 thanh.
2. Mch m ho - lp m (ENCODER)
Gi s t m c n bit, khi s c 2n b gi tr khc nhau biu din cho cc k hiu
hoc lnh. Nh vy mi loi m ch c s k hiu hoc lnh <= 2n
Ch : nu N < 2n th s t hp khng dng n c th c dng cho cc mc ch khc, v
d nh pht hin hay sa sai.
Di y l v d v vic mch thc hin m ho to m BCD 8421 (hay cn gi l
BCD t nhin, NBCD)
B m ho Thp phn sang BCD.

Mt b chuyn m t thp phn sang BCD, thng c gi l b m ho, phi c s


dng trong cc h thng s v cc mch logic v c bn l cc thit b nh phn.
Mt b gii m thp phn sang BCD l mt mch t hp gm 10 u vo (gi tr thp
phn, t 0 n 9), v 4 u ra nh phn. Bn u ra thp phn m ho cc s khng ln hn
9, cho nn c gi l s BCD (Binary Coded Decimal). Trng hp c nhiu hn mt u
vo tch cc th phi s dng b m ho u tin, m ch m ho u vo no c trng s ln
nht. Nh bit, m BCD 8421 dng 4 ch s h 2 m ho cc con s t 0 ti 9 ca h 10
v c trng s 8,4,2,1. Ta c bng chn l nh sau:
S h 10
0
1
2
3
4
5
6
7
8
9

A
0
0
0
0
0
0
0
0
1
1

B
0
0
0
0
1
1
1
1
0
0

C
0
0
1
1
0
0
1
1
0
0

D
0
1
0
1
0
1
0
1
0
1

T bng chn l ta c:
A=8+9

62

B=4+5+6+7
C=2+3+6+7
D=1+3+5+7+9
Nh vy mch thc hin m ho 10 BCD 8421 c s nguyn l nh sau:
U1A
D

U1B
C

U2A
B

U3A
A

D0
D1

D2

D3 D4 D5 D6

D7 D8 D9

Khi mt trong cc u vo D0 D9 c mc in p cao th cc ng ra ABCD s c


tn hiu tng ng. V d D5 c mc in p cao cn cc ng khc c mc in p thp ,
ngha l ta mun m ho s 5, khi cc ng ra B v D c mc in p cao cn A v C c
mc in p thp, tc ta c ABCD = 0101 nh mong mun.
Ch : v cu to, n gin mch OR thng ch to theo kiu DL (diode logic).
Khi mch tr thnh nh sau:

63

Ch : Mch in ca b m ho khng c mc u tin (tc khng c nhiu ng vo cng


D

D0
D1

D2

D3

D4

D5

D6

D7

D8

D9

mc cao) c ch ra nh hnh D09.3. B m ho khng c u vo 0 v, thng thng,


n khng cn n trong cc mch logic. Cng c th thc hin b m ho trn theo s
di y:

3. Mch gii m (DECODER)

Mch ny c chc nng ngc vi b m ho,ngha l t b bit n bit h 2 cn tm li


c 1 trong N k hiu hoc lnh tng ng.
B gii m BCD sang thp phn.

B gii m BCD sang h thp phn l mt mch t hp c 4 u vo nh phn v 10 u


ra thp phn. u vo l m BCD v s kch hot u ra tng ng vi u vo.

64

Di y l bng chn l ca b gii m BCD-thp phn, vi u ra tch cc mc


logic m, ng vi vi mch SN 74LS42. C th thy rng cc s ln hn 9 s khng kch hot
bt k u ra no.
SN74LS42 l mt vi mch gii m BCD thp phn c s dng rng ri, di y l

s bn trong ca vi mch ny minh ho cho vic chuyn i m.

B gii m BCD sang 7 vch.

n 7 vch c s dng hin th d liu c x l bi thit b in t s. Chng


c th hin th cc s t 0 n 9 v cc ch ci t A n F v mt vi k t khc.
Thit b hin th ny c th c iu khin bi b gii m m s chiu sng cc vch
(on-segment) ca n ph thuc vo s BCD ti u vo. Cc b gii m ny cng cha cc
b m cng sut cp dng cho n, do vy, n cn c gi l b iu khin-gii m
(Decoder-Driver).

65

B m ho ny c 4 u vo tng ng vi 4 bit m BCD v 7 u ra, mi u s iu


khin mt vch ca n 7 vch. Hnh di ch ra m hnh ca cc vch trong thit b hin th
(n) 7 vch v cc s c th hin th.
Hin th 7 vch
n hin th 7 vch bao gm cc vch (on sng segment) nh. Chng c th biu
din ti 16 k t trong c 10 s v 6 ch ci nh hnh di y:

Cc m u vo t 0 -9 hin th cc ch s ca h thp phn. Cc m u vo t 9-14


ng vi cc k hiu c bit nh nu, cn m 15 s tt tt c cc vch.
on sng th 8 ca n hin th l du chm thp phn (dp). Cc thit b hin th loi
ny c nhiu kiu vi mu sc, kch thc khc nhau v c c tnh pht sng rt tt.
V mt in, cc LED hot ng nh diode chun, ch khc l khi phn cc thun i
hi in p gia anode v Cathode cao hn. c cng sng khng i, thit b hin th
phi c cp dng.
Cc thit b hin th 7 vch c th c cc tnh:
+ vi kiu cathode chung, iu khin bi mc logic dng.
+ vi kiu anode chung, iu khin bi mc logic m.
Vi mch TTL 74LS47 l mt b iu khin- hin th c dng ph bin. Vi mch ny c cc
u ra o do s dng vi LED anode chung. Hnh di y ch ra s chn v s
mch bn trong ca vi mch.

Chn LT (Lamp Test) c dng kim tra tnh trng hot ng (sng hay cht) ca
cc vch; trong khi chn RB (Ripper Blanking) c dng tt tt c cc vch khi yu cu
trng thi khng hin th s.

66

4. Thit k mch chuyn m

Cc bc thit k mch chuyn m hon ton tng t nh thit k mch t hp, ngha
l qua cc bc sau:
+ Lp bng chn l ca mch
+ Ti thiu ho cc hm ra
+ Xy dng s mch vi loi cng cho trc
5. Mt s vi mch chuyn m thng dng
Tn vi mch

74147
B m ho s h thp phn thnh m BCD
8421

7447 / 74LS47
Chuyn i m BCD thnh m 7 vch hin
th theo h 10 bng led 7 thanh c anode
chung

Hnh dng thc t


U14
74147
I9
I8
I7
I6
I5
I4
I3
I2
I1

A3
A2
A1
A0

U7
74LS47
A3
A2
A1
A0

g
f
e
d
c
b
a

test
RBI RBO

7442

U11
74LS42

Gii m BCD 8421 thnh s h thp phn


A3
A2
A1
A0

9
8
7
6
5
4
3
2
1
0

67

7448
Chuyn i m BCD thnh m 7 vch hin
th theo h 10 bng led 7 thanh c cathode
chung

U8
74LS48
A3
A2
A1
A0

g
f
e
d
c
b
a

test
RBI RBO

74LS138

74LS138

B gii m / phn knh 1 - 8

A2
A1
A0

Q7
Q6
Q5
Q4
Q3
Q2
Q1
Q0

E3
E2
E1

74154
B gii m / phn knh 4 ng thnh 16
ng

U10
74LS154
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
0

E1
E0
A3
A2
A1
A0

4028

U12
4028

Gii m BCD 8421 h 10


A3
A2
A1
A0

Q9
Q8
Q7
Q6
Q5
Q4
Q3
Q2
Q1
Q0

68

PHN III
MCH DY

69

CHNG 7:
CC PHN T NH C BN
I.KHI NIM CHUNG

Nh ni, mch dy l mch c tn hiu ra khng ch ph thuc vo tn hiu vo m


cn ph thuc vo trng thi trong ca mch, ngha l mch c kh nng lu tr nh trng
thi.
Cc phn t nh c bn to thnh mch dy c gi l cc flip-flop (mch bp
bnh), chng l cc phn t nh n bit v ch c kh nng nh c 1 ch s nh phn.
II. NH NGHA V PHN LOI
1. nh ngha
Flip flop / FF l phn t c kh nng lu tr 1 trong 2 trng thi l 0 hoc 1.
FF thng c nhiu u vo v 2 u ra c tnh lin hp (u ra ny l o ca u ra
kia), k hiu l Q v Q . Tn gi ca cc u vo tu thuc vo tng loi FF, s ni c th
Cc
u
vo
kh

Q
FLIP - FLOP

sau.
K hiu v tnh tch cc trong mch FF:

xung tch cc sn +

mc +
xung tch cc sn

xung tch cc mc +

sn +

sn -

mc -

xung tch cc mc -

70

2. Phn loi FF

C th phn loi FF theo 2 cch nh sau:

Flip-flop

Theo chc nng


D - FF

T - FF

RS - FF

Theo cch lm vic


JK - FF

ASYNC

SYNC

Normal

M/S

3. Biu din FF

m t mt FF ngi ta c th dng 1 trong 3 cch sau:


+ Dng bng chn l
+ hnh chuyn i trng thi
+ Phng trnh c trng
III. CC LOI FF V IU KIN NG B
1. Flip-Flop kiu RS
RS FF l mch Flip-Flop n gin nht ch c 2 u vo iu khin R (reset xo) v S
(set thit lp), RS-FF c th c xy dng t 2 cng NAND hay 2 cng NOR. Hnh di
y ch ra bng trng thi rt gn v s ca mch vi cc cng NAND v k hiu ca RS -

FF
R, S l cc u vo iu khin
Qn l trng thi ca FF ti thi im hin ti t
Q l trng thi s chuyn ti ca FF sau thi gian qu , tc trng thi ca FF thi
im tip theo
Gi thit, ti thi im bt u, S=1 v R= 0. Mc u ra ca cng 1 l thp (0) v iu
ny to nn trng thi cao trn u ra ca cng 3 (Q=1). Tuy nhin, u ra ca cng 2 mc

71

cao, bi th cng 4 c c hai u vo u mc cao (t cng 2 v 3) nn u ra ca n s


mc thp ( Q =0). Flip-Flop trng thi SET v u ra Q =1 bt k Qn trc l 0 hay 1.
Khi S=0 v R=1, Flip-Flop s chuyn trng thi v u ra: Q=0; Q =1. Trng hp ny,
Flip-Flop c RESET hay xo v 0, trng thi logic 0 trn Q d trc Qn l 0 hay 1.
Trng thi m trong , c hai u vo u mc R = S = 0 c gi l trng thi nh,
v u vo s duy tr trng thi trc , Qn.
Nu u vo SET v RESET ng thi mc cao (S = R = 1), ta s c trng thi sau:
Q = Q = 1.
c coi l trng thi khng xc nh (khng s dng hay cm) R-S Flip-Flop khng
c thit k hot ng trong trng thi R=S=1.
Nhn xt:
+ Phng trnh c trng ca RS FF l Q = S + Qn.R
+ S lun a Q v ga tr 1
+ R lun a Q v gi tr 0
+ FF tt, tc chuyn trng thi t 1 sang 0 vi phng trnh Toff = S RQn
+ FF bt, tc chuyn trng thi t 0 sang 1 vi phng trnh Ton = S RQn
RS Flip-Flop vi u vo xung nhp

Cc h thng tun t thng yu cu cc Flip-Flop thay i trng thi ng b vi


xung nhp. Khi ngi ta coi FF nh mt mch cht hay RS FF ng b hay RST FF hay
RS FF nhp. iu ny c th thc hin c bi vic thay i mch nh sau:
Khi cha c xung nhp, Flip-Flop s gi nguyn trng thi khng ph thuc vo R v S

(trng thi nh), ngha l trng thi ca FF b cht li .


Khi c xung nhp:
nu R = S = 0, u ra ca Flip-Flop s khng i;
nu R = 0, S = 1, Flip-Flop s c trng thi u ra: Q = 1, Q = 0;
nu R = 1, S = 0 ta s c trng thi u ra: Q = 0 v Q = 1.

72

Tm li: Khi khng c xung nhp FF khng thay i trng thi (khng ph thuc vo tn hiu
u vo iu khin) v ch khi c xung nhp Ck mch mi lm vic theo bng chc nng (ph
thuc vo tn hiu u vo iu khin)
Cc bin th ca RS FF

s dng c c t hp cm R = S = 1 ngi ta ch to cc bin th ca RS FF


nh FF R, FF S v FF E. Cc FF ny c s dng kh rng ri trong cc khu iu khin ca
h thng s.
Flip Flop R: ng vi t hp cm u ra Q = 0
Flip flop S : ng vi t hp cm u ra Q = 1
Flip flop E: ng vi t hp cm FF khng chuyn trng thi
2. JK Flip-Flop.
JK FF l mt loi FF vn nng v c nhiu ng dng
JK Flip-Flop cng tng t nh mt R-S kho v c cc u ra hi tip v u vo nh
hnh di y

Mt u im ca J-K Flip-Flop l n khng c trng thi khng xc nh nh ca R-S


khi c hai u vo mc 1.
V d, nu J = K = 1; Q = 1 v Q = 0; khi c xung nhp n, ch c cng 2 cho php truyn d
liu vo, cn cng 1 s ngn li. Mc 0 ti u ra ca cng 2 s khin cho phn t nh chuyn
trng thi. Nh vy, khi cc u vo u mc cao, u ra s o hay lt (toggle) trng thi
ti mi xung nhp vo.
Nhn xt:
+ Phng trnh c trng ca JK FF c dng: Q = J .Q + KQ
+ C s tng ng gia JK v RS, J tng ng vi S, K tng ng vi R nhng t hp
11 trong JK vn c s dng m khng b cm nh trong RS
+

JK = 00

FF lun gi nguyn trng thi

JK = 01

FF lun chuyn n trng thi 0

JK = 10

FF lun chuyn n trng thi 1

JK = 11

FF lun lt trng thi

JK Flip-Flop ch c mt kh nng cho trng thi khng xc nh, l khi di xung


nhp ln hn thi gian truyn t. Gi thit, Flip-Flop ang trong trng thi:
Q = 0 , Q =1 v J = K = 1;
Khi c xung nhp n, u ra s o trng thi sau mt khong thi gian truyn t t :

73

Q = 1 v Q =0;
Tuy nhin, do vn c xung nhp kch thch, u ra s hi tip tr li u vo khin mch
c xu hng dao ng gia 0 v 1. Bi th, ti thi im cui ca xung nhp, trng thi ca
Flip-Flop s khng c xc nh. Hin tng ny gi l hin tng ua vng quanh v c
th gy nn chuyn bin sai nhm ca mch. Ngi ta khc phc hin tng ny bng cch s
dng mch JK FF kiu ch t.
JK Flip-Flop kiu ch t.

JK FF kiu ch t c s cu trc nh sau:

Mch bao gm 2 na ging nhau, mi na l mt RS Flip-Flop, FF th nht gi l FF


master (ch) v FF th 2 gi l FF slave (t). u vo ca FF ch l u vo ca mch v u
ra ca FF t l u ra ca mch. Tn hiu hi tip t u ra ca FF t v u vo ca FF ch.
Cc xung a ti phn t l o vi xung a ti phn ch.
Cc u vo Preset v Clear s c chc nng ging nh ca u vo Set v Reset.
Chng tc ng n u ra mt cch khng ng b, tc chng s thay i trng thi u ra
m khng ph thuc vo s c mt ca xung nhp; v ch yu a u ra v mt trng thi
bit no . (ngi ta cn gi y l cc u vo iu khin trc tip)
Gi thit cc u vo ny l khng tch cc (khi Pr = Cl = 1), khi c xung nhp n,
Flip-Flop s thay i trng thi nh trong bng chn l sau:
CK

Qn+1

Qn

Qn

Qn

Vi Qn+1: trng thi k tip;


Qn : trng thi trc .
x: trng thi khng xc nh.
Trong khong thi gian xung nhp l cao, phn T kho, bi th cc u ra Q v Q s
khng thay i. Khi xung nhp chuyn t 1 v 0, khi T s chuyn trng thi trong khi khi

74

Ch s kho. Ni cch khc, d liu trn J v K trc tin c truyn n khi Ch ti sn


tng ca ca xung nhp v truyn ti khi T ti sn xung; nh vy, trng thi khng xc
nh ca u ra nh trng hp J-K Flip-Flop s c loi b.
3. D Flip-Flop
D FF l loi FF ch c mt u vo iu khin D
U3A
S
D

Q
_
Q

CP
R

Phng trnh c trng ca

D l Q = D
Thc cht D FF chnh l mt khu tr c thi gian t l thi gian qu ca mch. u
ra Q chnh l tr ca u vo sau khong thi gian t, v vy FF ny c tn l D FF (delay FF)
Ch to D FF t JK FF

Nu t mt JK Flip-Flop thm vo mt b o nh hnh di th u vo K lun l b


ca J v s to nn mch D Flip-Flop. Hot ng ca n rt n gin, khi c xung ng h
n, d liu ti u vo s c truyn v gi nguyn ti u ra.

Ngoi ra cng c th ch to D FF t RST FF bng cch thm cng NOT gia hai u
vo S v R tng ng vi J v K nh hnh trn.
Bin th ca D FF

Trn thc t ngi ta s dng bin th ca D l DV FF. Loi FF ny c bng trng thi
v s xy dng t cc cng NOR nh sau:

Qn+1

Qn

Qn

U1A
U1D
Q

U1B

V
U1C

75

T bng trng thi ta thy:


+ Khi V = 1 FF DV hot ng nh mt FF D thng thng
+ Khi V = 0 FF khng i trng thi vi bt k mc logic no ca D
4. Flip-Flop kiu T.
FF T l mt FF c 2 u ra v 1 u vo T. T FF c bng trng thi nh sau:
T

Qn+1

Qn

Qn

Khi T = 0

FF gi nguyn trng thi

Khi T = 1

FF lt trng thi (toggle)

Phng trnh c trng ca T FF: Q = T Qn


Nh vy mch T FF thay i trng thi tun t theo mi ln c xung kch thch
Ch : Khi u vo T c thi gian tn ti mc logic cao trong mt khong di hn so vi
thi gian chuyn trng thi (thi gian tr) ca mch th mch s tip tc lt trng thi ti khi
ht thi gian tn ti mc logic cao ca T, qu trnh lm cho vic xc nh chnh xc
mch ang trng thi no l khng th, do T ch c th lm vic ch ng b (v
thc t thi gian tn ti mc logic cao ca T lun ln hn rt nhiu thi gian tr ca mch)
Ch to T FF t JK FF

R rng T FF n gin l mt JK Flip-Flop vi c J v K u mc logic 1.


V J = K = 1 nn Flip-Flop ny s lt
(Toggle) trng thi mi khi xung nhp chuyn t
1 v 0.
Hnh bn l s mch v k hiu ca T
Flip-Flop .
Bin th ca T FF

Trn thc t ngi ta s dng bin th ca T l TV FF. Loi FF ny c bng trng thi
nh sau:
T bng trng thi ta thy:

Qn+1

Qn

+ Khi V = 1 FF TV hot ng nh mt FF T thng


thng

Qn

+ Khi V = 0 FF khng i trng thi vi bt k mc


logic no ca T

Qn

Qn

Nhn xt chung v ch lm vic ca cc loi FF:

+ Cc D FF v RS FF c th lm vic ch ng b

76

hoc khng ng b v vi mi tp tn hiu vo iu khin lun tn ti t nht 1 trong cc


trng
thi
n
nh
(Q = Qn)
+ Cc T FF v Jk FF khng th lm vic ch khng ng b v mch s ri vo
trng thi dao ng (chuyn trng thi lin tc gia 0 v 1). Khi JK = 11 hoc T = 1 hai loi
FF s dao ng, do chng lun phi lm vic ch ng b.
IV. CHUYN I GIA CC LOI FF
4 loi FF va xt trn c th chuyn i ln cho nhau
Phng php chuyn i gia loi FF i thnh FF j c m hnh ho theo s sau:

Mch
logic

FF
loi i
Q

Cc bc thc hin:
+ Xc nh h hm i = f(j, Q) theo bng cc u vo kch ca cc FF
+ Ti thiu ho cc hm ny v xy dng s
Bng u vo kch ca cc FF

Qn

Qn+1

JK

RS

0X

X0

1X

01

X1

10

X0

0X

v d: thit k mch t hp chuyn i RS FF thnh JK FF


Trc ht ra s thit k mch logic ca hm
R = f(Q, J, K)
S = g(Q, J, K)
Lp bng Karnaugh ca R theo Q, J, K ta c:
Q / JK

00

01

11

10

77

X
1

Vy: R = QK
Lp bng Karnaugh ca S theo Q, J, K ta c:
Q / JK

00

01

0
1

11

10

1
X

Vy: S = J. Q
Nh vy mch thc hin chuyn i t RS FF sang JK FF s c dng nh sau:

U2B
U1
S
R

Q
_
Q

U2A
K

78

CHNG 8
B M
I. NH NGHA V PHN LOI
1. nh ngha:
B m l mt mch dy tun hon c cc c im sau:
+ Mt u vo( m) v mt u ra(kt qu)
+ S trng thi trong bng h s m
Di tc dng ca tn hiu vo, b m s chuyn trng thi ny n trng thi khc,
theo mt trnh t nht nh. C sau K tn hiu vo m, mch li tr v trng thi ban u:

Tn hiu m: X

Tn hiu ra: Y

B m
(h s m K)

S khi ca b m

2. Phn loi
a, Phn loi theo cch lm vic:
+ B m ng b(Synchronous Counter) l b m m cc FF dng( m ho cho
cc trng thi trong ca b m) cng mt lc khi c tn hiu vo m m khng qua cc
trng thi trung gian. Cc tn hiu xung nhp(Ck) c a ng thi vo cc FF

Si
0001

Si
0010

+ B m khng ng b(Asynchronous Counter), trong b m tn ti t nht mt


cp chuyn trng thi(Si ->Sj) m trong cc FF khng thay i trng thi cng mt lc, tn
hiu xung nhp Ck khng c a ng thi vo cc FF.
Si
0001

Si+1
0010

Si+m

Sj
0100

Gi s ban u b m khng ng b ang trng thi cn bng n nh Si, khi c tn hiu


vo m ch c mt s FF b tc ng, sau s thay i trng thi ca FF ny s lm thay
i trng thi ca FF khc, cho n khi b m trng thi cn bng n nh
b. Phn loi theo h s m:
- B m c h s m K = 2n (trong n l s t nhin), v d K=2, 4, 6, 8, 10,...
- B m c h s m K # 2n (trong n l s t nhin), v d K=3, 5, 7, 9, 11,...

79

c. Phn loi theo hng m


- B m thun, cn gi l b m tng: mi khi c tn hiu vo m gi tr ca b
m tng ln 1
- B m nghch, cn gi l b m gim: mi khi c tn hiu vo m gi tr ca b
m gim i 1.
Khi nim b m thun nghch trong k thut s ch mang tnh tng i, tu theo
vic m ho(quan im) m b m s l thun hay nghch, c ngha l mt b m s l m
thun khi m ho kiu ny, nhng s l m nghch khi m ho kiu khc.
C th c loi th 3 l va thun va nghch tu theo tn hiu iu khin.
d. Phn loi theo kh nng lp trnh
- B m c kh nng chng trnh ho
- B m khng c kh nng chng trnh ho.
Ch : mt b m c th thng bao gm tt c cc c tnh trn: v d B m c K=9,
m tng, ng b, khng th chng trnh...
3. hnh trng thi ca b m:

X/0

X/0

X/0

1
X/0

X/0

K-2
X/0

K-1
X/0

X/1

hnh trng thi ca b m

nh: l cc trng thi bn trong ca b m, gm c K trng thi, tc l c K nh.


Cung: L tn hiu vo m/ kt qu ra m; khi khng c tn hiu vo m(tn hiu
vo m khng tch cc)- X = 0(o), trng thi ca b m gi nguyn; khi c tn
hiu vo m(tn hiu vo m tch cc), b m thay i trng thi. Tn hiu ra ca
b m ch xut hin(Y=1) khi b m trng thi K-1 (SK-1) v c tn hiu vo X

Tc l Y=(SK-1)X
V khi c tn hiu ra mch s tr v trng thi ban u, v qu trnh m tip tc.
VD: Gi s m s xe trn mt on ng, theo nguyn tc c xe i qua mt vch ngang th
tin hnh m
Khi , tn hiu vo m tch cc X chnh l xe i qua vch, gi s khi tin hnh m
cha c xe no i qua, khi c 1 xe i qua, th trng thi b m thay i: s xe tng ln 0->1,
c nh th cho n 9, khi n 9 th nu c mt xe i qua ta s c 1_0 xe, vit 0 nh 1(tn
hiu ra l 1- hng chc), b m quay v trng thi ban u 0(ta hay ni l vit 0 nh 1).
Ch : mun quan st c trng thi ca b m, th cn c mch di m, nh v d trn th
ting Vit quy c c l: mt, hai, ba, bn... th ngi bit ting Vit s hiu l c 1, 2, 3,
4,... xe i qua vch.

80

II. M CA B M.

Ging nh mch dy ni chung cc trng thi ca b m c m ho bi mt m c


th. Cng mt b m, c th c nhiu cch m ho cc trng thi trong.
Cc m thng dng thit k b m:
a. M nh phn:
M nh phn l loi m m cc bit ca n c trng s l 1-2-4-8-16-32-...., bt tr nht(LSB) l
1=20, bit tip theo tng ng l 2=21, ... , v bt gi nht MSB l 2n-1.
Dng n bit nh phn m ho c 2n trng thi.
b. M Gray:
L loi m khng c trng s, khong cch Hamming gia 2 t m k nhau l 1(2 t m k
nhau ch khc nhau mt bin).
Dng n bit nh phn m ho c 2n trng thi.
c. M BCD
L m nh phn m ho s thp phn, m ny dng 4 ch s nh phn m ho mt ch s
thp phn, chng c gi l decard. Ch dng 10 t hp m ho s t 0(0000) n
9(1001), cc s ln hn th s dng t hp ca chng.
V d: 12=0001.0010
Ch : cc loi m trn xem bng m
d. M Johnson:
Dng n bit nh phn, s m ho c 2n trng thi, theo nguyn tc:
+ Hai t m k nhau ch khc nhau mt bin.
+ Trong bng m cc bt bng 1 c y dn ln t bt tr nht n bt gi nht, v
khi y ht th n li vi dn i t bt tr nht(s bt 1 tng dn t tri sang phi, khi y th
li gim dn tri sang phi):
VD: n=2
t hp th nht : 0 0
t hp th hai : 1 0
t hp th ba : 1 1
t hp th t : 0 1
n=3

t hp th nht : 0 0 0
t hp th hai : 1 0 0
t hp th ba : 1 1 0
t hp th t : 1 1 1
t hp th nm : 0 1 1
t hp th su : 0 0 1

n=4

0000
1000
1100
1110
1111
0111
0011
0001

......
e. M vng:
M vng c nguyn tc lp m:
+ Dng n bt nh phn th m ho c n trng thi

81

+ 2 t m k nhau lun khc nhau 2 bin


+ Trong mt t m ch c duy nht mt bt l 1 v c dch t bt tr nht n bt gi
nht, cc bt khc l 0.
VD: n=3
100
010
001
n =4

1000
0100
0010
0001
.......

III.CC BC THIT K B M

Cng ging nh cc bc thit k mch dy, gm c 4 bc c bn nh sau:


- Bc 1: T bi ton cho, xc nh tn hiu vo m, h s m K, t v
hnh trng thi ca b m.
- Bc 2: M ho cc trng thi trong v Xc nh s FF(n) cn thit ca b m,
theo iu kin sau:
+ M nh phn huc m Gray: n log2K
n ly cn trn, nguyn
V d , K =8 => n log28 = 3, chn n=3
K =10 => n log210 3,4 ; chn n=4
+ M Johnson: n= K/2
+ M Vng:
n=K
S FF cng chnh l s bit cn thit lp m, m ho cc trng thi.
- Bc 3: Xc nh hm kch cho cc FF, v xc nh hm ra( ni phn nh
ngha b m).
Tu loi FF s dng m c hm vo kch khc nhau, c th thc hin da theo
2 yu t: + Da vo cc bng chuyn i trng thi, bng ra xc nh .
+ Da trc tip vo hnh trng thi.
Cc th nht trc quan d thc hin, nn c s dng nhiu hn.
- Bc 4: S mch thc hin, Cn c vo 2 phng trnh, v mch nguyn l:
+ Phng trnh u vo kch
+ Phng trnh tn hiu ra
IV. MT S DNG B M THNG GP
1. B m thun, ng b, h s m K= 2n, dng m nh phn.

H b m ny ch khc nhau h s K, sau y ta xt mt s v d


a, K = 4
- Bc 1: T bi ton cho, xc nh tn hiu vo m, h s m K, t v hnh
trng thi ca b m:
Gi s: + K hiu tn hiu vo m l X: tch cc(1); X d khng tch cc(0)
+ K hiu tn hiu ra m l Y: tch cc(1); Y khng tch cc(0)
K=4, nn s trng thi l 4, k hiu S0, S1, S2, S3
Ta c hnh trng thi nh sau:
X/Y

X/Y

S0

S1
X/Y

X/Y

X/Y

S2

S3
X/Y

X/Y

X/Y

82

- Bc 2: M ho cc trng thi trong v Xc nh s FF(n) cn thit ca b m.


S FF: n log24 = 2. u bi khng yu cu loi FF, gi s ta chon JK-FF, k hiu
ln lt l A v B.
Ta c cc t hp m ho :
AB
Trng thi
00
S0
01
S1
10
S2
11
S3
=> Dng Automat nh phn:

0/0

0/0

00

0/0

01

10

1/0

1/0

0/0

11
1/0

1/1

Nhn hnh ny ta thy tt c cc thng s, k hiu c s ho


- Bc 3: Xc nh hm kch cho cc FF, v xc nh hm ra
Gi s ti thi im t b m c tp cc trng thi S(i,j), ti thi im(t+1) thi im c xung
m xut hin b m chuyn sang trng thi S(i,j), cn c vo 2 tp trng thi ny ta xc
nh c u vo kch cho hai FF A v B, theo bng sau(xem phn u vo kch cho FF):
t
A B
0 0
0 1
1 0
1 1

t+1
A B
0 1
1 0
1 1
0 0

Q->Q
0->0
0->1
1->0
1->1

FF -A
JA KA
0
x
1
x
x
0
x
1

JK
0x
1x
x1
x0

FF -B
JB KB
1
x
x
1
1
x
x
1

83

Tip theo ta tin hnh ti thiu ho cc hm J v K

JA

B
0
1

KA

KA=B

B
0

JB=1

B
0

JA=B

JB

KB

B
0

KB=1

84

- Bc 4: S mch thc hin


True

FF-A

JA

FF-B
JB

_
A

KA

KB

B
Ck

Ck

Ck

a, K = 6
- Bc 1: T bi ton cho, xc nh tn hiu vo m, h s m K, t v hnh
trng thi ca b m:
Gi s: + K hiu tn hiu vo m l X: tch cc(1); X d khng tch cc(0)
+ K hiu tn hiu ra m l Y: tch cc(1); Y khng tch cc(0)
K=6, nn s trng thi l 6, k hiu S0, S1, S2, S3, S4, S5
Ta c hnh trng thi nh sau:
X/Y

X/Y

S0
X/Y

S1

X/Y

X/Y

S2

X/Y

X/Y

S3

X/Y

X/Y

X/Y

S4

X/Y

S5

X/Y

- Bc 2: M ho cc trng thi trong v Xc nh s FF(n) cn thit ca b m.


S FF: n log26 , chn n =3. u bi khng yu cu loi FF, gi s ta chon JK-FF,
k hiu ln lt l A, B, C.Nh vy s c 8 t hp trong khi ch cn 6 t hp m ho 6
trng thi, gi s khng dng 2 t hp 110, 111
Ta c cc t hp m ho :
A BC
000
001
010
011
100
101

Trng thi
S0
S1
S2
S3
S4
S5

=> Dng Automat nh phn:

85

0/0

0/0

000

0/0

0/0

010

001

1/0

1/0

0/0

011

0/0

101

100

1/0

1/0

1/0

1/1

- Bc 3: Xc nh hm kch cho cc FF, v xc nh hm ra


Gi s ti thi im t b m c tp cc trng thi S(i,j), ti thi im(t+1) thi im c xung
m xut hin b m chuyn sang trng thi S(i,j), cn c vo 2 tp trng thi ny ta xc
nh c u vo kch cho ba FF A ,B,C theo bng sau(xem phn u vo kch cho FF):
t
A B C
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1

A
0
0
0
1
1
0

t+1
B C
0 1
1 0
1 1
0 0
0 1
0 0

FF -A
JA KA
0
x
0
x
0
x
1
x
x
0
x
1

JB
0
1
x
x
0
0

FF -B
KB
x
x
0
1
x
x

JC
1
x
1
x
1
x

FF -C
KC
x
1
x
1
x
1

Ti thiu ho cc hm J v K

KA

JA

AB

00

01

C
0
1

11

10

AB

C
0

00

01

11

10

KA=C
JA=BC

86

AB

00

C
0
1

01

11

C
0

00
x
x

01

11

10

KB=KA=C

JB = A C

AB

AB

10

00

01

11

C
0

AB

10

00

01

11

10

C
0

JC=1

KC=1

- Bc 4: S mch thc hin


FF-A
True
FF-B
JA

_
A

KA
Ck

JB

B
_

JC

KB

KC

Ck

C
Ck

Ck

Bi tp: thit k vi K=8, 10, 12. Dng JK-FF; D-FF; T-FF; RS-FF
2. Cc b m nghch, nh phn, ng b vi h s m chn

Phng php thit k ging nh m thun, ch khc hnh trng thi: cc trng
thi s c chuyn t 'cao' xung 'thp'
V d K= 4

87

AB
11

10
S2

S3

AB
11
10
01
00

A'B'
10
01
00
11

DA
1
0
0
1

01

00

S1

S0

DB
0
1
0
1

=> DA= AB + AB ; DB= B

DA

DB
A

Ck
B m K=4
Sinh vin t lm cc phn sau:
- B m m Gray, ng b K=10
- B m m NBCD, ng b K=10, m thun
- B m m NBCD, ng b K=10, m nghch
- B m m JohnSon, ng b K=10, m nghch
- B m m Vng, ng b K=10, m nghch
3. Cc b m nh phn, ng b vi h s m chn

Cc b m kiu ny c cu to rt n gin, c c im:


- Ch dng mt loi T-FF huc JK-FF, cc u vo T v JK lun ni vi mc logic
cao
- u ra ca FF tng trc Q huc Q'(FF biu din bit c trng s nh) lun c
a vo u xung nhp cho tng sau(FF biu din bit c trng s ln hn ngay cnh ), khi
m thun ly u ra thun Q, khi m nghch ly Q'.

88

- Tn hiu vo X lun c a vo u vo xung nhp ca FF c trng s nh


nht.

'1'

JB

KA

JC

JD

'1'

'1'

'1'

'1'

'1'

'1'

'1'
JA

KB

KC

KD

Ck
B m thun, khng
ng b, dng JK-FF

4. Thit k b m c mch khi ng

y l loi b m m mi khi khi ng s lun c mt trng thi cho trc(v d


nh cc tr chi tng thm phn hp dn, ngi ta lun cho mi i chi mt lng im
ban u no ).
Cch thit k cng ging vi cc mch xt, ch c qu trnh chuyn trng thi l
khc mt cht:
Minh ho: mt b m khi ng lun S3, trong qu trnh hot ng trng thi s
bin i trong phm vi t S0 n S2:
S3

S0

S
S0
S1
S2
S3

S2

S1

AB
00
01
10
11

AB
01
10
00
00

T bng trng thi, ta thy trng thi S3(11) s chuyn v S0(00)

89

V. LU KHI THIT K B M:
B m cng l mt mch dy, nn cng c th ri vo trng thi kho hay trng thi
chu k, cho nn trong qu trnh thit k cn hn ch kh nng ny, chi tit phng php xem
chng Mch dy ng b v chng Mch dy khng ng b.
VI. MT S IC M THNG GP:
- IC 7490
- IC 74L90
- IC 74LS90
- IC 74LS190
- IC 74LS192
Ngoi chc nng m, cc IC ny cn c th dng chia tn s theo nguyn tc: n
xung vo cho m xung ra, th tn s s gim cn n/m ln.
S chn v ng dng c th xem cc ti liu hng dn s dng v tra chn IC

90

CHNG 9
M T V THIT K MCH DY
I. KHI NIM C BN.
1. M hnh tng qut.

V1
Li
vo

Vn

Mch t
hp

Trng
thi
trong

Li
ra

Hm
kch

Mch
dy

Ck

M hnh mch dy

2 Phng php m t mch dy.


a. M hnh ton hc.
Ta c th dng mt h phng trnh ton hc biu th mi quan h vo ra ca h tun t.
i vi m hnh tng qut hnh 6.1, nu gi:
V: l tp tn hiu vo,
R: tp tn hiu ra.
X :l tp hm kch thch.
S: tp cc trng thi trong
th h c th c m t bi cc phng trnh sau:
a. Otomat (h phng trnh) Mealy:
R = f1(V,S).
(1)
S = f2(X,S).
(2)
X = f3(V,S).
(3)
Phng trnh (1) c gi l hm ra, th hin mi quan h gia u ra vi tc ng
vo v bin trng thi.
Phng trnh (2) l hm chuyn i trng thi ca cc phn t nh.
Phng trnh (3) l hm kch thch cho cc phn t nh.
H phng trnh trn c gi l Otomat Mealy, trong khi nu hm ra ch ph thuc
bin trng thi m khng ph thuc bin vo th h tun t c tn l Otomat Moore.
b. Otomat (h phng trnh) Moore.

91

R = f1 (S),
S = f2(X,S),
X = f3 (V,S).
b. Bng trng thi.
Trong phng php ny, ta thit lp bng lit k mi quan h gia R, trng thi
trong S vi tn hiu vo V v trng thi S. Bng thu c c tn gi l bng chuyn i trng
thi. Nu nh bit loi FF, t bng chuyn i trng thi, ta c th suy ra hm chuyn i
trng thi v hm kch thch.
V
V0
V1

S S
S0 S0
S1 S1

R
R0
R1

V2

S2 S2

R2

V3

S3 S3

R3

...

...

...

Vn

Sn-1 Sn-1

Bng chuyn i trng thi.


Minh ho: xt mch chuyn i t FF D sang FF JK nh sau:

U1A

U1B

Ra

U1C

DFF
D
CP

Q
_
Q

Mch chuyn i FF D thnh FF JK


Ta c th biu din bng chuyn i trng thi nh sau:

V
JK
00

S S

0 0

00

1 1

01

0 0

92

01

1 0

10

0 1

10

1 1

11

0 1

11

1 0

S S
S0 S0
S1 S1

R
S0
S1

V1

S0 S0

S0

V1

S1 S0

S0

V2

S0 S1

S1

V2

S1 S1

S1

V3

S0 S1

S1

V3

S1 S0

S0

Nu t :
00: V0 ;
01: V1;
10 : V2;
11: V3
v
0: S0; 1: S1,
ta s c:
V
V0
V0

c. Biu din bng hnh trng thi.


hnh trng thi l mt hnh c hng gm 2 tp:
M : tp nh v K: tp cc cung c hng.
a. M hnh Mealy
Tp nh M l tp cc trng thi trong.
Tp cc cung K l tp tn hiu vo/ra.
Trn cung i t Si Sj ghi tn hiu vo/ra tng ng.
i vi minh ho chuyn i FF trn, ta s xy dng c hnh trng thi Mealy nh hnh
v.
V2 (V3)/S1
V0 (V1)/S0

V0 (V1)/S1

S0

S1
V1 (V3)/S0

M hnh Mealy.
b. M hnh Moore
V tn hiu ra ch ph thuc vo trng thi trong ca mch m khng ph thuc tn hiu vo
cho nn :
Tp nh M l tp trng thi trong/tn hiu ra.
Tp cung K l tp cc tn hiu vo.

93

II. CC BC THIT K MCH DY.


- Bi ton cha c hnh thc ho.
Nhim v thit k c m t bng ngn ng hoc bng lu thut ton.. Ni chung l
cha c hnh thc ho.
- Hnh thc ho
Phin dch cc d kin thnh 1 hnh thc m t hot ng ca mch bng cch hinhg
thc ho d liu ban u dng bng trng thi hay hnh trng thi.
Rt gn cc trng thi trong ca mch nhn c s trng thi trong l t nht.
Ch rng, bc ny tin hnh trn Otomat cha phi l nh phn c V l tp cc tn hiu
vo, R l tp cc tn hiu ra, S l tp cc trng thi trong.
- Otomat nh phn.
M ho tn hiu vo , ra, trng thi trong nhn c otomat nh phn c X l tp tn
hiu vo, R l tp tn hiu ra, Q l tp trng thi trong.
- H hm ca mch:
Xc nh h phng trnh logic ca mch v ti thiu ho cc phng trnh ny.
- Xy dng s mch thc hin.
T h phng trnh ca mch vit chng ta xy dng s mch thc hin.

Lu thut ton

hnh trng thi

Bng ca Otomat

hnh
nh phn

Bng Otomat
nh phn

H hm ra
Y

H PT u
vo kch cho
FF-D

H PT u
vo kch cho
FF-T

H PT u
vo kch cho
FF-JK

H PT u
vo kch cho
FF-JK

Cc bc thit k mch dy.


1. Thit k mch dy t bng trng thi ca Otomat.
Cc bc:
1. Xc nh s khi chung.
2. M ho tn hiu vo V, ra R, trng thi trong S v Q.
3. Lp bng chuyn i trng thi.
4. Xc nh u vo kch thch cho cc FF, vit h hm kch thch v hm ra.
5. Xy dng s mch.

94

hiu r thm phng php thit k mch dy dng bng trng thi ta s xt bi ton
minh ho sau:
Thit k mch m ng b thun, K=5 (m t 0-4) dng FF D theo phng php
bng trng thi.
Qu trnh gii nh sau:
1. Xy dng s khi chung.
xy dng mch m, K=5 ta dng 3 FF. Hnh v s khi chung c th hin nh
sau:

Mch
t hp

Q3
Q1 TG1 D1 Q2

TG2 D2

TG3

D3

Ck
S khi chung ca mch m K=5
Theo quy nh, FF1 c trng s nh nht. FF3 c trng s ln nht.
2. M ho.
S
S

S1
S0
S2
S1
S3
S2
S4
S3
S0
S4
Bng trng thi trong ca mch
3. Xy dng bng chuyn i trng thi.
Q3
Q2
Q1
Q3
S0
0
0
0
0
S1
0
0
1
0
S2
0
1
0
0
S3
0
1
1
1
S4
1
0
0
0

Q2
0
1
1
0
0

Q1
1
0
1
0
0

D3
0
0
0
1
0

D2
0
1
1
0
0

D1
1
0
1
0
0

4 Xc nh u vo kch cho FF v h hm kch.


S dng phng php ti thiu ho dng bng Karnaugh, ta s tnh c:

D1= Q1.Q3
D2 = Q2Q1 D3 = Q1.Q2
6. V s mch.
Sau khi xy dng c h hm kch, ta s v mch.
U3C

U5A

U3A

95

2. Thit k mch dy t hnh trng thi.


Gm 5 bc thit k:
1. Xy dng s khi chung, hnh trng thi.
2. M ho V, R, S, Y, Q.
3. Xc nh h phng trnh tn hiu ra
Y = f(X,Q)
4. Xc nh h phng trnh hm kch cho cc FF.
X = f(V,Q).
Da vo bng hm kch thch, xy dng c thut ton xc nh phng trnh u vo
kch cho cc FF.
Quy c cung biu din s thay i Qi Qi nh sau:
0 0 l cung loi 0.
1 1 l cung loi 1.
0 1 l cung loi 2.
1 0 : cung loi 3.
a. Vi FF D.
Do Di = Qi = tuyn cc cung i ti nh c Qi=1
= (1+2)
b. Vi FF T:
Ti = cc cung c Qi thay i
= (2+3)
c. Loi FF JK.
+ Gi Ton l cc cung m Qi bt = (2)
Sau thc hin ti thiu ho
Nu phng trnh ca Ton cn Qi , tc l c dng:

Ton = T *. Qi
th J = T*.

96

Nu phng trnh ca Ton khng cn Qi th : J=Ton.


+ Gi Toff = cung m Qi tt = (3); Sau thc hin ti thiu ho.
Nu phng trnh ca Toff cn Qi tc c dng:
Toff= (T**).Qi.
th K= T**.
Nu phng trnh ca Toff khng cn Qi th K= Toff.
d. Loi FF RS.
S = Ton + [cung loi (1)].
R = Toff + [cung loi (0)].
Ch : cung loi (1) v cung loi (0) trong du [ ] c ly gi tr khng xc nh ;
Nhng gi tr ny cng vi nhng trng thi khng s dng c dng ti thiu ho.
5. V s mch.
Ch : Ngoi ra cn c th thit k mch dy t Lu Thut ton, bng cch chuyn
v hnh Mealy huc hnh Moore

CHNG 10
THANH GHI DCH
I, KHI QUT
1. nh ngha:
Thanh ghi dch l mt mch dy, c kh nng ghi gi v dch bit thng tin(dch phi
huc tri)
Thanh ghi dch c dng:
+ nh s liu
+ chuyn s liu song song thnh ni tip, v
ngc li
+ Thit k b m, to dy tn hiu nh phn tun
hon theo yu cu cho trc

2. Cu to
Thanh ghi dch gm dy cc phn t nh n bit(FF) c mc lin tip v ng trong
cng mt v.
Cc FF s dng trong thanh ghi dch thng l D-FF huc FF mc theo kiu D-FF, s
FF chnh l s bt m thanh ghi dch lu tr c.

B1B2B3B4

B2

B1

B3

B4

Input
JA

KA

JB

KB

JC

KC

JD

KD

Clock
Thanh ghi dch 4 bit dng JK-FF

97

Thng tin c np vo thanh ghi dch theo nguyn tc tng bit ng b vi xung nhp, bit
u tin c np vo FF u tin, cc bit thng tin c lu tr dch phi 1 bit(bit lu
trong FF-A chuyn sang FF-B, FF-B chuyn sang FF-C)
3. Phn loi
a, Phn loi theo cch a thng tin vo, ly thng tin ra
- Vo ni tip, ra song song: thng tin c a vo thanh ghi dch tun t tng bit mt, s
liu c a ra ng thi
- Vo song, ra song song: thng tin c a vo v ly ra ng thi
- Vo ni tip, ra ni tip: thng tin c a v ly ra tun t tng bit mt
- Vo song song, ra ni tip: thng tin c a vo thanh ghi dch ng thi, s liu c
a ra tun t tng bt mt.
b, Phn loi theo u vo:
- u ra n: mi FF trong thanh ghi dch ch c mt u ra Qi(huc u o), c a ra
chn ca vi mch.
- u ra n: C hai u ra ca FF c a ra chn ca vi mch
II. HNH TNG QUT
hnh tng qut ca thanh ghi dch theo m hnh De Bruijn nh sau:
- Thanh ghi dch 2 bit:

00
0

00

01
1

0
10

11

00

10

01

11

Bng chuyn i trng thi

01

S1

S3

0
0

00

10

S0
0

0
11

S2

98

T hnh ta nhn thy thanh ghi dch s b kho trng thi 00 nu hm hi tip l 0 v
kho trng thi 11 nu hm hi tip l 1.

99

- Thanh ghi dch 3 bit:


S1

S3

001

011

S2

0
1

1
1

010

S7
0

000

111

101

S0

S5

0
110

100
0

S6

S4

hnh tng qut thanh ghi dch 3 bit


- Thanh ghi dch 4 bit:
S1
000

S3
001

010

001

S7
011

101

0
0

000

011

100

111

S0

101

010

100

S8

110
0

S12

S15

110

111

S14

hnh tng qut thanh ghi dch 4 bit

100

III. THIT K B M DNG THANH GHI DCH


1. S khi:
T hnh trng thi ca thanh ghi dch ta thy rng: Xut pht t mt trng thi ban
u bt k, ng vi dy tn hiu hi tip fht=DA=JA xc nh, s c dy xc nh cc trng thi
s chuyn ti, nu dy tn hiu ca fht c chn thch hp sao cho dy chuyn bin trng thi
to thnh mt chu trnh kn th hnh trng thi ca mch chnh l hnh trng thi ca b
m.
Nh vy bi ton thit k b m dng thnh ghi dch chuyn thnh bi ton thit k
hm hi tip cung cp cho u vo ca b ghi dch, sao cho ng vi hm ny cc trng thi
ca mch s chuyn bin theo mt chu trnh kn, s trng thi trong ca chu trnh bng K
S ca b m dng thanh ghi dch nh hnh di y, cc FF c mc vi nhau thnh

thanh ghi dch n bit, u ra ca cc FF gm c Q v Q c dng to hm hi tip, a ti


iu khin u vo ca thnh ghi. Gia u vo v u ra ca FF c mi quan h:
A'=fht(A, B, C, D,,N)
B'=A; C'=B;; N'=M
Mch hi tip c gi tr 0 hay 1 v c a vo u vo ca FF-A, khi c xung nhp s thit
lp trng thi ca FF- A tng ng.

MCH HI TIP

JA

KA A
A

JB

JN

KB

B
A

KN N
A

Clock

B m dng thanh ghi dch

101

2. Cc bc thit k

Xc nh s bt n ca thnh ghi dch nlog2K

Chn chu trnh chuyn trng thi ca b m theo hnh De


Bruijn

Xc nh fht v ti thiu ho hm ny

Xy dng s

V d: Thit k b m K=8
- Bc 1, Xc nh s bt n=log28=3
- Bc 2: Chn chu trnh chuyn trng thi, cn c vo hnh trng thi ca thanh ghi dch
chn mt chu trnh c 8 trng thi:
S3
S1
1
001
011
S2

1
1

010

S7
0

000

S0

1
0

S2

101
S5

100

111

110
0
S6

102

Gi s chn: S0->S1->S3->S7->S6->S5-> S2->S4->S0


- Bc 3: Xc nh hm hi tip, k hiu cc FF ln lt l CBA, ta c bng m ho trng
thi v hm hi tip nh sau:
S
S0
S1
S3
S7
S6
S5
S2
S4

C
0
0
0
1
1
1
0
1

B
0
0
1
1
1
0
1
0

A
0
1
1
1
0
1
0
0

fht
1
1
1
0
1
0
0
0

Ti thiu ho fht:
C
0
1

BA

00
1

01
1

11
1

10
1

fht= C B + C A + CB A
- Bc 4: Xy dng s :

JA

KA A

JB

JC

KB

KC C

Clock

103

Bi tp: thit k tng t ng vi K=10, 12, 14


IV. MCH TO DY TN HIU TUN HON
Thanh ghi dch c th dng to dy tn hiu tun hon theo yu cu cho trc.
1. S khi:
Gi L l chu k ca dy tn hiu tun hon cn phi to, tc l to dy tn hiu ny
mch phi tun hon v c L trng thi khc nhau. Mch ny theo nh ngha chnh l b m
c K=L, b m c th xy dng t b ghi dch v mch hi tip ging nh phn trn, thm
vo cn xy dng mt mch tn hiu ra ly dy tn hiu tun hon.
Ta c s khi ca mch nh sau:

MCH HI TIP

JA

KA A
A

JB

JN

KB

B
A

KN N
A

Clock

MCH LOGIC RA
Tn hiu ra

Mch to tn hiu tun hon dng thanh ghi dch

104

2. Cc bc thit k

Xc nh s bt n ca thnh ghi dch nlog2K

Chn chu trnh chuyn trng thi ca b m theo hnh De


Bruijn

Xc nh fht v ti thiu ho hm ny

Xc nh hm ra v ti thiu ho hm ny

Xy dng s
Minh ho: Thit k mch to tn chui tn hiu tun hon:
L=0-1-0-1-1-1-0-1
- Bc 1: Tn hiu c chiu di L= 8 =>n=log28=3
- Bc 2: chn chu trnh chuyn trng thi:
Gi s chn: S0->S1->S3->S7->S6->S5-> S2->S4->S0
- Bc 3: Xy dng hm hi tip v ti thiu ho
K hiu cc FF ln lt l CBA, ta c bng m ho trng thi v hm hi tip nh sau:
S
S0
S1
S3
S7
S6
S5
S2
S4

C
0
0
0
1
1
1
0
1

B
0
0
1
1
1
0
1
0

A
0
1
1
1
0
1
0
0

fht
1
1
1
0
1
0
0
0

105

Ti thiu ho fht:
C
0
1

BA

00
1

01
1

11
1

10
1

fht= C B + C A + CB A
- Bc 4: Xc nh hm ra:
S
S0
S1
S3
S7
S6
S5
S2
S4

C
0
0
0
1
1
1
0
1

B
0
0
1
1
1
0
1
0

A
0
1
1
1
0
1
0
0

fht
1
1
1
0
1
0
0
0

L
0
1
0
1
1
1
0
1

Ti thiu ho fht:
C
0
1

BA

00

01
1
1

11

10

fht= C + B A
-Bc 5: Xy dng s

JA

JB

JC

KA

KB

KC

Ck

0-1-0-1-1-1-0-1

106

- Bi tp: thit k mch to chui tn hiu tun hon:


L=0111000111
L=101110011110
V. B M VNG, V B M VNG XUN

Trong b m vng dng thanh ghi dch, hm hi tip c ly t u ra ca FF cui


cng v a vo FF u tin, trong mch ny mt thi im ch c mt FF lu trng thi 1,
cc vn khc tng t nh phn thit k b m.
B m vng xun khc vi b m vng ch: hm hi tip c a t u ra o
ca FF cui cng v u vo ca FF u tin.
VI. B GHI DCH VI HM HI TIP L HM CNG MODULE 2
1. B ghi dch vi hm hi tip l hm cng module c Lmax=2n-1
Thanh ghi dch 4 bit cho trong hnh sau, c hm hi tip

JA

JB

KA

JC

KB

KC

JD

KD

Ck

fht=JA=C D
Da vo hnh De Bruijn ca b ghi dch 4 bit v phng trnh ca hm hi tip
ng thi l hm kch cho FF-A d dng xc nh c trng thi tip theo ca b ghi dch
khi bit trng thi hin ti.
V d , nu b ghi dch ang trng thi S1(0001, D=0,C=0,B=0,A=1) th fht=JA= C
D= 0 0=0, do vy khi c xung nhp Ck tip theo b ghi dch s chuyn n trng thi
S2(0010, D=0,C=0,B=1,A=0), bng y nh sau:
S
S1
S2
S4
S9
S3
S6
S13
S10
S5

D
0
0
0
1
0
0
1
1
0

C
0
0
1
0
0
1
1
0
1

B
0
1
0
0
1
1
0
1
0

A
1
0
0
1
1
0
1
0
1

F
0
0
1
1
0
1
0
1
1

107

S11
S7
S15
S14
S12
S8

1
0
1
1
1
1

0
1
1
1
1
0

1
1
1
1
0
0

1
1
1
0
0
0

1
1
0
0
0
1

Trng thi S0(0000) khng xut hin trong bng trng thi, v b ghi dch trng thi
ny, do hm hi tip fht=JA= C D n s nm mi trng thi ny m khng thot ra c,
y chnh l trng thi kho, nn phi loi S0.
Thanh ghi dch 4 bit ny c s trng thi khc nhau trong dy chuyn bin trng
thi(L, hay K) l 15 t Lmax
2. V d ng dng mch loi ny thit k b m:

+ Cc bc thit k:
Xc nh s bt n ca thnh ghi dch nlog2K
chn fht l hm cng module thch hp c Lmax

Trn hnh trng thi ca Lmax chn bc nhy thch hp


ch cn li L trng thi

Xc nh fht ng vi dy trng thi c L chn v ti thiu


ho

Xy dng s

+ Minh ho: Thit k b m K=10, dng b ghi dch v hm hi tip cng module 2
- Bc 1: K=L=10 do vy n=4, chn hm hi tip l hm cng module tng ng
mch t Lmax, nh bit chn:
fht= C D, huc fht= A D
Gi s chn fht= C D
- Bc 2: Trn hnh trng thi Lmax=15 ca b ghi dch 4bit vi fht= C D chn,
chn bc nhy loi i 5 trng thi , gi s chn bc nhy S3 v S7:

108

S1
DCBA
0001

1000

S2

S4

S9

S3

0010

0100

1001

0011

1110

1111

0111

S8

1100

- Bc 3: Xc nh f*ht da vo hnh De Bruijn ca b ghi dch 4 bit, xc nh gi


tr ca f*ht ng vi dy tn hiu chn:
S
S1
S2
S4
S9
S3
S7
S15
S14
S12
S8

D
0
0
0
1
0
0
1
1
1
1

C
0
0
1
0
0
1
1
1
1
0

B
0
1
0
0
1
1
1
1
0
0

A
1
0
0
1
1
1
1
0
0
0

f*ht
0
0
1
1
0
1
0
0
0
0

Ti thiu ho ta c f*ht= C D + AB D + AB D
-Bc 4: Xy dng s :

109

IC: Vi Mch tch hp SN 74LS95.

Vi mch SN 74LS95 cha cc thanh ghi dch 4 bit vi cc u vo v ra c th hot


ng theo kiu song song hay ni tip; n cn cho php dch phi hoc dch tri (ch dch
tri c th thc hin c vi cc kt ni thm bn ngoi).
S chn v s logic ca vi mch 74LS95

110

111

CHNG 11
MCH DY NG B
Mch dy ng b l mt mch s bao gm cc mch t hp v cc phn t nh
FF, mch hot ng theo s ng b ca xung nhp Ck.
I. PHN TCH
Bi ton phn tch l bi ton xc nh chc nng cho trc;
- S mch:
T s mch cho trc cn xc nh chc nng tng phn t c bn ca s , v mi lin
h gia cc s
- Xc nh u vo, u ra, s trng thi trong ca mch:
Coi mch nh mt hp en, cn phi xc nh s u vo, u ra, c im cc u vo ra,
xc nh trng thi ca mch
- Xc nh phng trnh hm ra, hm kch cho cc FF
Da vo s cho trc xc nh h phng trnh hm ra, hm kch cho cc FF
- Lp bng trng thi, bng ra nh phn:
Bng trng thi, bng ra nh phn l bng biu din quan h gia trng thi chuyn n, tn
hiu ra nh phn vi trng thi hin ti v tn hiu vo tng ng. Da vo cc phng trnh
hm kch, hm ra xc nh c trn, v da vo phng trnh c tnh ca FF xc nh
c trng thi chuyn ti v tn hiu ra tng ng vi tn hiu vo v trng thi hin ti ca
mch.
- hnh trng thi:
T bng trng thi v bng ra lp trn, xy dng c hnh trng thi v tn hiu ra
ca mch.
- Chc nng ca mch:
Sau khi lp c hnh trng thi, da vo hnh xc nh c chc nng ca mch.
C th l t s phn tch m rt ra c chc nng ca mch.
II. THIT K
1. Cc bc thit k:
Cc bc thit k ngc li vi cc bc phn tch, tuy nhin mch thit k phi thc hin
chc nng cho trc vi s cng n gin cng tt, iu ny ng ngha vi vic ti thiu
ho trng thi.
- Bc 1: Xc nh bi ton
y l bc u tin v cng l bc quan trng thc hin tt vic thit k mch, trc
tin phi xc nh c yu cu t ra cho mch v phi xc nh mch c thit k t
nhng yu t no?
- Bc 2: Xc nh tn hiu vo/ra:
Xc nh tn hiu vo, ra cng nh c im ca chng
- Bc 3: Xy dng hnh trng thi, bng trng thi, bng tn hiu ra
Ni chung vic xy hnh trng thi khng da trn mt quy tc no, m phn ln
da vo kinh nghim ca ngi thc hin, nhng quy tc l da vo yu cu ca bi ton t
ra(tn hiu vo ra ), t lp hnh trng thi, xc nh c iu kin cho mch c th
chuyn i t trng thi ny sang trng thi tip theo v khi no th mch cho tn hiu ra.

112

T hnh trng thi lp trn, xy dng bng trng thi v bng tn hiu
Xc nh bi ton

Xc nh tn hiu vo ra

hnh trng thi, bng trng thi, bng ra

Rt gn trng thi

M ho nh phn

Xc nh h phng trnh ca mch

S mch thc hin


ra
- Bc 4: Ti thiu ho
Nh bit s trng thi trong ca mch gn nh t l vi s FF c dng trong mch, vic
ti thiu ho trng thi ch yu da vo khi nim tng ng, ta s xt sau.
- Bc 5: M ho nh phn
Sau khi ti thiu ho cc trng thi, v a vo hnh trng thi tng ng, dng cc bin
nh phn m ho cho cc trng thi, s bin nh phn dng m ho cc trng thi ph
thuc vo s trng thi trong.

113

Nu s lng trng thi trong l N, s lng bin nh phn dng l n, th n phi tho
mn iu kin: nlog2N
C nhiu cch m ho khc nhau, mi cch cho mt s khc nhau, yu cu phi
m ho sao cho s l n gin nht.
- Bc 6: Xc nh h phng trnh ca mch:
Sau khi m ho c cc trng thi trong ca mch, c th xc nh h phng
trnh ca mch theo 2 cch:
+ Lp bng chuyn i trng thi v tn hiu ra nh phn, t xc nh c phng trnh
u vo kch cho cc FF v phng trnh ca tn hiu ra, sau chng ta tin hnh ti thiu
ho cc phng trnh .
+ Da trc tip vo hnh trng thi, vit h phng trnh Ton , Toff ca cc FF v phng
trnh tn hiu ra ca mch sau tin hnh ti thiu ho h phng trnh .
- Bc 7: S mch thc hin
T cc phng trnh xc nh c ta xy dng s mch
III. TI THIU HO:
Nhim v t ra cho ngi thit k l phi a ra mch hot ng ng chc nng cho
trc, s cng n gin cng tt, mun vy cn gim nh s trang thi trong.
Vic ti thiu ho ch yu da vo khi nim"trng thi tng ng", bi ton ti
thiu ho trng thi chnh l bi ton i tm lp trng thi tng ng ln nht, v c thay
bng mt trng thi i din, s trng thi tng ng chnh l s trng thi ti thiu. C 3
phng php ti thiu hay dng:
1, Phng php Caldwell:
Phng php ny c minh ha qua v d sau:
Thit k mt mch dy ng b thc hin nhim v kim tra dy tn hiu vo dng
nh phn c di bng 3 c a vo lin tip u vo X, nu dy tn hiu vo c dng 010
huc 110 huc 111 th tn hiu ra Z=1 bo hiu l mch nhn c mt trong cc dy tn
hiu vo .
- Bc 1: Xc nh bi ton
Mch thit k c nhim v pht hin dy tn hiu vo, nu dy tn hiu vo c dng
010, huc 011, huc 110 huc 111 th Z=1 bo hiu mch nhn c mt trong cc dy
tn hiu .
- Bc 2: Xc nh tn hiu vo ra
Mch phi thit k l mch ng b, nn ngoi u vo X cn c u vo xung nhp
Ck mt u tn hiu ra l Z, theo dng s khi nh sau:
Error!

X
Z
MCH LOGIC

Ck

- Bc 3: hnh trng thi, bng trng thi, bng ra: Da vo cc yu cu ca tn


hiu vo, ra lp c hnh chuyn trng thi nh sau:
S chuyn i trng thi:

S0
000
XCK

S1

001

X CK

010

S2

114

Trng thi ban u l S0 : khi tn hiu vo l XCK mch chuyn n S1, X CK mch
chuyn n S2.
Tng t vi trng thi S1, mch s chuyn n S3 khi tn hiu vo l XCK, mch
chuyn n S2 khi tn hiu vo l X CK
Tng t vi trng thi S2, mch s chuyn n S5 khi tn hiu vo l XCK, mch
chuyn n S2 khi tn hiu vo l X CK.
Nu mch mt trong 4 trng thi S3, S4, S5, S6, khi c tn hiu vo l XCK huc
X CK mch s chuyn n trng thi ban u S0, vy khi dy tn hiu vo l 110 huc 111
ng chuyn i trng thi: S0->S1->S3->S0 hay khi dy tn hiu vo l 010 huc 011
ng S0->S2->S5->S0 th mch s cho tn hiu ra Z=1 ti thi im ca xung nhp th 3.
Vi ng chuyn i khc Z=0, t hnh trng lp c bng trng thi nh bng sau:
S
S0
S1
S2
S3
S4
S5
S6

X=0
S2
S4
S6
S0
S0
S0
S0

S'
X=1
S1
S2
S5
S0
S0
S0
S0

Z
X=0
0
0
0
1
0
1
0

X=1
0
0
0
1
0
1
0

- Bc 4: Ti thiu ho trng thi, ta s dng phng php Caldwell:


+ Trng thi tng ng:
Trng thi Si c gi l tng ng vi Sj khi v ch khi nu ly Si v Sj l 2 trng
thi ban u th vi mi tn hiu vo c th c chng lun lun cho tn hiu ra ging nhau.
Nu c nhiu trng thi tng ng vi nhau tng i mt th chng tng ng vi nhau.
kim tra nhm cc trng thai tng ng vi nhau khng, c th s dng bng trng thi
v tn hiu ra nh sau:
- Nhm cc trng thi tng ng phi c nhng hng trong bng tn hiu ra ging nhau.

115

- Nhm cc trng thi tng ng phi c nhng hng trong bng trng thi cng mt
ct(ng vi cng t hp tn hiu vo ) l tng ng. Ngha l ng vi cng mt t hp tn
hiu vo cc trng thi s chuyn bin ti, ca chng l tng ng.
iu ny cho thy th tc kim tra tnh tng ng ca nhm cc trng thi phi tin hnh
tun t tng bc cho n nhm c trng thi cui cng, nu nhm c trng thi cui cng
ny l tng ng th nhm trng thi c kim tra l tng ng.
Quy tc Caldwell:
Nhng hng(tng ng vi trng thi trong) ca bng chuyn i trng thi v tn hiu
ra s kt hp vi nhau v c biu din mt hnh chung- c trng(trng thi c trng) cho
chng nu nh chng tho mn 2 iu kin sau:
1- Cc hng tng ng trong ma trn ging nhau
2- Trong ma trn ra, cc hng tng ng phi tho mn mt trong 3 im:
- Cc hng trong ma trn phi ging nhau
- Cc trng thi trong cng mt ct nm trong nhm trng thi c xt
- Cc trng thi trong cng mt ct l cc trng thi tng ng
Sau khi thay th cc trng thi tng ng bng mt trng thi chung c trng cho
chng, lp li cc cng vic tm cc trng thi tng ng(cc hng tng ng) khc, cho
ti khi no khng th tm c cc hng(cc trng thi) tng ng vi nhau na th dng
li. S trng thi trong bng trng thi lc l ti thiu.
p dng quy tc Caldwell vo bi ton trn, trong bng trn:
S
S0
S1
S2
S3
S4
S5
S6

X=0
S2
S4
S6
S0
S0
S0
S0

S'
X=1
S1
S2
S5
S0
S0
S0
S0

Z
X=0
0
0
0
1
0
1
0

X=1
0
0
0
1
0
1
0

S4 tng ng S6, S3 tng ng S5, thay th cc trng thi tng ng bng trng
thi c trng cho chng, chng hn thay th S4, S6 bng S46, S3 v S5 bng S35, t lp
c bng mi nh sau:

S
S0
S1
S2
S35

X=0
S2
Z=0
S46
Z=0
S46
Z=0
S0
Z=1

X=1
S1
Z=0
S35
Z=0
S35
Z=0
S0
Z=1

116

S46

S0

S0

Z=0

Z=0

T y ta li thy S1S2, bng s rt gn nh sau:

S
S0
S12
S35

S46

X=0
S12
Z=0
S46
Z=0
S0
Z=1

X=1
S12
Z=0
S35
Z=0
S0
Z=1

S0

S0
Z=0

Z=0

T bng trn, khng c trng thi no tng ng na, nh vy mch cn li 4 trng


thi, vi hnh trng thi nh sau:

AB
00
01
11
10

S
S0
S12
S35
S46

AB
00

01

Ck
X Ck

10

Ck
XCk

11, Z=1

- Bc 5: M ho trng thi, cc trng thi c m ho nh bng trn

117

- Bc 6: Xc nh h phng trnh ca mch.


Da vo bng trng thi, bng ra xc nh u vo kch cho cc FF v phng trnh tn
hiu ra.
u vo kch cho cc FF-A v FF-B l JA, KA JB, KB tng ng vi gi tr ca tn hiu vo X,
theo bng sau:

Trng
thi hin
ti

Trng thi tip theo


X=0
X=1
X=0

AB
00
01
11
10

AB
01, Z=0
10, Z=0
00, Z=1
00, Z=0

AB
01, Z=0
11, Z=0
00, Z=1
00, Z=0

JA
0
1
x
x

KA
x
x
1
1

Cc u vo ca FF
X=1
X=0

X=1

JA
0
1
x
x

JB
1
x
x
0

KA
x
x
1
1

JB
1
x
x
0

KB
x
1
1
x

KB
x
0
1
x

Sau khi ti thiu ho, ta thu c:


JA=B; KA=1; JB= B ; KB= X + A ;
Phng trnh tn hiu ra Z c xc nh da vo bng chuyn i trng thi, bng ra v bng
m ho : Z=A.B.CK
Ngoi cch ny c th da trc tip vo phng trnh Ton v Toff xc nh.
- Bc 7: S mch:

118

'1'
CK

JA

KA

A
A

JB

KB B
A

2. Phng php phn hoch:


Phng php ny c da trn vic phn chia cc trng thi theo cc lp c cc c
im ging nhau, s phn chia thc hin nh sau:
- S phn chia ln th nht(P1)
T bng chuyn i trng thi v tn hiu ra ban u, phn chia cc trng thi ban u
thnh nhiu lp, trong mi lp l nhng trng thi c tn hiu ra nh nhau khi tn hiu vo
nh nhau.
- S phn chia ln 2(P2)
Xt cc trng thi trong cng mt lp ca P1: Nu cc trng thi nm trong cng mt
lp ca P1 c cc trng thi tip theo cng nm trong cng mt lp ca P1 th c xp chung
trong mt nhm. Nu khng tho mn th phi tch chng ra thnh cc nhm khc nhau, v s
c mt tp hp cc nhm mi P2
Tip tc thc hin nh trn, s c P3,P4,, Pi. Qu trnh phn chia s dng li
khi Pi+1=Pi, s nhm Pi chnh l s trng thi c ti thiu ho.
Sau y l mt v d minh ho:
Bng chuyn i trng thi v tn hiu ra ca mt mch dy ng b m t bng sau:

119

Trng thi hin ti

S0

X=0
S0

S1

S4

S2

S0

S3

S5

S4

S1

S5

S5

S6

S0

Z=0
Z=1
Z=1
Z=0
Z=1
Z=0
Z 1

Trng thi tip theo


X=1
S4
Z=1
S2
Z=0
S3
Z=1
S6
Z=1
S2
Z=0
S4
Z=1
S3
Z 1

+ S phn chia ln 1:
P1=(S0,S3,S5)(S1,S4)(S2,S6)
+ S phn chia ln 2:
P2=(S3)(S0, S5)(S1,S4)(S2,S6)
Ta c P3=P2=(S3)(S0, S5)(S1,S4)(S2,S6)
Trng thi hin ti

S05

X=0
S05
Z=0

S14

S14
Z=1

S26

S05
Z=1

S3

S05
Z=0

Trng thi tip theo


X=1
S14
Z=1
S26
Z=0
S3
Z=1
S26
Z=1

Nh vy cc lp trng thi tng ng ca mch c xc nh, sau khi ti thiu ho


mch ch c 4 trng thi nh trn.
3. Phng php dng bng so snh
Gi s ban u mch c n-1 trng thi trong S0, S1, S2, , Sn Lp bng so snh c
cc hng v ct nh hnh v.
Mi biu din cp so snh gia 2 trng thi tng ng(Si, Sj) ti hng v ct tng
ng vi . Tin hnh ti thiu ho nh sau:
- Trong mi , nu cp trng thi tng ng c tn hiu ra ging nhau vi mi t hp tn hiu
vo(hng trong bng ra nh nhau) th ghi vo cp trng thi m n chuyn n ng vi
tng t hp tn hiu vo. Nu tn hiu ra khc nhau th nh du X vo .
- Kim tra nhng ghi cp trng thi: ny b gch i(X) nu nh trong c mt cp
trng thi b gch tng ng vi chng trc.

120

Tin hnh nh bc 2 cho ti khi no khng gch c no na th dng li, nhng


khng b gch s tng ng vi cc cp trng thi tng ng Si,Sj ti hng v ct tng
ng vi .
- Kim tra cc trng thi tng ng vi Si: trn bng ko theo s kim tra tng ct t
phi(Sn) sang tri(S0) xc nh cc lp trng thi tng ng, s lp trng thi tng
ng ca S0 chnh l s trng thi ca mch ti thiu.
Minh ha:
Cho bng chuyn i trng thi v tn hiu ra m t nh bng sau:
Trng thi hin ti
S0
S1
S2
S3
S4
S5
S6
S7

Trng thi tip theo


X=1
S2
Z=0
S0
Z=0
S5
Z=0
S1
Z=0
S6
Z=0
S0
Z=0
S7
Z=0
S2
Z=0

X=0
S0
Z=0
S3
Z=1
S5
Z=0
S4
Z=1
S6
Z=1
S2
Z=0
S1
Z=1
S7
Z=0

Sau y l cc bc ti thiu ho:


- Bc 1: nh du X vo nhng m cp trng thi tng ng vi c hng tng ng
trong bng tn hiu ra khc nhau. Nhng khng b gch, ghi nhng cp trng thi S'i-S'j m
2 trng thi Si, Sj s chuyn bin ti ng vi tn hiu vo X=0 v X=1
- Bc 2: Tin hnh kim tra cc trong bng
Kim tra cc trong bng sau:
S1
S2
S3
S4
S5
S6
S7
S0

S1

S2

S3

S4

S5

S6

121

S1
S0S5
S2S5

S2

S3S4
S0S1
S3S6
S0S6

S3
S4
S5

S4-S6
S1-S6

S0S2

S6

S2-S5
S1S3
S0S7
S1

S7
S0

S2

S0S7

S1-S4
S1-S7

S1-S6
S6-S7

S3

S4

S5

S5-S7
S2-S5

S6

S2S7

Gch thm mt s mi, c bng hnh sau

S1
S2
S3S4
S0S1
S3S6
S0S6

S3
S4
S5

S4-S6
S1-S6

S2-S5

S6
S1S3
S0-

S7
S0

S1

S2

S1-S4
S1-S7

S1-S6
S6-S7

S3

S4

S5

S6

S5: (S6)(S5, S7)


S4: (S6)(S5, S7)(S4)
S3: (S6)(S5, S7)(S4)(S3)
S2: (S6)(S2, S5, S7)(S4)(S3)(S1)
S1: (S6)(S2, S5, S7)(S4)(S3)(S1)
S trng thi ti thiu bng s nhm trng thi tng ng ct S0, mch c s trng
thi ti thiu l 5.

122

Lp c bng chuyn i trng thi v tn hiu ra ti thiu nh bng sau:


Trng thi hin ti

S0257

X=0
S0257

S1

S3

S3

S4

S4

S6

S6

S1

Z=0
Z=1
Z=1
Z=1
Z=1

Trng thi tip theo


X=1
S0257
Z=0
S0257
Z=0
S1
Z=0
S6
Z=0
S0257
Z=0

IV. M HO TRNG THI


Trong cc minh ho trc y cc trng thi ca mch c m ho tu , c nhiu
cch m ho khc nhau v mi cch cho mt s khc nhau, cn phi chn cch m ho sao
cho s mch thc hin l n gin nht. Hin nay vn cha c cch m ho no l ti u
nht.
Thng thc hin theo 2 cch sau c c s tng i n gin
- Quy tc1:
Nu mt trng thi hin ti Si c th chuyn bin n nhiu trng thi S'i1, S'i2,,S'in
th cc trng thi S'ij phi c m ho bng cc t m k cn(ch khc nhau mt bin).
- Quy tc 2:
Nu nhiu trng thi Sj1, Sj2,,Sjn cng chuyn bin n mt trng thi tip theo S'j
th cc trng thi Sj1, Sj2,,Sjn phi c m ho bng cc t m ho k cn nhau.
V. MCH DY NG B DNG M HNH MOORE V M HNH MEALY
Nhc lai:
M hnh Moore l m hnh m tn hiu ra ch ph thuc vo trng thi trong ca mch.
M hnh Mealy l m hnh m tn hiu ra ph thuc c vo trng thi trong v tn hiu
vo X.
Ta nghin cu 2 m hnh ny qua mt v d:
Thit k mt mch dy ng b nhn bit dy tn hiu vo, tn hiu vo c a lin
tip u vo ca mch theo dng nh phn, mi ln dy tn hiu vo l 101, mch s cho ra
tn hiu Z=1, cc bt d liu vo c ng b vi xung nhp Ck.
Gii:
- Bc 1: Xc nh bi ton
Theo u bi ra ta cn phi thit k 1 mch dy ng b pht hin dy tn hiu vo, nu
dy tn hiu vo c dng 101 th u ra Z=1
- Bc 2: Xc nh tn hiu vo, ra:
Mch c 2 u tn hiu vo l X v xung nhp Ck, v c mt u tn hiu ra Z, theo nh s
khi sau:

123

X 10100111011
Z

Mch logic
Ck

- Bc 3: hnh trng thi, bng trng thi, v tn hiu ra


a, Nu dng m hnh Mealy:

X Ck

S0

Ck

AB
00

S3

AB
10

XCk
S1

X Ck

XCk
AB
01
XCk

X Ck

S2
Z=XCk

AB
11

Theo yu cu ca bi ton ch cn s dng 3 trng thi m ho S0, S1, S2. Nh vy


dng 2 bt nh phn s tha 1 trng thi, trnh tnh trng ri vo trng thi kha, ta thit k
mch khi ri vo trng thi , th ngay t xung nhp u tin n s v S0. Ta c bng
trng thi v tn hiu ra:

124

b, Vi m mnh Moore:
Chuyn t m hnh Mealy sang Moore ta c s v cch m ho nh sau:

A
0
0
1
1

B
0
1
1
0

S
S0
S1
S2
S3

X Ck

S0
AB
00

XCk
S1

XCk

XCk
AB
01

X Ck

X Ck

S3

XCk
Z=1

AB
10

X Ck

S2
AB
11

Trng thi S3 chnh l trng thi cho tn hiu ra Z=1


- Bc 4:Ti thiu ho
Vi v d ny ta nhn thy cc trng thi khng th ti thiu thm c na.
- Bc 5: M ho trng thi, vi bi ton ny p dng phng php m ho khc nhau 1 bt
A B
S
0 0
S0
0 1
S1
1 1
S2
1 0
S3

125

- Bc 6: H phng trnh ca mch


a, M hnh Mealy:
TONA=S1 X = AB X
TOffA=S3+ S2 X + S2X=S2+S3=A
TONB=S0X= AB X
TOffB=S2 X = AB X
V Z= ABXCk
a, M hnh Moore:
TONA=S1 X = AB X
TOffA=S2 X + S3X=AB X +A B X
TONB= B ( AX + A)
TOffB=S2 X +S2X

=> JA=B X
=> KA=1
=> JB= AX
=> KB= A X

=> JA=B X
=> KA=BX
=> JB=A+X
=> KB= A

V Z= A B
- Bc 7: S
a, M hnh Mealy:

X
JA
'1'

KA A

JB

KB B

CK

126

b, M hnh Moore:

X
JA

JB

KB B

CK

127

CHNG 12

MCH DY KHNG NG B
Nu mch dy ng b hot ng theo s iu khin ca xung nhp Ck th mch dy
khng ng b hot ng theo s iu khin bi cc s kin m khng tun theo quy lut.
Tm li tt c cc mch dy m c iu khin bi cc s kin ngu nhin th c
xp vo nhm mch dy khng ng b.
I. CC BC THIT K:
V c bn ging vi cc bc thit k mch ng b, ch khc bc 5 v bc 6
Xc nh bi ton

Xc nh tn hiu vo ra

hnh trng thi, bng trng thi, bng ra

Rt gn trng thi

M ho nh phn

Xc nh h phng trnh ca mch

S mch thc hin

- Bc 5: M ho nh phn
Mch khng ng b hot ng khng c s tc ng ca xung nhp, cho nn trong mch
thng xut hin hin tng chy ua huc hin tng chu k, dn ti s hot ng khng
chnh xc ca mch. Cho nn khi m ho cn c bin php loi tr.

128

- Bc 6: Xc nh h phng trnh ca mch:


Da vo bng trng thi bng tn hiu ra v c th da trc tip vo hnh. C 2 cch
u c 2 loi phng trnh:
+ Phng trnh ca mch ch dung NAND
+ Phng trnh ca mch ch dung RS-FF khng ng b v cc mch NAND
Cch 1: Da vo bng trng thi v tn hiu ra
*. Ch dng mch NAND:
K hiu A, B,, N l cc bin nh phn dng m ho trng thi trong ca mch.
X1, X2 ,,Xm l cc tn hiu vo c m ho nh phn
Z1, Z2 ,,Zn l cc tn hiu ra c m ho nh phn
Da vo bng trng thi, bng ra xc nh c h phng trnh:
A'=fA(A, B, ,N, X1, X1, .., Xm)
B'=fB (A, B, ,N, X1, X1, .., Xm)
.
N'=fN(A, B, ,N, X1, X1, .., Xm)
Z1 =g1(A, B, ,N, X1, X1, .., Xm)
Z2 =g2(A, B, ,N, X1, X1, .., Xm)
.
Zn =gn(A, B, ,N, X1, X1, .., Xm)
Ti thiu ho h hm ny v vit phng trnh dng ch dng NAND
*, Mch dng RS-FF v cc mch NAND
Trong bng m trng thi, cn c vo s thay i trng thi ca tng FF:
A->A'; B->B',N->N', xc nh c gi tr tng ng ca u vo kch R, S cho tng FF, t
vit c h phng trnh:
RA=F1(A, B,N, X1, X1, .., Xm)
RB=F2(A, B, N, X1, X1, .., Xm)
Ti thiu ho hm v vit phng trnh dng dng NAND. Cch lm tng t vi B, C,..,N
Cch 2: Da trc tip vo hnh trng thi
Ta c phng trnh u vo kch R, S ca FF-A l:
SA=Tp hp bt(on) ca A + [(1)]
RA=Tp hp tt(off) ca A + [(0)]
Tng t cho B, C,,N
*. Nu ch dng NAND
Ta c phng trnh ca RS-FF nh sau:
Q'=S+ RQ => A'=SA+ R A A
Sau tin hnh ti thiu ho tng phng trnh v vit di dng ch dng NAND.
Tin hnh tng t vi B, C,..,N
* Nu ch dng RS-FF khng ng b v cc mch NAND
Ta cn xc nh SA, RA theo cc bin u vo v cc bin nh phn dng m ho:
RA=F1A(A, B,N, X1, X1, .., Xm)
SA=F2A(A, B,N, X1, X1, .., Xm)
RB=F2B(A, B,N, X1, X1, .., Xm)

RN=F1N(A, B, ,N, X1, X1, .., Xm)


SN=F2N(A, B, ,N, X1, X1, .., Xm)
Z1=Y1(A, B, ,N, X1, X1, .., Xm)
Z2=Y2(A, B, ,N, X1, X1, .., Xm)

Zn=Yn(A, B, ,N, X1, X1, .., Xm)


Ti thiu ho h phng trnh: vit cc phng trnh dng dng mch NAND.

129

II. M HO V TI THIU HO THI TRONG MCH DY KHNG NG B.


1. M ho trng thi:
Ni chung m ho trng thi loi mch ny v nguyn tc cng ging nh h thng
mch dy xem xet, nhng lu vic m ho phi trnh c hin tng chu k v chy
ua.
Trnh hin tng chu k: Phi lu sao cho vi mi t hp tn hiu vo th mch lun
lun c mt trng thi n nh.
Trnh hin tng chy ua: Phi lu m ho sao cho vi tt c cc chuyn bin
trng thi c th c ca mch ch c duy nht mt bin thay i m thi, tc l cc cp t m
m ho cho Si v Sj(Si->Sj) c khong cch Hamming l 1
Minh ho:
Cho hnh trng thi ca mch dy khng ng b nh hnh sau:

S0

S1

AB
00

AB
01

S2
AB
11

Khi thay i t S2->S0 c hai bin nh phn u thay i(11->00), iu ny dn n hin


tng chy ua trong mch, trnh ta a thm bin S3 s thay i t S2->S0 thng qua
S3, tc l S2->S3->S0, m bo ch c mt bin thay i, thng chn iu kin thot khi
trng thi gi l v iu kin:

130

S0
AB
00

S1

V iu kin

AB
10

AB
01

S2

AB
11

S3

Tm lai: Khi m ho cc trng thi trong ca mch, trnh hin tng chu k, v chy ua,
ta cn c trng thi trung gian, thng thng tng s bit m ho tng trng thi, v la chn
trng thi trung gian cho hp l, v phi thit k vic thot khi trng thi trung gian l v
iu kin
2. Ti thiu ho:
Ging nh mch dy ng b, nhng thng ch n yu t mch chy tt l c(khng
c hin tng chy ua v chu k)
III. HIN TNG CHU K V CHY UA
1. Hin tng chu k:

Hin tng chu k l hin tng ti mt t hp tn hiu no , mch lin tc chuyn t trng
thi ny sang trng thi khc theo mt chu k kn, ngha l trong qu trnh khng c trng
thi no n nh, do vy khi thay i tn hiu vo khng xc nh c mch ang trng thi
no trong dy cc trng thi ni trn.
V d: ng vi mt t hp tn hiu vo qu trnh chuyn i trng thi theo Hnh v di y
Vic m ho trng thi s dng bin nh phn A v B l hon ton tu . hnh
trng thi, v bng trng thi nh sau:
Gi thit ban u mch trng thi S3(AB=10) v X=0, sau tn hiu vo X thay
i t 0 1, mch s chuyn t trng thi S3S0.
Nu X vn =1 mch s chuyn ln lt chuyn n cc trng thi tip theo l S1, S2,
, S0 . Khi X= 1 ta c:

S3 S0 S1 S2->S3, tc mch khng c trng thi n nh

131

S0

S1

AB
00

AB
01

X +X

X
S2

AB
10
S3

AB
11

X +X

X=1

X
S
S0

S2

S1

S1

S2

S2

S2

S3

S3

S3

S3

S0

2. Hin tng chy ua:


Hin tng chy ua trong mch khng ng b l hin tng, do tnh khng ng
nht ca cc phn t nh phn dng m ho trng thi, v mch hot ng khng ng b
nn khi chuyn trng thi t Si Sj mch c th chuyn theo cc ng khc nhau.
Nu trng thi cui cng ca nhng con ng y l n nh v duy nht th
chy ua l chy ua khng nguy him, nhng nu cch chuyn trng thi y dn n cc
trng thi n nh khc nhau, c th ti trng thi kho v khng thot ra c.
V d chy ua khng nguy him: Mt mch dy khng ng b c bng
trng thi m t hnh sau:
Mch c th thay i trng thi theo nhng con ng khc nhau tu thuc vo th t
thay i ca A v B:
-Nu A v B thay i ng thi mch s chuyn sang trng thi S2 ri mi sang trng
thi S3.
- Nu B thay i trc A th mch s ln lt chuyn qua S1, S2 ri mi sang S3
- Nu A thay i trc B mch s chuyn t S0 sang S3

132

X
S
S0

S2

S1

S1

S2

S2

S2

S3

S3

S3

S3

S0

AB
00
01
11

B thay i trc A

Av B cng thay i

10
A thay i trc B

C 3 con ng chuyn i u dn n cng mt trng thi n nh S3. Hin tng


chy ua ny l chy ua khng nguy him.
Khi mch ang trng thi n nh(khoanh trn), n ch thay i trng thi khi tn
hiu vo thay i.
V d chy ua nguy him: hnh trng thi ca mt mch khng ng b
m t hnh
sau:
X

AB
11

AB
00
S0

S1

X
S2

AB
10

AB
01

S3
X
Gi thit trng thi ban u ca mch l S0(AB=00) v tn hiu vo X=0. Nu X thay
i t 01 mch s chuyn i trng thi nh sau:
- Nu A, B thay i ng thi mch s chuyn n S1
- Nu B thay i trc A th mch s chuyn n S2
- Nu A thay i trc B mch s chuyn n S3
y trng thi S3 l trng thi kho, nh vy khi A thay i trc B mch s ri vo
trng thi kho v khng thot ra c, chy ua khi ny l chy ua nguy him
IV. CC V D MINH HO
1. V d 1:
Thit k mch m m s ngi vo thm mt vin bo tng, mch gm 2 LED sng X1
v X2 c b tr nh hnh v. Mch thit k sao cho mi ln m c mt ngi

Li vo

Li ra

133

Phn tch: Khi c mt ngi i vo th 2 chm sng s b chn lin tip:


- u tin X1 b chn, tip
- Tip theo X1 v X2 cng b chn
- Sau X2 b chn, mch c tn hiu ra Z=1(mt ngi i vo)
M hnh mch m nh sau:
X1
Mch m
X2

Quy c : Chm sng b chn X; Chm sng khng b chn X


Ta c hnh trng thi ca mch nh sau:
X 1X 2
S1

X 1X 2

X 1X 2

X 1X 2

S0

S4

S4

Tnh

S3

X 1X 2

X1X2

X 1X 2
X1X2

X 1X 2

Z=1
S2

Li ra

X 1X 2

Tnh: trng thi tnh

S4

Li vo
S0 l trng thi ban u ca mch(trng thi tnh)
- Nu mt ngi i vo s chuyn i trng thi ca mch s l S0S1
S2 S3 S0
- Nu mt ngi i ra s chuyn i trng thi ca mch s l S0S3 S4
S1 S0
- Nu mt ngi ngp ngng vo sau li i ra: ban u chn X1 , sau
khng chn X1 na, trng thi mch: S0S1S0 khi ny mch s khng m.

134

Lp bng trng thi, bng ra


Trng thi
hin ti
S0

X1
0
S0

X2
0
Z=0

Trng thi tip theo v tn hiu ra


X1 X2
X1 X2
X1
0
1
1
1
1
S3

X2
0
S0

Z=0

Z=0
S1
S0

S2

Z=0

S1

Z=0

Z=0
S1Z=0

S2
S3

Z=0

S2 Z=1

S3

Z=0

S4 Z=0

S3
S0

Z=0

S4
S3

Z=0

S4

Z=0

Z=0

S1

Bng c 5 hng ng vi 5 trng thi hin ti c th xut hin v 4 ct, mi ct ng vi mt t


hp gi tr c th X1, X2. Mi ca bng biu din trng thi tip theo v tn hiu ra tng
ng vi trng thi hin thi v gi tr ca tn hiu vo X1, X2.
V d hng u tin ca bng ng vi trng thi hin ti l S0, nu tn hiu vo l
X1X2=01 mch s chuyn ti trng thi S3 v tn hiu ra Z=0. Trong ghi r S3 v Z=0.
Trong bng trng thi, nhng trng thi c khoanh trn l nhng c trng
thi tip theo bng chnh trng thi hin ti, nhng trng thi l trng thi n nh, v d
trng thi hin ti l S0 vi tn hiu vo l X1, X2 l 00, trng thi tip theo ca mch vn l
S0. iu kin trng thi n nh l S'=S(S: trng thi hin ti, S' trng thi tip theo).
Trn bng c nhng trng, nhng ny tng ng cc t hp tn hiu khng xut
hin u vo. Tht vy do cch xp xp ca 2 chm sng X1, X2 u ang khng b
chn(00) tng ng vi trng thi ban u S0, khng th ngay tc khc c 2 chm sng u b
chn(X1X2=11). T hp tn hiu vo ny khng xut hin tng ng vi trng hng th
nht.
Nu bng trng thi, bng ra c nhng trng th c th in gi tr khng xc nh X
vo cc , ri li dng ti thiu ho trng thi, c th nh sau:
C th gn trng thi tip theo v tn hiu ra vo cc trng sao cho hng c trng c th
kt hp vi cc hng khc.
bng trng thi, bng ra trn hnh trn, cc hng S0, S1, S2 v S3, S4c cc trng thi tip
theo v tn hiu ra tng ng l ging nhau nu ta gn:
- trng ca hng u tin(S0) l S2/Z=1
- trng ca hng th 2 S3/Z=0
- trng ca hng th 4 S1/Z=0
- trng ca hng th 3, th 5 S0/Z=0
Khi ta c bng ti thiu nh sau:

135

Trng thi hin


ti
S012

X1
0
S012

S34

S012

X2
0

Trng thi tip theo v tn hiu ra


X1 X2
X1 X2
0
1
1
1

Z=0

S34

Z=0

Z=0

S34

Z=0

S012

S34

Z=1
Z=0

S012

S012

X1
1

X2
0
Z=0
Z=0

hnh trng thi:


S012

S34

X2
Z=X1X2
A=0

A=1

X 1X 2

S dng 1 bit nh phn m ho, S012:0; v S34:1


Mch c tn hiu ra Z=1 khi X1X2=11 trng thi S012.
Vit phng trnh hm kch cho FF-A ta c:
SA= (Tp hp bt ca Q) +[(1)]= A X 1X 2 + A X 1X 2 = X 1X 2
RA= (Tp hp tt ca Q) +[(0)]= A X 2 + A X 1X 2 + AX 1X 2 = X 2
M phng trnh c trng ca RS-FF:
Q'A=SA+ R A Q A thay gi tr ca RA,SA vo ta c:
A'= X 1X 2 + X 2 A = X 1X 2 + X 2 A = ( X 1X 2).( X 2 A)
Phng trnh tn hiu ra:
Z= AX 1X 2
T ta xy dng c s nh sau:

136

X1
RA

A
A'

RA

A
A
Z

X2

A': trng thi tip theo

2. V d 2
Thit k mch iu khin bm nc vo mt ng nc nh 2 bm p1 v P2, c 2 bm c
m bm nc khi mc nc di mc 1 v vn m cho n khi cha t mc 2. Khi va
t mc 2 th bm P1 ngt, cn P2 vn bm. V P1 vn ngt cho n khi nc li di
mc 1, P2 vn m, ch khi nc t mc3 th P2 mi ngt. V P2 vn ngt, ch m khi nc
li xung di mc 1
Gii:
M ho trng thi:
+ a=1 khi mc nc ln hn huc bng mc 1, trng hp khc a=0
+ b=1 khi mc nc ln hn huc bng mc 2, trng hp khc b=0
+ c=1 khi mc nc ln hn huc bng mc 3, trng hp khc c=0
+ P=1 : Bm m; P=0 : bm ng

P1
Vo

P2
Vo
Mc3

c
b

Mc2

Mc1

Ra

Mch
logic

P1
P2

137

hnh trng thi:


T cc d liu u bi, lp hnh trng thi nh sau:

S0

S1
a

P1=0
P2=0

P1=1
P2=1

b
P1=0
P2=1
S2

- Trng thi S0 tng ng khi nc ln hn huc bng mc 3, c 2 bm P1 v P2 u ngt.


- Khi mc nc tt xung mc 1( a ), mch chuyn sang trng thi S1 lc c 2 bm u
m.
- Khi nc t mc 2(b), mch chuyn sang trng thi S2 bm P1 vn bm, P2 tt. C 2 kh
nng sy ra
+ Nu nc tip tc dng ln mc 3(c) mch s chuyn sang trng thi S0 v c 2 bm u
tt.
+ Nu nc gim xung mc 1( a ) mch chuyn sang trng thi S1; P1 vn bm, P2 tt.
Bng trng thi:
T hnh trng thi ta c bng trng thi, do ngha vt l ca cc bin vo, nn ch c th
c 4 kh nng sau ca abc: 000;100;110;111.
S

abc

000

100

110

111

S1
P1=1
P2=1

S0
P1=0
P2=0

S0
P1=0
P2=0

S0
P1=0
P2=0

S1
P1=1
P2=1

S1
P1=1
P2=1

S2
P1=0
P2=1

S1
P1=1
P2=1

S2
P1=0
P2=1

S2
P1=0
P2=1

S0

S1

S2
S0
P1=1
P2=1

M ho trng thi:

138

T bng trn ta thy, ng vi mi ct cu t hp tn hiu vo mch lun c it nht mt


trng thi n nh, ngha l trong mch khng c hin tng chu k, nh vy khi m ho ch
cn trnh hin tng chy ua, gii php thc hin bng vic thm mt trng thi gi S3:
S0
P1=0
P2=0

S1
AB
00

P1=0
P2=0

AB
10

AB
11
c

S3

AB
01

P1=1
P2=1

P1=0
P2=1
S2

H phng trnh ca mch:


T hnh trng thi, ta c h phng trnh ca mch nh sau:
SA=(Tp hp bt ca A)+[(1)]=b.B
RA=(Tp hp tt ca A)+[(0)]= B + B a = B + a
SB=(Tp hp bt ca B)+[(1)]= a A
RB=(Tp hp tt ca A)+[(0)]=cA
M phng trnh c trng ca RS-FF:
Q'A=SA+ R A Q A thay gi tr ca RA,SA vo ta c:
Q'A=A'= b.B + ( B + a ). A = b.B + a. A.B
v
B'= a A + c. A.B = a A + (c + A) B
Phng trnh tn hiu ra:
P1= A.B
P2= A.B +AB=B
T ta xy dng c s nh sau:

139

A
'

a
RA A

RB B

RA A

RB B

B
'

P1

P2
c

A', B': trng thi tip theo

140

CHNG 13
THIT K MCH S DNG MSI V LSI
I. KHI NIM:

Thit k mch s dng mch tch hp c va MSI v tch hp c ln LSI c mt s c im


khc vi mch SSI nghin cu:

SSI

MSI, LSI

Bi ton

Bi ton

Xc nh
phng trnh

Chn cc vi mch
MSI, LSI

Ti
thiu ho

Ni cc MSI, LSI cho trc dng


trn SSI nu cn

Thit k mch s dng MSI v LSI c th khng s dng ht kh nng ca mch,


nhng li kinh t v lm cho mch tng th c kch thc nh hn nhiu.
Cc vi mch thng dng di dng MUX, DEMUX,CODER, DECODER, ROM,
PLASau y l cc v d

141

II. B DN KNH MUX


1. S tng qut:
E(Enable)

0
0

1
f

MUX

2n

2n

2. Cc ng d ng
a. Chuyn i d liu song song thnh ni tip
Minh ho bin i 8 bit song song thnh ni tip, vic iu khin a tn hiu ra thc hin
nh b m nh phn 3 u ra

D0
D1

Cc bt ra ni tip
(theo xung nhp)

MUX
D7

A
Ck

b, Mch to dy tn hiu tun hon:


Mun to dy tn hiu tun hon dng MUX ta thc hin ni cc u vo MUX, v ni vi
mc logic nht nh, vic thc hin a tn hiu ra nh mt b m nh phn m cc u ra
ca b m nh phn ny c a vo cc u vo iu khin ca MUX , v d to tn
hiu tun hon 10010011:

142

+5V
D7

10010011

MUX
D0
A
Ck

II. ROM(READ ONLY MEMORY-B NH CH C)


1. S cu to:

n u vo a
ch

n u
vo a
ch

m u ra
d liu

ROM

Ma trn
AND

Ma trn
OR

m u ra
d liu

2n tch

2. ng dng
a, Dng lm b nh trong, lu cc chng trnh c nh ca my tnh.
b, Dng thit k cc mch t hp

143

Khi dng ROM thit k mch t hp, coi X nh a ch vo ca ROM v Y nh l


cc s liu ra ca ROM, ROM c s dng nh mt bng tra cu(ging bng chn l trong
thit k mch t hp)

A
0
0
0
0
1
1
1
1

i ch
B
C
0
0
0
1
1
0
1
1
0
0
0
1
1
0
1
1

Z1
1
0
1
1
1
0
0
0

Z2
1
0
0
0
0
0
0
1

u ra
Z4
Z5
1
1
1
0
0
1
0
1
0
1
1
0
0
0
1
1

Z3
0
0
1
1
1
0
1
1

Z6
0
0
0
0
0
1
0
0

Z7
0
0
1
0
0
1
0
0

Z8
1
0
0
0
0
1
0
1

V d: Dng ROM xy dng b gii m nh phn sang 7 vch, c bng chn l nh


trn, cc u vao c xem l u a ch ca ROM, cc u ra 7 vch chnh l u ra ca
ROM.

M 7 vch:
M
16
0
1
2
3
4
5
6
7
8
9
A
B
C
D
E
F

H
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

M nh phn
G
F
0
0
0
0
0
1
0
1
1
0
1
0
1
1
1
1
0
0
0
0
0
1
0
1
1
0
1
0
1
1
1
1

E
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

P
1
0
1
1
0
1
1
1
1
1
1
0
1
0
1
1

Q
1
1
1
1
1
0
0
1
1
1
1
0
0
1
0
0

R
1
1
0
1
1
1
1
1
1
1
1
1
0
1
0
0

M 7 vch
S
T
1
1
0
0
1
1
1
0
0
0
1
0
1
1
0
0
1
1
1
0
0
1
1
1
1
1
1
1
1
1
0
1

U
1
0
0
0
1
1
1
0
1
1
1
1
1
0
1
1

V
0
0
1
1
1
1
1
0
1
1
1
1
0
1
1
1

Ma trn ni nh sau:

144

145

P0
P1
P2
P3
P4
P5
P6
P7
P8
P9
P10
P11
P12
P13
P14
P15
P

146

Chng 14 : CHUYN I TNG T S


I. KHI NIM CHUNG
Ngy nay vic truyn t tn hiy cng nh qu trnh iu khin v ch
th phn ln c thc hin theo phng php s. Trong khi tn hiu t
nhin c dng tng t nh:nhit ,p sut ,cng nh sng,tc quay,tn
hiu m thanh kt ni gia ngun tn hiu tng t vi cc h thng x l
s ngi ta dng cc mch chuyn i tng t sang s(ADC) nhm bin i
tn hiu tng t sang s hoc trong trng hp ngc li cn bin i tn hiu
s sang tng t thi dng cc mch DAC (Digital Analog Converter).
II. NGUYN TT THC HIN CHUYN I ADC
Mch chuyn i tin hiu tng t sang s,chuyn mt tn hiu ng vo
tng t (dng in hay in p) thnh dng m s nh phn c gi tr tng
ng.
Chuyn i ADC c rt nhiu phng php.Tuy nhin,mi phng php
iu c nhng thng s c bn khc nhau:
+ chnh xc ca chuyn i AD.
+ Tc chuyn i .
+ Di bin i ca tn hiu tng t ng vo
Startcommand
VA
VA

Control
Unit

clock

Comparator
D/A

Register

converter

Digital output

Hnh 6.1 S khi tng qut ca mch ADC


Hot ng
-u tin kch xung start b ADC hot ng
-Ti mt tn s c xc nh bng xung clock b iu khin lm thay
i thnh s nh phn c lu tr trong thanh ghi(Register).-S nh phn trong
thanh ghi c chuyn thnh dng in p Va bng b chuyn i DA.
-B so snh,so snh Va vi in p ng vo Va .Nu Va < Va th ng ra
ca b so snh vn gi mc cao. Khi Va > Va ng ra ca b so snh xung mc
thp v qu trnh thay i s ca thanh ghi ngng. Lc ny Va gn bng Va ,
nhng s trong thanh ghi l nhng s cn chuyn i .
III. CC PHNG PHP CHUYN I AD
1. Phng php tch phn (Intergration method)
Phng php tch phn cng ging nh phng php chuyn i
ADC dng tn hiu dc i (Dual-Slope-ADC). Cu trc mch in n gin
hn nhng tc chuyn i chm.

147

C
Vi

R
_
_

Vref
in

Cloc
k

Mch tch

Mch so snh

Mch
logic
iu

Start

B m


Ng ra

Hnh 6.2 : S nguyn l c bn ca mch chuyn i AD dng phng


php tch phn
* Hot ng
-Khi c xung start mch m a v trng thi reset. Mch logic iu
khin kha K v tri 1, in p tng t Vin c np vo t in C vi thi
hng t1 tn hiu ng ra ca mch tch phn gim dn,v cho n khi nh hn 0V
th ng ra ca b so snh ln mc 1,do mch logic iu khin m cng cho
xung clock vo mch m. Sau khong thi gian t1 mch m trn mch logic
iu khin kha K v tr 0,khi in p m Vref c a vo ng vo ca
mch tch phn,t in C x in vi tc khng i, sau khong thi gian t2
tn hiu ng ra ca mch tch phn tng dn,do ng ra ca mch so snh
xung ,mc thp lm cho mch logic iu khin ng cng v bo kt thc
chuyn i. Trong sut khong thi gian x in t2 mch m vn tip tc m
kt qu ca mch m cng chnh l tn hiu s cn chuyn i tng ng vi
in p tng t ng vo Vin .
Mi quan h gia in p ng vo Vin v in p chun Vref vi t1,t2
t2=t1.vin/vref

t1=2n/fck :thi gian mch m t 0 n khi trn


t2=N/fck : thi gian mch m t khi trn n kt qu sau cng
-Biu thc ny khng ph thuc vo thi hng RC,cng nh s xung
clock(nu mch lm vic n nh).
-Cc tn hiu tng t Vin qua mch tch phn nn cc tn hiu nhiu u
b loi b.

148

-Nhc im ca mch ny l thi gian chuyn i chm,gia 2n chu k


xung clock trong ln ly tch phn trong thi gian t1 va N chu k trong ln ly
tch phn trong thi gian t2. Thi gian chuyn i ln nht khi t1=t2.
Thi gian chuyn i: T = t1+t2
2. Phng php ADC xp x lin tip(Successive- Approximation
ADC)
y l mt trong nhng phng php d9uo75c s dng rng ri. Tuy
nhin,mch in c phc tp nhng thi gian chuyn i ngn hn. Phng
php chuyn i ADC xp x lin tip c thi gian chuyn i c nh khng
ph thuc vo in p ng vo.
VA

+
VA

Clock
Logic iu khin
MSB
LSB

Start
EOC

Thanh ghi iu khin

DAC

Hnh 6.3 : S khi chuyn i ADC dng phng php xp x lin tip.
* Hot ng
Khi tc ng cnh xung ca xung start th ADC bt u chuyn i .
-Mch logic iu khin t bit c ngha ln nht(Most Signifi cant Bit
)ca thanh ghi iu khin ln mc cao v tt c cc bit cn li mc thp.S
nh phn ra mch thanh ghi iu khin cqua mch DAC to ra in p
tham chiu Va.
Nu Va >Va th ng ra b so snh xung mc thp ,lm cho mch logic
iu khin xa bit MSB xung mc thp.
Nu Va<Va th ng ra ca b so snh vn mc cao v lm cho mch
logic iu khin gi bit MSB mc cao.
Tip theo mch logic iu khin a bit c ngha k bit MSB ln mc
cao v to ng ra khi DAC mt in p tham chiu va ri em so snh
tng t nh bit MSB trn .Qu trnh ny c tip tc cho n bit cui cng
trong thanh ghi iu khin. Lc va gn bng Va ng ra ca mch logic iu
khin bo kt thc chuyn i.
Nh vy mch i ra n bit ch mt n chu k xung clock nn c th t
tc rt cao. Tuy nhin mch ADC xp x lin tip li khng th p ng vi
tn hiu tng t vo bin i cc nhanh .
3. Phng php song song (paralled method)

149

Mch ADC dng nguyn tc chuyn i song song hay cn gi l


phng php ADC nhanh, c cu trc mch in phc tp nhng tc chuyn
i rt cao .
Trong vi trng hp ngi ta cn mch chuyn i ADC c tc rt
cao v nhng tn hiu bin i nhanh nn khi chuyn sang dng s ngi ta c
mch ADC c tc cao .

Vref
R/2

13 ULSB
2
11

ULSB
+
9 ULSB
2
7
U
2 LSB

1D
C1

X1

1D
C1

X2

1D
C1

X3

1D
C1

X4

55
U
22 LSB

D2
D1

1D
C1

X5

1D
C1

X6

1D
C1

X7

D0

3
U
2 LSB

1
U
2 LSB
R/2

Vin

150

Hnh 6.4 S khi mch chuyn i AD dng phng php song song
* Hot ng
Mch bao gm: khi so snh song song v mch m ho. Tn hiu tng
t c vo cc mch so snh cng mt lc, cc trng thi ra ca mch so snh
c a vo cc flip flop D a n b m ha,u ra ca mch m ha
chnh l u ra ca mch ADC.
Mch so snh v mch m ha l loi mch c tc x l rt cao nn
tng thi gian tr ch vi chc ns,nh vy s chuyn i xy ra rt nhanh. Tuy
nhin vi mch ADC nhanh 3 bit th n i hi by b so snh khi 6 bit th
cn n 63 b so snh l nhc im ca mch ADC dng phng php so
snh .
Bng s tht ca mch chuyn i

151

Chng 3 :

KHO ST B NH BN DN

Vi iu khin (Microcontroller) l IC chuyn v x l d liu iu khin theo


mt chng trnh, mun vi iu khin thc hin mt cng vic g th ngi s dng
phi lp trnh. Chng trnh phi c lu tr mt b phn no , vi iu khin
nhn lnh v thi hnh, i khi trong lc x l,chng trnh ca vi iu khin cn ni
lu tr tm thi d liu chnh ca b nh. Cc b nh ca vi iu khin l cc IC,
cc IC nh ny c th c d liu ra, ghi d liu vo hoc ch c d liu ra. i khi
b nh ca vi u khin khng lu tr nhng thng tin cn thit khi chy
chng trnh, khi o phi dng k thut m rng b nh.
B NH CH C(ROM:Read Only Memory)
Loi b nh ny c thit k lu tr cc d liu c nh. Trong lc
hot ng bnh thng d liu mi khng th no ghi c vo ROM, m d
liu ch n ra t ROM. ROM dng lu tr cc chng trnh ca my tnh
do khng b mt d liu khi mt in
S ROM c dung lng 32 x4bit

Add Bus

Ao
A1
A2
A3

D0
D1
ROM

Data

D7

CS\ RD\ WR\


Controliu khin.Vi b nh ROM trn bus a
ROM c 3 bus:bus d liu,bus a ch,bus
ch c 4 ng nn c dung lng b nh l 24=16.Bus d liu c 8 ng,t d liu
l 8bit hay 1byte,vy b nh ROM ny c dung lng l 16byte.Bus iu khin cho
php ROM hot ng c hay vit, c d liu ca nh no phi cung cp a ch
ca nh ti cc ng vo a ch tc ng n ng vo cho php CS\.

152

1. Cu trc bn trong ca ROM


Row0
A0
A1

D7

D0
CS

A2
A3

1of
4
deco

R1

R4

R8

R1

R1

R5

R9

R13

R2

R6

R1

R1

R3

R7

R1

R1

Row3

Out
put
buff
Column 0

1of 4
decod
4.1 S cu trc n gin ca ROM16 x8
-er Hnh
Column 3

Cu trc ca ROM rt phc tp,t s trn th cu trc ca ROM gm c 4


phn chnh
+ Gii m hng
+ Gii m ct
+ Ma trn thanh ghi
+m ng ra
- Ma trn thanh ghi: Lu tr d liu c lp trnh t ROM,mi thanh ghi
cha mt t d liu,nh trong trng hp trn mi thanh ghi lu tr bn t
d liu bit. Ng ra ca t d liu 8 bit c kt ni vi bit d liu bn trong.
Mi thanh ghi c hai ng vo cho php.Thanh ghi no c hai ng vo cho
php mc cao th d liu s gi l bus d liu.
- Gii m a ch: m a ch A3A2A1A0 dng xc nh thanh ghi no trong
ma trn c php t t d liu 8bit ln bus d liu .Hai bit a ch A0A1
c a n b gii m hai ng sang bn ng la chn mt trong
bn dng,hai bit a ch A2A3 c a n b gii m th hai chn mt
trong bn ct. Ch duy nht mt thanh ghi trong mt hng v mt ct c
chn bi mt a ch ng vo,v thanh ghi ny c php gi d liu ln
bus.
- m ng ra: d liu do thanh ghi gi ra s c a vo b m,b m s
gi d liu ra cc ng d liu bn ngoi,khi tn hiu iu khin CS mc
cao. Nu CS mc thp th b m ng ra trng thi tng tr cao v cc
ng d liu D0 D7 s c th ni
2. Thi hng truy xut b nh ROM
C mt khong thi gian t lc p t a ch ti cc ng vo a ch ca ROM
n lc d liu xut hin ng ra(trong lc ROM hot ng) thi gian ny gi l thi

153

gian tr hay thi gian truy xut.Khong thi gian t lc ng vo cho php CS\ n lc
d liu xut hin gi l thi gian cho php xut d liu.
Gin thi hng truy xut ca Rom
1

Add input Old address

tacc
CS

toe

High-Z

t0

t1

t2

t3

3. Cc loi b nh ROM
Maskable Programmed ROM(ROMmt n): y l loi ROM do nh sn xut
np sn chng trnh,khi np chng trnh th cc bit tring ROM ny khng c
thay i na.
Programmable ROM(PROM): loi ROM ny ngi s dng c th np chng
trnh v ch np mt ln khng th xa c.
ErasableProrammable ROM(EPROM): loi ROM ny c th lp trnh bi
ngi s dng v c th xa np nhiu ln . xa d liu trong EPROM phi dng
nh sng cc tm xa, lp trnh cho ROM phi dng mch np EPROM.
EPROM c hai im bt li: phi ly EPROM ra khi soket xa v lp trnh
li khi mun thay i chng trnh .Khi mun thay i d liu nh th phi xa d
liu ca nh ,nhnng khi dng nh sng cc tm th tt c d liu trong EPROM b
xa sch v phi np li ton b d liu.
4. Kho st b nh EPROM 2764
Trong cc mch iu khin dng vi x l PROM c s dng rt ph bin v
n cho php ngi s dng c th np v xa cc chng trnh d dng theo yu cu
ca mi ngi. EPROM 2764 c dung lng 8kbyte c s chn v s logic nh
sau:

154

Vpp
A12
A7
A6
A5
A4
A3
A2
A1
A0
D0
D1
D2
GND

2764

Vcc
PGM
NC
A8
A9
A11
OE\
A10
CE\
D7=
D6
D5
D4
D3

A0

D0
D1
D2
D3
D4
D5
D6
D7

2764
A12
CE\

OE\
PGM\
VPP

Hnh
4.2
S

chn
v
s

logic
EPROM
2764
EPROM 2764 c 13 ng a ch v 8 ng d liu nn dung lng ca 2764 l
13
2 =8192byte d lu hay 8kbyte ,c 2 ngun cung cpVcc v Vpp ng vo Vcc lun ni ti ngun
5v ng vo Vpp c ni ti ngun+5v khi EPROM ang lm vic ch c d liu v ni ti
ngun
26v
khi
lp
trnh
cho
EPROM
Hai ng vo iu khin:
OE\ c dng iu khin b m cho php d liu ca EPROM xut ra ngoi hay khng
.
CE\ l ng vo cho php c hai chc nng :khi hot ng bnh thngCE\ l it1n hiu cho
php dc d liu t EPROM,CE\ phi mc thp mch in bn trongla chn d liu v
chuyn n n output buffer kt hp vi tn hiu cho OE\ mc thp,th d liu mi xut cc ng
raD0-D7.Khi CE\ mc cao th EPROM trng thi ch(Standby).cng sut tiu tn lc ny
132mw.
Bng trng thi lm vic ca EPROM

MO
DE

OE\
E\

REA

Vil

GM\
Vil

D
STA
NDBY
PRO
GAM
PRO
GRAM

P
pp
V
ih

cc

cc

ih

il

il

ih

H
ighZ

V
cc

V
pp

out

cc

pp

Vil

O
utput

cc

cc

il

D
in

V
cc

D
out

155

VERYFY
PRO
GRAM
INHIBIT

X
ih

V
pp

H
ighZ

cc

II.B NH RAM
-Ram l b nh truy xut ngu nhin, c ngha l bt k nh no cng d dng truy xut
nh nhng nh khc.
-Khuyt im ca Ram l d liu lu tr trong Ram s mt khi mt in.
-u im chnh ca Ram l c th c v ghi nhanh chng
1.Cu Trc Ca Ram
Tng t nh b nh Rom,b nh Ram cng gm c mt s thanh ghi .mi thanh ghi lu tr
1 t d liu duy nht v mt d liu duy nht.Dung lng ca b nh Ram l 1K,2K ,8K, 16K
Data
,32K, 64K, 128K, 256K, 512K, v 1024K.v
t 72 d liu l 8 hoc 4 bit.
INPUT BUFFER

RW

Register 0

Address

Register 1

A5
A4
A3
A2
A1
A0

CS\

Register 2

Register

Deco

Selects One

Register
63
Output
Oo O1 O2 O3

Data

Hnh 4.3 S cu trc bn trong Ram 64x4


a.Hot ng c d liu t Ram
M a ch ca nh cn c d liu c a n ng vo a ch cu Ram ng thi ng
tn hiu iu khin R/W phi mc logic 1 v ng vo cho php(CS) phi mc logic1.khi d
liu mi xut hin ng ra d liu.
Khi R/W=1 s khng cho php b m ng vo, do d liu ng vo khng nh hng g
n nh ang truy xut.
b. Hot ng ghi d liu ln Ram
ghi d liu vo thanh ghi c la chn bi cc ng vo a ch ca b nh Ram,i hi
ng voR/W=0 v CS=1.T hp hai mc logic ny s cho php b m ng vo a t d liu
(4bit)

cc
ng
vo
s
c
np
thanh
ghi
c
chn

156

KhiR/W mc thp s khng cho php b m ng ra v ng ra trng thi tng tr


cao(trong lc ghi d liu).Khi ghi d liu vo nh th d liu trc s mt i .
c. Chip selet (cs)
Hu ht cc b nh u c hot nhiu ng vo CS ,c dng cho php hoac khng cho
php b nh hot ng trong nhiu trng hp kt ni nhiu b nh.Khi khng cho tt c cc ng
vo d liu v ng ra d liu trng thi tng tr cao.
d. Nhng chn data input-output
gim s chn cho mt Icnh ch to kt hp 2 chc nng data input v data output thnh
mt chn Input/output, chng c chc nng ca cc chn I/O.Khi hot ng c,c chn I/O hot
ng nh l cc chn xut d liu.Khi ghi d liu, cc chn I/o hot ng nh l cc chn d liu.
2 . Cc loi Ram
Ram c chia lm 2 loi:
-SRAM(Static RAM);l mt loi linh kin m vic lu tr d liu da vo nguyn tc hot
ng ca flip flop D.D liu vo tn ti mt trong haitrng thi logic ca mch s.
DRAM(Dynamic Ram):l loi linh kin nh m d liu lu tr nh in tch tr trong t in.

157

You might also like