You are on page 1of 199

Review Questions/Answers Review Questions/Answers

Low Power Circuits and Systems Low Power Circuits and Systems
Review Questions/Answers Review Questions/Answers
Low Power Circuits and Systems Low Power Circuits and Systems
Ajit Pal Ajit Pal
Professor Professor
Department of Computer Science and Engineering Department of Computer Science and Engineering
Indian Institute of Technology Kharagpur Indian Institute of Technology Kharagpur
INDIA INDIA--721302 721302
Review Questions of Lec Review Questions of Lec--11
Q1. Why low power has become an important issue in Q1. Why low power has become an important issue in
the present day VLSI circuit realization? the present day VLSI circuit realization?
Q2. How reliability of a VLSI circuit is related to its Q2. How reliability of a VLSI circuit is related to its
power dissipation? power dissipation?
Q3. How environment is affected by the power Q3. How environment is affected by the power
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
dissipation of VLSI circuits? dissipation of VLSI circuits?
Q4. Why leakage power dissipation has become an Q4. Why leakage power dissipation has become an
important issue in deep submicron technology? important issue in deep submicron technology?
Q5. Distinguish between energy and power dissipation Q5. Distinguish between energy and power dissipation
of VLSI circuits. Which one is more important for of VLSI circuits. Which one is more important for
portable systems? portable systems?
2
Answer to Questions of Lec Answer to Questions of Lec--11
Q1. Why low power has become an important issue in the present Q1. Why low power has become an important issue in the present
day VLSI circuit realization? day VLSI circuit realization?
Ans Ans: In deep submicron technology the power has become as : In deep submicron technology the power has become as
one of the most important issue because of: one of the most important issue because of:
Increasing transistor count; the number of transistors is Increasing transistor count; the number of transistors is
getting doubled in every 18 months based on getting doubled in every 18 months based on Moore,s Moore,s Law Law
Higher speed of operation; the power dissipation is Higher speed of operation; the power dissipation is
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Higher speed of operation; the power dissipation is Higher speed of operation; the power dissipation is
proportional to the clock frequency proportional to the clock frequency
Greater device leakage currents; In nanometer technology Greater device leakage currents; In nanometer technology
the leakage component becomes a significant percentage of the leakage component becomes a significant percentage of
the total power and the leakage current increases at a faster the total power and the leakage current increases at a faster
rate than dynamic power in technology generations rate than dynamic power in technology generations
3
Answer to Questions of Lec Answer to Questions of Lec--11
Q2. How reliability of a VLSI circuit is related to its power Q2. How reliability of a VLSI circuit is related to its power
dissipation dissipation??
Ans Ans: It has been observed that : It has been observed that eevery very 10 10C rise in temperature C rise in temperature
roughly doubles the failure roughly doubles the failure rate because various fa rate because various faiilure mechanism lure mechanism
such as silicon interconnect fatigue, such as silicon interconnect fatigue, electromigration electromigration diffusion, diffusion,
junction diffusion and thermal runaway starts occurring as junction diffusion and thermal runaway starts occurring as
temperature increases. temperature increases.
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
temperature increases. temperature increases.
Q3. How environment is affected by the power dissipation of VLSI Q3. How environment is affected by the power dissipation of VLSI
circuits circuits??
Ans Ans: : According to an estimate of the U.S. Environmental According to an estimate of the U.S. Environmental
Protection Agency (EPA), 80% of the power consumption by Protection Agency (EPA), 80% of the power consumption by
office equipment are due to computing equipment and a large office equipment are due to computing equipment and a large
part from unused part from unused equipment. Moreover, the power equipment. Moreover, the power is dissipated is dissipated
mostly in the form of heat. The cooling techniques, such as AC mostly in the form of heat. The cooling techniques, such as AC
transfers the heat to the environment. transfers the heat to the environment.
Answer to Questions of Lec Answer to Questions of Lec--11
Q4. Why leakage power dissipation has become an important issue Q4. Why leakage power dissipation has become an important issue
in deep submicron technology in deep submicron technology??
Ans Ans: : In In deep submicron technology deep submicron technology the leakage component the leakage component
becomes a significant percentage of the total power and the becomes a significant percentage of the total power and the
leakage current increases at a faster rate than dynamic power leakage current increases at a faster rate than dynamic power in in
new new technology technology generations. That is why the leakage power has generations. That is why the leakage power has
become an important issue. become an important issue.
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
become an important issue. become an important issue.
Q5. Distinguish between energy and power dissipation of VLSI Q5. Distinguish between energy and power dissipation of VLSI
circuits. Which one is more important for portable systems circuits. Which one is more important for portable systems??
Ans Ans: Power (P) is the power dissipation in Watts at different : Power (P) is the power dissipation in Watts at different
instances of time. On the other has energy (E) refers to the energy instances of time. On the other has energy (E) refers to the energy
consumed in Joule over a period of time (E = P*t). consumed in Joule over a period of time (E = P*t).
Review Questions of Lec Review Questions of Lec--22
Q1. What are the commonly used conducting layers Q1. What are the commonly used conducting layers
used in IC fabrication? used in IC fabrication?
Q2. Show the basic structure of a MOS transistor. Q2. Show the basic structure of a MOS transistor.
Q3. What is the latch up problem that arises in bulk Q3. What is the latch up problem that arises in bulk
CMOS technology? How is it overcome? CMOS technology? How is it overcome?
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Q3. Distinguish between the bulk CMOS technology with Q3. Distinguish between the bulk CMOS technology with
the the SoI SoI technology fabrications. technology fabrications.
Q4. What are the benefits of SOI technology relative to Q4. What are the benefits of SOI technology relative to
conventional bulk CMOS technology? conventional bulk CMOS technology?
Answer to Questions of Lec Answer to Questions of Lec--22
Q1. What are the commonly used conducting layers used in IC Q1. What are the commonly used conducting layers used in IC
fabrication? fabrication?
Ans Ans: : Fabrication involves fabrication of Fabrication involves fabrication of ppatterned layers of the atterned layers of the
three conducting materials: three conducting materials: metal metal, , poly poly--silicon silicon and and diffusion by diffusion by
using using a series of photolithographic techniques and chemical a series of photolithographic techniques and chemical
processes involving oxidation of silicon, diffusion of impurities processes involving oxidation of silicon, diffusion of impurities
into the silicon and deposition and etching of aluminum or into the silicon and deposition and etching of aluminum or
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
into the silicon and deposition and etching of aluminum or into the silicon and deposition and etching of aluminum or
polysilicon polysilicon on the silicon to provide interconnection. on the silicon to provide interconnection.
Answer to Questions of Lec Answer to Questions of Lec--22
Q2. Show the basic structure of a MOS transistor.
Ans: The basic structure of a MOS transistor is given below. On a
lightly doped substrate of silicon two islands of diffusion regions
called as source and drain, of opposite polarity of that of the
substrate, are created. Between these two regions, a thin insulating
layer of silicon dioxide is formed and on top of this a conducting
material made of poly-silicon or metal called gate is deposited.
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
8
substrate
Source
Drain
Gate
Metal
Polysilicon
Oxide
Diffusion
Depletion
Answer to Questions of Lec Answer to Questions of Lec--22
Q3 Q3. What is the latch up problem that arises in bulk CMOS . What is the latch up problem that arises in bulk CMOS
technology? technology?
Ans Ans: The latch : The latch--up is an inherent problem in both n up is an inherent problem in both n--well as well as p well as well as p--
well based CMOS circuits. The phenomenon is caused by the well based CMOS circuits. The phenomenon is caused by the
parasitic bipolar transistors formed in the bulk of silicon as shown parasitic bipolar transistors formed in the bulk of silicon as shown
in the figure for the n in the figure for the n--well process. well process. Latch Latch--up up can be defined as the can be defined as the
formation of a low formation of a low--impedance path between the power supply and impedance path between the power supply and
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
formation of a low formation of a low--impedance path between the power supply and impedance path between the power supply and
ground rails through the parasitic ground rails through the parasitic npn npn and and pnp pnp bipolar transistors. bipolar transistors.
As shown the BJTs are cross As shown the BJTs are cross--coupled to form the structure of a coupled to form the structure of a
silicon silicon--controlled controlled--rectifier (SCR) providing a short rectifier (SCR) providing a short--circuit path circuit path
between the power rail and ground. Leakage current through the between the power rail and ground. Leakage current through the
parasitic resistors can cause one transistor to turn on, which in parasitic resistors can cause one transistor to turn on, which in
turn turns on the other transistor due to positive feedback and turn turns on the other transistor due to positive feedback and
leading to heavy current flow and consequent device failure. leading to heavy current flow and consequent device failure.
9
Answer to Questions of Lec Answer to Questions of Lec--22
Q4. How the latch up problem can be overcome? Q4. How the latch up problem can be overcome?
Ans Ans: There are several approaches to reduce the tendency : There are several approaches to reduce the tendency
of Latch of Latch--up. Some of the important techniques are up. Some of the important techniques are
mentioned below: mentioned below:
Use guard ring around p Use guard ring around p-- and/or n and/or n--well with frequent well with frequent
contacts to the rings contacts to the rings
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
contacts to the rings contacts to the rings
To reduce the gain product B1XB2 To reduce the gain product B1XB2
Moving the n Moving the n--well and the n+ source/drain further apart well and the n+ source/drain further apart
Buried n+ layer in well to reduce gain of Q1 Buried n+ layer in well to reduce gain of Q1
Higher substrate doping level to reduce R Higher substrate doping level to reduce R--sub sub
Reduce R Reduce R--well by making low resistance contact to GND well by making low resistance contact to GND
10
Answer to Questions of Lec Answer to Questions of Lec--22
Q5. Distinguish between the bulk CMOS technology with Q5. Distinguish between the bulk CMOS technology with
the the SoI SoI technology fabrications. technology fabrications.
Ans Ans: In bulk CMOS technology, a lightly doped p : In bulk CMOS technology, a lightly doped p--type or type or
nn--type substrate is used to fabricate MOS transistors. type substrate is used to fabricate MOS transistors.
On the other hand, an insulator can be used as a On the other hand, an insulator can be used as a
substrate to fabricate MOS transistors substrate to fabricate MOS transistors
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
substrate to fabricate MOS transistors substrate to fabricate MOS transistors
11
Answer to Questions of Lec Answer to Questions of Lec--22
Q6. What are the benefits of SOI technology relative to conventional Q6. What are the benefits of SOI technology relative to conventional
bulk CMOS technology? bulk CMOS technology?
Ans Ans: Benefits of SOI technology relative to conventional silicon : Benefits of SOI technology relative to conventional silicon
(bulk CMOS): (bulk CMOS):
Lowers parasitic capacitance due to isolation from the bulk Lowers parasitic capacitance due to isolation from the bulk
silicon, which improves power consumption and thus high speed silicon, which improves power consumption and thus high speed
performance. performance.
Reduced short channel effects Reduced short channel effects
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Reduced short channel effects Reduced short channel effects
Better sub Better sub--threshold slope. threshold slope.
No Latch up due to BOX (buried oxide). No Latch up due to BOX (buried oxide).
Lower Threshold voltage. Lower Threshold voltage.
Reduction in junction depth leads to low leakage current. Reduction in junction depth leads to low leakage current.
Higher Device density. Higher Device density.
12
Review Questions Review Questions of Lec of Lec--33
Q1. What are the basic assumptions of the fluid model? Q1. What are the basic assumptions of the fluid model?
Q2. Explain the function of a MOS transistor in the Q2. Explain the function of a MOS transistor in the
saturation mode using fluid model. saturation mode using fluid model.
Q3. Explain the function of a MOS transistor in the Q3. Explain the function of a MOS transistor in the
nonsaturation nonsaturation mode using the fluid model. mode using the fluid model.
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Q4. Explain the three modes of operation of a MOS Q4. Explain the three modes of operation of a MOS
transistors. transistors.
Q5. Explain the linear region of the I Q5. Explain the linear region of the I--V characteristic of V characteristic of
an an nMOS nMOS transistor using the fluid model. transistor using the fluid model.
13
Answer to the Questions of Lec Answer to the Questions of Lec--3 3
Q1. What are the basic assumptions of the fluid model? Q1. What are the basic assumptions of the fluid model?
Ans Ans: There are two basic assumptions as follows: : There are two basic assumptions as follows:
(a) Electrical charge is considered as fluid, which can move from (a) Electrical charge is considered as fluid, which can move from
one place to another depending on the difference in their level, of one place to another depending on the difference in their level, of
one from the other, just like a fluid. one from the other, just like a fluid.
(b) Electrical potentials can be mapped into the geometry of a (b) Electrical potentials can be mapped into the geometry of a
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
container, in which the fluid can move around. container, in which the fluid can move around.
Q2. Q2. Explain the function of a MOS transistor in the Explain the function of a MOS transistor in the nonsaturation nonsaturation
mode using the fluid model mode using the fluid model..
Ans Ans: Gate voltage higher than the threshold voltage and the drain : Gate voltage higher than the threshold voltage and the drain
voltage is slightly higher than source voltage. In such a situation, voltage is slightly higher than source voltage. In such a situation,
as the drain voltage is increased the slope of the fluid flowing out as the drain voltage is increased the slope of the fluid flowing out
increases indicating linear increase in the flow of current. increases indicating linear increase in the flow of current.
14
Answer to the Questions of Lec Answer to the Questions of Lec--3 3
Q3. Explain the function of a MOS transistor in the saturation mode Q3. Explain the function of a MOS transistor in the saturation mode
using fluid model. using fluid model.
Ans Ans: : The saturation mode corresponds to the drain voltage is much The saturation mode corresponds to the drain voltage is much
higher than source voltage. higher than source voltage. In such a situation the slope of the In such a situation the slope of the
fluid cannot increase representing constant flow of fluid. fluid cannot increase representing constant flow of fluid.
Q4. Explain the three modes of operation of a MOS transistors Q4. Explain the three modes of operation of a MOS transistors..
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Ans Ans: The three modes are: : The three modes are:
(a) (a) Accumulation mode when Accumulation mode when Vgs Vgs is much less than is much less than Vt Vt
(b) (b) Depletion Depletion mode when mode when Vgs Vgs is equal to is equal to Vt Vt
(c) (c) Inversion Inversion mode when mode when Vgs Vgs is greater than is greater than Vt Vt
15
Answer to the Questions of Lec Answer to the Questions of Lec--3 3
Q5. What are the three regions of operation of a MOS transistor? Q5. What are the three regions of operation of a MOS transistor?
Ans Ans: The three regions are: : The three regions are:
Cut Cut--off off region region: This is essentially the accumulation mode, where : This is essentially the accumulation mode, where
there is no effective flow of current between the source and drain. there is no effective flow of current between the source and drain.
Non Non--saturated region saturated region: : This is the This is the active, active, linear linear or or week inversion week inversion
region, where the drain current is dependent on both the gate and region, where the drain current is dependent on both the gate and
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
drain voltages. drain voltages.
Saturated region: Saturated region: This is the This is the strong inversion strong inversion region, where the region, where the
drain current is independent of the drain drain current is independent of the drain--to to--source voltage but source voltage but
depends on the gate voltage. depends on the gate voltage.
16
Review Questions of Lec Review Questions of Lec--44
Q1. What is the threshold voltage of a MOS transistor? How it
varies with the body bias?
Q2. The following parameters are given for an nMOS process: t
ox
500, N
A
= 1x10
16
cm
-3
, N
D
= 1x10
20
cm
-3
, N
OX
= 2x10
10
cm
-1
. (i)
Calculate Vt for an unimplanted transistor, (ii) what type and what
concentration must be implanted to achieve Vt = +1.5V and Vt = -
2.0V?
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
2.0V?
Q3. What is channel length modulation effect? How the voltage
current characteristics are affected because of this effect?
Q4. What is body effect? How does it influences the threshold
voltage of a MOS transistor?
Q5. What is transconductance of a MOS transistor? Explain its role
in the operation of the transistor.
17
Answer to the Questions of Lec Answer to the Questions of Lec--44
Q1. What is the threshold voltage of a MOS transistor? How it
varies with the body bias?
Ans: One of the parameters that characterizes the switching
behavior of a MOS transistor is its threshold voltage V
t
. This can be
defined as the gate voltage at which a MOS transistor begins to
conduct.
Q2. The following parameters are given for an nMOS process: t
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Q2. The following parameters are given for an nMOS process: t
ox
500, N
A
= 1x10
16
cm
-3
, N
D
= 1x10
20
cm
-3
, N
OX
= 2x10
10
cm
-1
. (i)
Calculate Vt for an unimplanted transistor, (ii) what type and what
concentration must be implanted to achieve Vt = +1.5V and Vt = -
2.0V?
18
Q3. What is channel length modulation effect? How the voltage
current characteristics are affected because of this effect?
Ans: It is assumed that channel length remains constant as the
drain voltage is increased appreciably beyond the on set of
saturation. As a consequence, the drain current remains constant
in the saturation region. In practice, however the channel length
shortens as the drain voltage is increased. For long channel
Answer to the Questions of Lec Answer to the Questions of Lec--44
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
shortens as the drain voltage is increased. For long channel
lengths, say more than 5 m, this variation of length is relatively
very small compared to the total length and is of little consequence.
However, as the device sizes are scaled down, the variation of
length becomes more and more predominant and should be taken
into consideration. As a consequence, the drain current increases
with the increase in drain voltage even in the saturation region.
19
Q4. What is body effect? How does it influences the
threshold voltage of a MOS transistor?
Ans: All MOS transistors are usually fabricated on a common
substrate and substrate (body) voltage of all devices is normally
constant. However, as we shall see in subsequent chapters, when
circuits are realized using a number of MOS devices, several
Answer to the Questions of Lec Answer to the Questions of Lec--44
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
devices are connected in series. This results in different source
potentials for different devices. It may be noted that the threshold
voltage V
t
is not constant with respect to the voltage difference
between the substrate and the source of the MOS transistor. This is
known as the substrate-bias effect or body effect. Increasing the
V
sb
causes the channel to be depleted of charge carries and this
leads to increase in the threshold voltage.
20
Q5. What is transconductance of a MOS transistor?
Explain its role in the operation of the transistor.
Ans: Trans-conductance is represented by the change in
drain current for change in gate voltage for constant value
of drain voltage. This parameter is somewhat similar to ,
the current gain of bipolar junction transistors. The
Answer to the Questions of Lec Answer to the Questions of Lec--44
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
the current gain of bipolar junction transistors. The
following equation shows the dependence of on various
parameters. As MOS transistors are voltage controlled
devices, this parameter plays an important role in
identifying the efficiency of the MOS transistor.
21
Review Questions of Lec Review Questions of Lec--55
Q1. Explain the behaviour of a nMOS transistor as a switch.
Q2. Explain the behaviour of a pMOS transistor as a switch.
Q3. How one nMOS and one pMOS transistor are combined to
behave like an ideal switch.
Q4. The input of a lightly loaded transmission gate is slowly
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
changes from HIGH level to LOW level. How the currents through
the two transistors vary?
Q5. How its ON-resistance of a transmission gate changes as the
input varies from 0 V to Vdd, when the output has a light capacitive
load.
22
Q3. How one nMOS and one pMOS transistor are
combined to behave like an ideal switch.
Answer to the Questions of Lec Answer to the Questions of Lec--55
V
dd
0/V
dd 0/V
dd
0
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Ans: To overcome the limitation of either of the transistors, one
pMOS and one nMOS transistor can be connected in parallel with
complementary inputs at their gates. In this case we can get both
LOW and HIGH levels of good quality at the output. The low level
passes through the nMOS switch and HIGH level passes through
the pMOS switch without any degradation as shown in the figure.
23
Answer to the Questions of Lec Answer to the Questions of Lec--55
Q4. The input of a lightly loaded transmission gate is slowly
changes from HIGH level to LOW level. How the currents through
the two transistors vary?
Ans: Another situation is the operation of the transmission gate
when the output is lightly loaded (smaller load capacitance). In this
case, the output closely follows the input. In this case the
transistors operate in three regions depending on the input voltage
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
transistors operate in three regions depending on the input voltage
as follows:
Region I: nMOS non-saturated, pMOS cut-OFF
Region II: nMOS non-saturated, pMOS non-saturated
Region III: nMOS cut off, pMOS non-saturated
24
Q5. How its ON-resistance of a transmission gate
changes as the input varies from 0 V to Vdd, when the
output has a light capacitive load.
Ans: The variation of ON resistance is shown in the
figure. The parallel resistance remains more or less
constant.
Answer to the Questions of Lec Answer to the Questions of Lec--55
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
constant.
25
V
tp
| V
tn
| V
dd
R
ON
V
dd
- V
tn
R
eqp
|| R
eqn
R
eqp
R
eqn
C
L
V
dd
0
V
out
=V
in
-
V
I
dsn
+I
dsp
I
dsp
I
dsn
V
out
I
d
V
out
V
tp
| |
Review Questions of Lec Review Questions of Lec--66
Q1. Draw the ideal characteristics of a CMOS inverter Q1. Draw the ideal characteristics of a CMOS inverter
and compare it with the actual characteristics. and compare it with the actual characteristics.
Q2. What is noise margin? Find out the noise margin Q2. What is noise margin? Find out the noise margin
from the actual characteristics of the inverter. from the actual characteristics of the inverter.
Q3. Compare the characteristics of the different types Q3. Compare the characteristics of the different types
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
of MOS inverters in terms of noise margin and power of MOS inverters in terms of noise margin and power
dissipation. dissipation.
26
Answer to the Questions of Lec Answer to the Questions of Lec--66
Q1. Draw the ideal characteristics of a CMOS inverter Q1. Draw the ideal characteristics of a CMOS inverter
and compare it with the actual characteristics. and compare it with the actual characteristics.
Ans Ans: The ideal and actual characteristics are given : The ideal and actual characteristics are given
below. In the ideal characteristics, the output voltage is below. In the ideal characteristics, the output voltage is
Vdd Vdd for input voltage from o to for input voltage from o to Vdd Vdd/2 and 0 for input /2 and 0 for input
voltage from voltage from Vdd Vdd/2 to /2 to Vdd Vdd. This is not true in case of the . This is not true in case of the
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
voltage from voltage from Vdd Vdd/2 to /2 to Vdd Vdd. This is not true in case of the . This is not true in case of the
actual characteristics as shown below. actual characteristics as shown below.
27
V
out
V
in
2
V
dd
V
dd
V
dd
V
dd
V
OH
V
OL
V
IH
V
IL
V
out
V
in
V
T
V
IN
= V
OUT
Q2. What is noise margin? Find out the noise margin from the Q2. What is noise margin? Find out the noise margin from the
actual characteristics of the inverter actual characteristics of the inverter..
Ans Ans: : An important parameter called noise margin is associated with
the input-output voltage characteristics of a gate. It is defined as
the allowable noise voltage on the input of a gate so that the output
is not affected. The deviations in logic levels from the ideal values,
which are restored as the signal propagates to the output, can be
Answer to the Questions of Lec Answer to the Questions of Lec--66
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
which are restored as the signal propagates to the output, can be
obtained from the DC characteristic curves. The logic levels at the
input and output are given by
The noise margins are:
28
Q3. Compare the characteristics of the different types of MOS Q3. Compare the characteristics of the different types of MOS
inverters in terms of noise margin and power dissipation inverters in terms of noise margin and power dissipation..
Ans Ans: Various characteristic parameters are compared in the : Various characteristic parameters are compared in the
following table: following table:
Answer to the Questions of Lec Answer to the Questions of Lec--66
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
29
Review Questions of Lec Review Questions of Lec--77
Q1. What is the inversion voltage of an inverter? Find Q1. What is the inversion voltage of an inverter? Find
out the inversion voltage of a CMOS inverter. out the inversion voltage of a CMOS inverter.
Q2. How the inversion voltage is affected by the relative Q2. How the inversion voltage is affected by the relative
sizes of the sizes of the nMOS nMOS and and pMOS pMOS transistors of the CMOS transistors of the CMOS
inverter? inverter?
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Q3. Find out the noise margin of a CMOS inverter. Q3. Find out the noise margin of a CMOS inverter.
Q4. How the noise margin is affected by voltage Q4. How the noise margin is affected by voltage
scaling? scaling?
Q5. What is the lower limit of supply voltage of a CMOS Q5. What is the lower limit of supply voltage of a CMOS
inverter. What happens if the supply voltage is inverter. What happens if the supply voltage is further further
reduced? reduced?
30
Answer to Questions of Lec Answer to Questions of Lec--77
Q1. What is the inversion voltage of an inverter? Find out the Q1. What is the inversion voltage of an inverter? Find out the
inversion voltage of a CMOS inverter. inversion voltage of a CMOS inverter.
Ans Ans: The inversion voltage : The inversion voltage Vinv Vinv is defined as the voltage at which is defined as the voltage at which
the output voltage Vo is equal to the input voltage Vin. For a CMOS the output voltage Vo is equal to the input voltage Vin. For a CMOS
inverter it can be expressed in terms of the threshold voltages of inverter it can be expressed in terms of the threshold voltages of
the MOS transistors and other parameters. the MOS transistors and other parameters.
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
For For
31
Q2. How the inversion voltage is affected by the relative Q2. How the inversion voltage is affected by the relative
sizes of the sizes of the nMOS nMOS and and pMOS pMOS transistors of the CMOS transistors of the CMOS
inverter inverter??
Ans Ans: : In a CMOS process
Answer to Questions of Lec Answer to Questions of Lec--77
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
To make one may choose
to get to get Vinv Vinv = = Vdd Vdd/2 /2
32
Q3. Find out the noise margin of a CMOS inverter Q3. Find out the noise margin of a CMOS inverter..
Ans Ans: : For a symmetric inverter
Noise Margin
and
Q4. How the noise margin is affected by voltage Q4. How the noise margin is affected by voltage
Answer to Questions of Lec Answer to Questions of Lec--77
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Q4. How the noise margin is affected by voltage Q4. How the noise margin is affected by voltage
scaling? scaling?
Ans Ans: As the supply voltage is : As the supply voltage is
reduced, the margin also decreases reduced, the margin also decreases
as shown in the figure. as shown in the figure.
33
Vdd = 5V
Vdd = 4V
Vdd = 3V
Vdd = 2V
Vtn = 1V
Vtp = -1V
1 2 3 4 5
1
2
3
4
5
Input Voltage
O
u
t
p
u
t

V
o
l
t
a
g
e
Q5. What is the lower limit of supply voltage of a CMOS Q5. What is the lower limit of supply voltage of a CMOS
inverter. What happens if the supply voltage is further inverter. What happens if the supply voltage is further
reduced reduced??
Ans Ans: The lower limit of the supply voltage depends on : The lower limit of the supply voltage depends on
the sum of the threshold the sum of the threshold
voltages of the voltages of the nMOS nMOS and and
Vdd
Answer to Questions of Lec Answer to Questions of Lec--77
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
voltages of the voltages of the nMOS nMOS and and
the the pMOS pMOS transistors. transistors.
Vdd Vdd = = Vtn Vtn +| +|Vtp Vtp|. As the supply |. As the supply
voltage is reduced further, voltage is reduced further,
it leads to hysteresis in the it leads to hysteresis in the
ttransfer characteristics. ransfer characteristics.
34
Input Voltage
O
u
t
p
u
t

V
o
l
t
a
g
e
Vdd
Vtn
Vdd - IVtpI
Review Questions of Lec Review Questions of Lec--88
Q1. What is sheet resistance? Find out the expression Q1. What is sheet resistance? Find out the expression
of the resistance of rectangular sheet in terms of sheet of the resistance of rectangular sheet in terms of sheet
resistance. resistance.
Q2. Find out the capacitance of a MOS capacitor. Q2. Find out the capacitance of a MOS capacitor.
Q3. Find out the expression of delay time of a CMOS Q3. Find out the expression of delay time of a CMOS
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
inverter. inverter.
Q4. What are the various ways to reduce the delay time Q4. What are the various ways to reduce the delay time
of a CMOS inverter? of a CMOS inverter?
Q5. Explain the commonly used technique to estimate Q5. Explain the commonly used technique to estimate
the delay time of a CMOS inverter. the delay time of a CMOS inverter.
35
Answer to the Questions of Lec Answer to the Questions of Lec--88
Q1. What is sheet resistance? Find out the expression Q1. What is sheet resistance? Find out the expression
of the resistance of rectangular sheet in terms of sheet of the resistance of rectangular sheet in terms of sheet
resistance. resistance.
Ans Ans: The sheet resistance is defined as the resistance per unit area : The sheet resistance is defined as the resistance per unit area
of a sheet of material. of a sheet of material. Consider a rectangular sheet of material with Consider a rectangular sheet of material with
Resistivity = , Width = W, Thickness = t and Length = L.
Then, the resistance between the two ends is
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
36
ohm
A
L
W t
L
R
AB

= =
.
ohm R
t
R
S
= =

For L = W
Where, R
S
is defined as the sheet resistance
Then, the resistance between the two ends is
Q2. Find out the capacitance of a MOS capacitor. Q2. Find out the capacitance of a MOS capacitor.
Ans Ans: The capacitance of a parallel plate capacitor is given : The capacitance of a parallel plate capacitor is given
by by
Farads
0
D
A
Co
ins

=
Answer to the Questions of Lec Answer to the Questions of Lec--88
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Where A is the area of the plates and D is the thickness of Where A is the area of the plates and D is the thickness of
the insulator between the plates. the insulator between the plates.
37
Q3. Find out the expression of delay time of a CMOS Q3. Find out the expression of delay time of a CMOS
inverter inverter..
Ans Ans: The delay time td is given by the expression : The delay time td is given by the expression
2
1

|
|

(
(

+ =
t
L
p p
p
n n
n
d
V
V
C
W K
L
W K
L
t
Answer to the Questions of Lec Answer to the Questions of Lec--88
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Where C is the load capacitance, Where C is the load capacitance, Vdd Vdd is the supply is the supply
voltage and voltage and Vt Vt is the threshold voltages of the MOS is the threshold voltages of the MOS
transistors. transistors.
38
1
|
|

\
|

dd
t
dd
p p n n
V
V
V
W K W K
Q4. What are the various ways to reduce the delay time Q4. What are the various ways to reduce the delay time
of a CMOS inverter of a CMOS inverter??
Ans Ans: Various ways for reducing the delay time are given below: : Various ways for reducing the delay time are given below:
(a) The (a) The width of the MOS transistors can be increased to reduce the width of the MOS transistors can be increased to reduce the
delay. This is known as gate sizing, which will be discussed later in delay. This is known as gate sizing, which will be discussed later in
more details. more details.
Answer to the Questions of Lec Answer to the Questions of Lec--88
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
more details. more details.
(b) The (b) The load capacitance can be reduced to reduce delay. This is load capacitance can be reduced to reduce delay. This is
achieved by using transistors of smaller and smaller dimensions as achieved by using transistors of smaller and smaller dimensions as
provided by future generation technologies. provided by future generation technologies.
(c) Delay (c) Delay can also be reduced by increasing the supply voltage can also be reduced by increasing the supply voltage Vdd Vdd
and/or reducing the threshold voltage and/or reducing the threshold voltage Vt Vt of the MOS transistors. of the MOS transistors.
39
Answer to the Questions of Lec Answer to the Questions of Lec--88
Q5. Explain the commonly used technique to estimate Q5. Explain the commonly used technique to estimate
the delay time of a CMOS inverter. the delay time of a CMOS inverter.
Ans Ans: As the delay time of an inverter is very small, it : As the delay time of an inverter is very small, it
cannot be measured accurately using conventional cannot be measured accurately using conventional
method with the help of an oscilloscope. Delay is method with the help of an oscilloscope. Delay is
usually measured by realizing a ring oscillator using a usually measured by realizing a ring oscillator using a
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
usually measured by realizing a ring oscillator using a usually measured by realizing a ring oscillator using a
large number of inverters, say 101. Then the frequency large number of inverters, say 101. Then the frequency
of oscillation is measured using the expression of oscillation is measured using the expression
wwhere n is the number of inverters and td is the here n is the number of inverters and td is the
ddelay time. elay time.
40
d
nt
f
2
1
=
Review Questions of Lec Review Questions of Lec--99
Q1. Justify the reason for not recommending more than 4 pass
transistors to use in series in realizing logic circuits.
Q2. Draw the schematic diagram of an inverting super-buffer and
explain its operation.
Q3. Give the schematic diagram of a Bi-CMOS inverter. Explain its
operation. . Compare the switching characteristics of a BiCMOS
inverter with respect to that for static CMOS for different fan out
conditions.
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
conditions.
Q4. Prove that the delay of a series of pass transistors can be
reduced from quardratic dependence to linear dependence on the
number of transistors in series by inserting buffers at suitable
intervals.
Q5. Design a scaled chain of inverters such that the delay time
between the logic gate (C
g
= 100 fF) and a load capacitance 2 pF in
minimized. Find out the number of stages and stage ratio.
41
Answer to Questions of Lec Answer to Questions of Lec--99
Q1. Explain the basic concept of super buffer.
Ans: There are situations when a large load capacitance such as, There are situations when a large load capacitance such as,
long buffers, off long buffers, off--chip capacitive load, or I/O buffer are to be chip capacitive load, or I/O buffer are to be
driven by a driven by a gate. In gate. In such cases, the delay can be very high if such cases, the delay can be very high if
driven by a standard driven by a standard gate. gate. Limitations Limitations of driving by a simple of driving by a simple
nMOS nMOS inverter inverter is the a is the asymmetric symmetric drive capability of pull drive capability of pull--up and up and
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
nMOS nMOS inverter inverter is the a is the asymmetric symmetric drive capability of pull drive capability of pull--up and up and
pull pull--down devices ( down devices (ratioed ratioed logic logic). Moreover, when ). Moreover, when the pull the pull--down down
transistor is ON, the pull transistor is ON, the pull--up transistor also remains up transistor also remains ON. So, the ON. So, the
pull pull--down transistor should also sink the current of the pull down transistor should also sink the current of the pull--up up
device. Although this limitation is overcome in CMOS circuits, device. Although this limitation is overcome in CMOS circuits,
there is asymmetry in drive there is asymmetry in drive capability of pull capability of pull--up and pull up and pull--down down
devices having the same minimum size. devices having the same minimum size.
42
Q2. Draw the schematic diagram of an inverting and non-inverting
super-buffers and explain its operation.
Ans: The schematic diagrams of the super buffers are given below.
The average of the saturation currents for Vds = 5V and linear
current for Vds = 2.5V is approximately 4.4 pu for the standard
inverter. On the other hand the, for the super-buffer, the average
current is 19.06 pu, which is 4 times that of standard inverter.
Thus, the pull-up device is capable of sourcing about four times
Answer to Questions of Lec Answer to Questions of Lec--99
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Thus, the pull-up device is capable of sourcing about four times
the current of the standard nMOS inverter..
43
V
in
V
out
V
in
V
out
V
dd
V
dd
V
dd
2/1
1/2
2/1
1/2
2/1
1/2
Q3. Give the schematic diagram of a Bi-CMOS inverter. Explain its
operation. . Compare the switching characteristics of a BiCMOS
inverter with respect to that for static CMOS for different fan out
conditions.
Ans: The schematic diagram of a BiCMOS inverter is given below.
Higher current drive capability of bipolar NPN transistors is used in Higher current drive capability of bipolar NPN transistors is used in
realizing bi realizing bi--CMOS inverters. The delays of CMOS and CMOS inverters. The delays of CMOS and BiCMOS BiCMOS
inverters are compared for different fan inverters are compared for different fan--outs. It may be noted that outs. It may be noted that
for fan for fan--out of 1 or 2, CMOS provides smaller delay compared to out of 1 or 2, CMOS provides smaller delay compared to
Answer to Questions of Lec Answer to Questions of Lec--99
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
for fan for fan--out of 1 or 2, CMOS provides smaller delay compared to out of 1 or 2, CMOS provides smaller delay compared to
BiCMOS BiCMOS. However, as fan . However, as fan--out increases further, out increases further, BiCMOS BiCMOS performs performs
better and better. better and better.
44
V
dd
P
1
N
1
N
2
N
3
Q
1
Q
2
V
out
C
L
V
in
V
in
t
BiCMOS
CMOS
V
out
t
Q4. Design a scaled chain of inverters such that the delay time
between the logic gate (C
g
= 100 fF) and a load capacitance 2 pF in
minimized. Find out the number of stages and stage ratio.
Ans: It may be It may be noted noted that a MOS transistor of unit length (2) that a MOS transistor of unit length (2) has has
gate capacitance proportional to its width (W), which gate capacitance proportional to its width (W), which may be may be
multiple multiple of . With the increase of the width, of . With the increase of the width, the current driving the current driving
capability capability is increased. is increased. But, this But, this in turn, also increases the in turn, also increases the
gate gate capacitance. As a consequence the delay in driving a load capacitance. As a consequence the delay in driving a load
Answer to Questions of Lec Answer to Questions of Lec--99
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
gate gate capacitance. As a consequence the delay in driving a load capacitance. As a consequence the delay in driving a load
capacitance capacitance CC
LL
by by a transistor of gate capacitance Cg is given by the a transistor of gate capacitance Cg is given by the
relationship relationship .C .C
LL
// Cg . So, delay in driving by a single stage is Cg . So, delay in driving by a single stage is
2000/100 2000/100 = 200 = 200 . With n stages, where n dependent on the stage . With n stages, where n dependent on the stage
ratio, the delay is ratio, the delay is
45
min
ln
L
g
c
t nf e
c

(
= =
(
(

or ln n ln
ln
or n
ln
y f
y
f
=
=
Review Questions of Lec Review Questions of Lec--10 10
Q1. How the transfer characteristic of a CMOS NAND gate is affected Q1. How the transfer characteristic of a CMOS NAND gate is affected
with increase in fan with increase in fan--in? in?
Q2. How the transfer characteristic of a CMOS NOR gate is affected Q2. How the transfer characteristic of a CMOS NOR gate is affected
with increase in fan with increase in fan--in? in?
Q3 How switching characteristic of a CMOS NAND gate is affected Q3 How switching characteristic of a CMOS NAND gate is affected
with increase in fan with increase in fan--in? in?
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Q4. How switching characteristic of a CMOS NOR gate is affected Q4. How switching characteristic of a CMOS NOR gate is affected
with increase in fan with increase in fan--in? in?
Q5. How noise margin of a CMOS NAND/NOR gate is affected with Q5. How noise margin of a CMOS NAND/NOR gate is affected with
increase in fan increase in fan--in? in?
46
Answer to Questions of Lec Answer to Questions of Lec--10 10
Q1. How the transfer characteristic of a CMOS NAND gate is affected Q1. How the transfer characteristic of a CMOS NAND gate is affected
with increase in fan with increase in fan--in? in?
Ans Ans: Transfer characteristic does not remain symmetric with : Transfer characteristic does not remain symmetric with
increase in fan increase in fan--in of the NAND gate. The inversion voltage moves in of the NAND gate. The inversion voltage moves
towards right with the increase in fan towards right with the increase in fan--in. in.
Q2. How the transfer characteristic of a CMOS NOR gate is affected Q2. How the transfer characteristic of a CMOS NOR gate is affected
with increase in fan with increase in fan--in? in?
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
with increase in fan with increase in fan--in? in?
Ans Ans: In case of NOR gate the transfer characteristic also does not : In case of NOR gate the transfer characteristic also does not
remain symmetric and the inversion voltage moves towards left remain symmetric and the inversion voltage moves towards left
with the increase in fan with the increase in fan--in. in.
47
Q3 How switching characteristic of a CMOS NAND gate is affected Q3 How switching characteristic of a CMOS NAND gate is affected
with increase in fan with increase in fan--in? in?
Ans Ans: When the load capacitance is relatively large, the fall time : When the load capacitance is relatively large, the fall time
increases linearly with the increase in fan increases linearly with the increase in fan--in and the rise time is in and the rise time is
not affected much. not affected much.
Q4. How switching characteristic of a CMOS NOR gate is affected Q4. How switching characteristic of a CMOS NOR gate is affected
with increase in fan with increase in fan--in? in?
Answer to Questions of Lec Answer to Questions of Lec--10 10
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
with increase in fan with increase in fan--in? in?
Ans Ans: When the load capacitance is relatively large, the rise time : When the load capacitance is relatively large, the rise time
increases linearly with the increase in fan increases linearly with the increase in fan--in and the fall time is in and the fall time is
not affected much. not affected much. For the same area, NAND gates are superior For the same area, NAND gates are superior
to NOR gates in switching characteristics because of higher to NOR gates in switching characteristics because of higher
mobility of electrons compared holes. mobility of electrons compared holes. For the same delay, NAND For the same delay, NAND
gates require smaller area than NOR gates gates require smaller area than NOR gates
48
Q5. How noise margin of a CMOS NAND/NOR gate is affected with Q5. How noise margin of a CMOS NAND/NOR gate is affected with
increase in fan increase in fan--in? in?
Ans Ans: Because of the change in the inversion voltage, the noise : Because of the change in the inversion voltage, the noise
margin is affected with the increase in fan margin is affected with the increase in fan--in. in. For equal fan For equal fan--in, in,
noise margin is better for NAND gates compared to NOR gates. noise margin is better for NAND gates compared to NOR gates.
We may conclude that for equal area design NAND gates are We may conclude that for equal area design NAND gates are
faster and better alternative to NOR gates faster and better alternative to NOR gates
Answer to Questions of Lec Answer to Questions of Lec--10 10
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
faster and better alternative to NOR gates faster and better alternative to NOR gates
49
Review Questions of Lec Review Questions of Lec--11 11
Q1. For a complex/compound CMOS logic gate, how do you realize Q1. For a complex/compound CMOS logic gate, how do you realize
the pull the pull--up and the pull up and the pull--down networks? down networks?
Q2. Give the two possible topologies AND Q2. Give the two possible topologies AND--OR OR--INVERT AND INVERT AND--OR OR--
INVERT (AOI) and OR INVERT (AOI) and OR--AND AND--INVERT (OAI) to realize CMOS logic INVERT (OAI) to realize CMOS logic
gate. Explain with an example. gate. Explain with an example.
Q3. Give the AOI and OAI realizations for the sum and carry Q3. Give the AOI and OAI realizations for the sum and carry
functions of a full adder. functions of a full adder.
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
functions of a full adder. functions of a full adder.
Q4. How do you realize pseudo Q4. How do you realize pseudo nMOS nMOS logic circuits. Compare its logic circuits. Compare its
advantage and disadvantages with respect to standard static advantage and disadvantages with respect to standard static
CMOS circuits. CMOS circuits.
50
Answer to Questions of Lec Answer to Questions of Lec--11 11
Q1. For a complex/compound CMOS logic gate, how do you realize Q1. For a complex/compound CMOS logic gate, how do you realize
the pull the pull--up and the pull up and the pull--down networks? down networks?
Ans Ans: A CMOS logic gate consists of a : A CMOS logic gate consists of a nMOS nMOS pull pull--down network down network
and a and a pMOS pMOS pull pull--up network. The up network. The nMOS nMOS network is connected network is connected
between the output and the ground, whereas the pull between the output and the ground, whereas the pull--up network up network
is connected between the output and the power supply. is connected between the output and the power supply. The The
nMOS nMOS network corresponds to the complement of the function network corresponds to the complement of the function
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
nMOS nMOS network corresponds to the complement of the function network corresponds to the complement of the function
either in sum either in sum--of of--product or product product or product--of of--sum forms sum forms and and the the pMOS pMOS
network is dual of the network is dual of the nMOS nMOS network network ..
51
Answer to Questions of Lec Answer to Questions of Lec--11 11
Q2. Give the two possible topologies AND Q2. Give the two possible topologies AND--OR OR--INVERT INVERT AND AND--OR OR--
INVERT INVERT ((AOI) and OR AOI) and OR--AND AND--INVERT INVERT ((OAI) to realize CMOS logic OAI) to realize CMOS logic
gate. Explain with an example gate. Explain with an example..
Ans Ans: : The The AND AND--OR OR--INVERT network corresponds to the realization INVERT network corresponds to the realization
of the of the nMOS nMOS network network in in sum sum--of of--product product form. Where as the OR form. Where as the OR--
AND AND--INVERT network corresponds to the realization of the INVERT network corresponds to the realization of the
nMOS nMOS network in product network in product--of of--sum form. sum form. In both the cases, In both the cases, the the
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
nMOS nMOS network in product network in product--of of--sum form. sum form. In both the cases, In both the cases, the the
pMOS pMOS network is dual of the network is dual of the nMOS nMOS network network ..
52
Answer to Questions of Lec Answer to Questions of Lec--11 11
Q3. Give the AOI and OAI realizations for the sum and carry Q3. Give the AOI and OAI realizations for the sum and carry
functions of a full adder functions of a full adder..
Ans Ans: AOI form of realization is : AOI form of realization is
sshown in the figure. hown in the figure.
A
A
B
B
C
in
V
dd
V
dd
B
C
in
A
B C
in
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
53
A A B
B
C
in
C
in
Co
Co
B
A
A B
C
in
A
S
Answer to Questions of Lec Answer to Questions of Lec--11 11
Q4. How do you realize pseudo Q4. How do you realize pseudo nMOS nMOS logic circuits. Compare its logic circuits. Compare its
advantage and disadvantages with respect to standard static advantage and disadvantages with respect to standard static
CMOS circuits CMOS circuits..
Ans Ans: In the pseudo : In the pseudo--nMOS nMOS realization, the realization, the pMOS pMOS network of the network of the
static CMOS realization is replaced by a single static CMOS realization is replaced by a single pMOS pMOS transistor transistor
with its gate connected to GND. with its gate connected to GND. An n An n--input pseudo input pseudo nMOS nMOS requires requires
n+1 transistors compared to 2n transistors of the corresponding n+1 transistors compared to 2n transistors of the corresponding
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
n+1 transistors compared to 2n transistors of the corresponding n+1 transistors compared to 2n transistors of the corresponding
static CMOS static CMOS gates. gates. This leads to substantial This leads to substantial reduction in area and reduction in area and
delay in pseudo delay in pseudo nMOS nMOS realization. realization. As the As the pMOS pMOS transistor is always transistor is always
ON, it leads to ON, it leads to static static power dissipation power dissipation when the output is LOW. when the output is LOW.
54
Review Questions of Lec Review Questions of Lec--12 12
Q1. In what way relay logic circuits differ from pass transistor logic
circuits? Why the output of a pass transistor circuit is not used as a
control signal for the next stage?
Q2. What are the advantages and limitations of pass transistor logic
circuits? How the limitations are overcome?
Q3. Why is it necessary to insert a buffer after not more than four
pass transistors in cascade?
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
pass transistors in cascade?
Q4. Why is it necessary to have swing restoration logic in pass
transistor logic circuits? Explain its operation.
Q5. What is the sneak path problem of pass transistor logic
circuits? How sneak path is avoided in Universal Logic Module
(ULM) based realization of pass transistor network. Illustrate with
an example.
55
Answer to Questions of Lec Answer to Questions of Lec--12 12
Q1. In what way relay logic circuits differ from pass transistor logic
circuits? Why the output of a pass transistor circuit is not used as a
control signal for the next stage?
Ans: Logic functions can be realized using pass transistors in a
manner similar to relay contact networks. However, there are some
basic differences as mentioned below:
(a) In relay logic, output is considered to be 1 when there is some
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
(a) In relay logic, output is considered to be 1 when there is some
voltage passing through the relay logic. Absence of voltage is
considered to be 0. On the other hand, is case of pass
transistor logic it it is essential to provide both charging and is essential to provide both charging and
discharging path for the discharging path for the output load capacitance. output load capacitance.
(b) (b) There is no voltage drop in the relay logic, but there is some There is no voltage drop in the relay logic, but there is some
voltage drop across the pass transistor network. voltage drop across the pass transistor network.
(c) (c) Pass transistor logic is faster than relay logic. Pass transistor logic is faster than relay logic.
56
Q2. What are the advantages and limitations of pass transistor logic
circuits? How the limitations are overcome?
Ans: Pass transistor realization is Pass transistor realization is ratioless ratioless, i.e. there is no need to , i.e. there is no need to
have L:W ration in the realization. All the transistors can be of have L:W ration in the realization. All the transistors can be of
minimum dimension. Lower minimum dimension. Lower area due to smaller number of area due to smaller number of
transistors in pass transistor realization compared to static CMOS transistors in pass transistor realization compared to static CMOS
realization. Pass transistor realization also has lesser realization. Pass transistor realization also has lesser power power
Answer to Questions of Lec Answer to Questions of Lec--12 12
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
realization. Pass transistor realization also has lesser realization. Pass transistor realization also has lesser power power
dissipation because there is no dissipation because there is no static power and short static power and short--circuit power circuit power
dissipation in pass transistor circuits. The limitations are dissipation in pass transistor circuits. The limitations are (a) Higher (a) Higher
delay in long chain of pass delay in long chain of pass transistors (b) Multi transistors (b) Multi--threshold threshold Voltage Voltage
drop ( drop (Vout Vout = = Vdd Vdd Vtn Vtn) (c) Complementary ) (c) Complementary control control signals and (d) signals and (d)
Possibility of sneak path because of the presence of path to Possibility of sneak path because of the presence of path to Vdd Vdd and and
GND. GND.
57
Q3. Why is it necessary to insert a buffer after not more than four
pass transistors in cascade?
Ans Ans: : When a signal is steered through several stages of pass When a signal is steered through several stages of pass
transistors, the delay can be transistors, the delay can be considerable. For n stages of pass considerable. For n stages of pass
transistors, the delay is given by the relationship . transistors, the delay is given by the relationship .
Answer to Questions of Lec Answer to Questions of Lec--12 12
( )
2
1 +
=
n n
CR
eq

Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur


To overcome the problem of long delay, buffers should be inserted To overcome the problem of long delay, buffers should be inserted
after every three or four pass transistor after every three or four pass transistor stages. stages.
58
Q4. Why is it necessary to have swing restoration logic in pass
transistor logic circuits? Explain its operation.
Ans: In order to avoid the voltage drop at the output ( In order to avoid the voltage drop at the output (Vout Vout = = Vdd Vdd
Vtn Vtn) , it is necessary to use additional hardware known swing ) , it is necessary to use additional hardware known swing
restoration logic at the gate output restoration logic at the gate output. At the output of the swing . At the output of the swing
restoration logic there is rail to rail voltage swing. The swing restoration logic there is rail to rail voltage swing. The swing
restoration can be done using a restoration can be done using a pMOS pMOS transistor with its gate transistor with its gate
Answer to Questions of Lec Answer to Questions of Lec--12 12
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
restoration can be done using a restoration can be done using a pMOS pMOS transistor with its gate transistor with its gate
connected to GND. connected to GND.
59
Q5. What is the sneak path problem of pass transistor logic
circuits? How sneak path is avoided in Universal Logic Module
(ULM) based realization of pass transistor network. Illustrate with
an example.
Ans Ans: As shown in the figure, the output : As shown in the figure, the output
i is connected to both 1 ( s connected to both 1 (Vdd Vdd) and 0 ) and 0
Answer to Questions of Lec Answer to Questions of Lec--12 12
C B
f
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
(GND). The output attains some intermediate (GND). The output attains some intermediate
Value between Value between Vdd Vdd and GND. The MUX based and GND. The MUX based
rrealization allows connection of the output to ealization allows connection of the output to
oonly one input, which can be either 0 or 1. nly one input, which can be either 0 or 1.
Multiplexer realization of
Is shown in the figure.
60
1 0
A D
b a b a f

+

=
0
0
V
dd
V
dd
a
b
b
a
b
a
b
a
V
0
Review Questions Lec Review Questions Lec--13 13
Q1. What is Shanons Expansion Theorem? How can be used realize
pass transistor circuit for a given Boolean function?
Q2. Obtain an ROBDD for the Boolean function F = Obtain an ROBDD for the Boolean function F = (3, 7, 9, 11, 12, 13, (3, 7, 9, 11, 12, 13,
14,15). Realize the function using a CPL circuit. 14,15). Realize the function using a CPL circuit.
Q3. Compare the area, in terms of the number of transistors, for the
three different implementations of a full adder using (i) static CMOS,
(ii) domino CMOS, and (iii) complementary pass transistor logic
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
(ii) domino CMOS, and (iii) complementary pass transistor logic
(CPL).
61
Answer to Questions of Lec Answer to Questions of Lec--13 13
Q1. What is Shanons Expansion Theorem? How can be used realize
pass transistor circuit for a given Boolean function?
Ans: According to According to Shanons Shanons expansion theorem, a Boolean function expansion theorem, a Boolean function
can be expanded around a variable x can be expanded around a variable x
i i
to represent the function as to represent the function as
f = f = x x
ii
ff
xxii
+ + xx
ii
f f
xi , xi ,
where where ff
xxii
and and ff
xi xi
are the positive and negative are the positive and negative
cofactors of f, respectively. cofactors of f, respectively. A positive Shannon cofactor of A positive Shannon cofactor of
function f with respect to variable x function f with respect to variable x is defined as that function is defined as that function
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
function f with respect to variable x function f with respect to variable x
ii
is defined as that function is defined as that function
with all instances of x with all instances of x
i i
replaced by 1. A negative Shannon replaced by 1. A negative Shannon
cofactor is the same, but replaces all instances of x cofactor is the same, but replaces all instances of x
i i
by 0. by 0.
This is illustrated by the following example: This is illustrated by the following example:
62
0
c
b

a
a

b
1
f ( ) c b b a a f . 0 . 1 .

+

+ =
Q2. Obtain an ROBDD for the Boolean function F = Q2. Obtain an ROBDD for the Boolean function F = (3, 7, 9, 11, 12, (3, 7, 9, 11, 12,
13, 14,15). Realize the function using a CPL circuit. 13, 14,15). Realize the function using a CPL circuit.
a
b
b
a
b b
Answer to Questions of Lec Answer to Questions of Lec--13 13
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
63
Step1
Step 2
1 0
b
c
b
c c c
d d
d d d d d d
1 0
c c c
d
d d
d
Ans Ans 2: 2:
a
b
c
b
c c
a
b
c
Answer to Questions of Lec Answer to Questions of Lec--13 13
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
64
Step 3
Step 4
1 0
c c c
d
1 0
c
d
Pass
transistor
logic
V
dd
V
O
Answer to Questions of Lec Answer to Questions of Lec--13 13
Ans 2: Ans 2:
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
logic
Pass
transistor
logic
V
dd
O
b
b
c
c
V
dd
F
1
d
0
d
a
a
Answer to Questions of Lec Answer to Questions of Lec--13 13
Ans 2: Ans 2:
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
V
dd
F
0
b
b
c
c
0
d'
1
d'
a
a
Q3. Compare the area, in terms of the number of transistors, for the
three different implementations of a full adder using (i) static
CMOS, (ii) domino CMOS, and (iii) complementary pass
transistor logic (CPL).
Ans: The full adder block diagram is given below:
Answer to Questions of Lec Answer to Questions of Lec--13 13
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
67
FULL
ADDER
S
Co
A
B
Cin
The full adder The full adder
Realization using Realization using
Static CMOS is Static CMOS is
A
A
B
B
C
in
Co
V
dd
V
dd
A
B
C
in
A
B C
in
S
Answer to Questions of Lec Answer to Questions of Lec--13 13
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Static CMOS is Static CMOS is
given here. given here.
It requires 28 It requires 28
transistors. transistors.
68
A A B
B
C
in
C
in
Co
B
A
A B
C
in
The realization using The realization using
NORA dynamic CMOS NORA dynamic CMOS
requires 20 transistors. requires 20 transistors.
Answer to Questions of Lec Answer to Questions of Lec--13 13
clk
B
C
in
A
B C
in
Co
clk
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
69
S
clk
Co
A
B
clk
B
A
A B
C
in
S
The pass transistor realization of the full adder is given below. It The pass transistor realization of the full adder is given below. It
requires 16 (8+8) transistors. requires 16 (8+8) transistors.
Answer to Questions of Lec Answer to Questions of Lec--13 13
C
Co
C C C
S
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
70
C
in C
in
A A
A
A
0 1
C
in
C
in
A
B B B B
A
A A
B B
Review Questions of Lec Review Questions of Lec--14 14
Q1. What are the key characteristics of MOS dynamic circuits? Q1. What are the key characteristics of MOS dynamic circuits?
Q2. Explain the basic operation of a 2 Q2. Explain the basic operation of a 2--phase dynamic circuit? phase dynamic circuit?
Q3. Q3. How 2-phase clocks can be generated using inverters?
Q4. What makes dynamic CMOS circuits faster than static CMOS
circuits?
Q5. Compare the sources of power dissipation between static CMOS
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Q5. Compare the sources of power dissipation between static CMOS
and dynamic CMOS circuits?
71
Answer to Questions of Lec Answer to Questions of Lec--14 14
Q1. What are the key characteristics of MOS dynamic circuits? Q1. What are the key characteristics of MOS dynamic circuits?
Ans Ans: : The advantage of low power of static CMOS circuits and The advantage of low power of static CMOS circuits and
smaller chip area of smaller chip area of nMOS nMOS circuits are combined in dynamic circuits are combined in dynamic
circuits leading to circuits of smaller area and lower power circuits leading to circuits of smaller area and lower power
dissipation. Smaller area due to lesser number of transistors (n+2) dissipation. Smaller area due to lesser number of transistors (n+2)
compared to static CMOS realization requiring 2n transistors to compared to static CMOS realization requiring 2n transistors to
realize a n realize a n--variable function. Dynamic CMOS circuits have Lower variable function. Dynamic CMOS circuits have Lower
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
realize a n realize a n--variable function. Dynamic CMOS circuits have Lower variable function. Dynamic CMOS circuits have Lower
static power static power dissipation because of smaller capacitance. There is dissipation because of smaller capacitance. There is
no no short circuit power dissipation short circuit power dissipation and no and no glitching glitching power power
dissipation. Dynamic CMOS circuits are also faster because the dissipation. Dynamic CMOS circuits are also faster because the
capacitance is about half that of the static CMOS circuits. capacitance is about half that of the static CMOS circuits.
72
Q2. Explain the basic operation of a 2 Q2. Explain the basic operation of a 2--phase dynamic circuit? phase dynamic circuit?
Ans Ans: The operation of the circuit can be explained using : The operation of the circuit can be explained using precharge precharge
logic in which the output is logic in which the output is precharged precharged to HIGH level during to HIGH level during 2 2
clock and the clock and the output is evaluated during output is evaluated during 1 clock 1 clock..
Q3 Q3. . How 2-phase clocks can be generated using inverters?
Ans: As shown below, two phase clock can generated using
Answer to Questions of Lec Answer to Questions of Lec--14 14
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
inverters. The timing diagram is given in the next slide.
73
Clk

1
Timing diagram of the two-phase clock generated from a
single-phase clock.
Clk
Answer to Questions of Answer to Questions of Lec Lec--14 14
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
74
.

2
5 3 4 3
Q4. What makes dynamic CMOS circuits faster than static CMOS
circuits?
Ans: As MOS dynamic circuits require lesser number of transistors
and lesser capacitance is to be driven by it. This makes MOS
dynamic circuits faster.
Q5. Compare the sources of power dissipation between static
CMOS and dynamic CMOS circuits?
Answer to Questions of Lec Answer to Questions of Lec--14 14
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
CMOS and dynamic CMOS circuits?
Ans: In both the cases there is switching power and leakage power
dissipations. However, the short circuit and glitching power
dissipations, which are present in static CMOS circuits, are not
present in dynamic CMOS circuits.
75
Review Questions of Lec Review Questions of Lec--15 15
Q1. What is charge leakage problem of dynamic CMOS circuits? Q1. What is charge leakage problem of dynamic CMOS circuits?
How is it overcome? How is it overcome?
Q2. What is charge sharing problem? How can it be overcome? Q2. What is charge sharing problem? How can it be overcome?
Q3. Explain the clock skew problem of dynamic CMOS circuits? Q3. Explain the clock skew problem of dynamic CMOS circuits?
Q4. How clock skew problem is overcome in in domino CMOS Q4. How clock skew problem is overcome in in domino CMOS
circuits? circuits?
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Q5. How clock skew problem is overcome in in NORA CMOS Q5. How clock skew problem is overcome in in NORA CMOS
circuits? circuits?
76
Q1. What is charge leakage problem of dynamic CMOS circuits? Q1. What is charge leakage problem of dynamic CMOS circuits?
How is it overcome? How is it overcome?
Ans Ans: The source : The source--drain diffusions form parasitic diodes with the drain diffusions form parasitic diodes with the
substrate. There is reverse bias leakage current .The current is substrate. There is reverse bias leakage current .The current is
in the range 0.1nA to 0.5nA per device at room temperature and in the range 0.1nA to 0.5nA per device at room temperature and
the current doubles for every 10 the current doubles for every 10C increase in temperature . This C increase in temperature . This
Answer to Questions of Lec Answer to Questions of Lec--15 15
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
the current doubles for every 10 the current doubles for every 10C increase in temperature . This C increase in temperature . This
leads to slow but steady discharge of the charge on the leads to slow but steady discharge of the charge on the
capacitor, which represent information. This needs to be capacitor, which represent information. This needs to be
compensated by refreshing the charge at regular interval. compensated by refreshing the charge at regular interval.
77
Before the switches are
closed, the charge on C
L
is
given by QA = V
dd
C
L
and
charges at node B and C are
C
L
S
1
C1
S
2
C
2
A
B C
V
dd
1
A
C
1
B
C
L
1
Clk
Answer to Questions of Lec Answer to Questions of Lec--15 15
Q2. What is charge sharing problem of dynamic CMOS circuits?
Ans: The charge sharing problem is illustrated in the following diagram
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
78
charges at node B and C are
Q
B
= 0 and Q
C
= 0
After the switches are closed, there will be redistribution of charges based
of charge conservation principle, and the voltage V
A
at node A is given by
VA, which is less than Vdd.
( )
. 2 1 A L dd L
V C C C V C + + =
dd
L
L
A
V
C C C
C
V
) (
2 1
+ +
=
2
0
Clk=1
1
C
2
C
1
Answer to Questions of Lec Answer to Questions of Lec--15 15
Q3. Explain the clock skew problem of dynamic CMOS circuits? Q3. Explain the clock skew problem of dynamic CMOS circuits?
Ans Ans: Clock skew problem arises because of delay due to : Clock skew problem arises because of delay due to
resistance and parasitic capacitances associated with the wire that resistance and parasitic capacitances associated with the wire that
carry the clock pulse and this delay is approximately proportional carry the clock pulse and this delay is approximately proportional
to the square of the length of the wire. When the clock signal to the square of the length of the wire. When the clock signal
reaches a later stage before its preceding stage, the reaches a later stage before its preceding stage, the precharge precharge
phase of the preceding stage overlaps with the evaluation phase of phase of the preceding stage overlaps with the evaluation phase of
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
phase of the preceding stage overlaps with the evaluation phase of phase of the preceding stage overlaps with the evaluation phase of
the later stage, which may lead to premature discharge of the load the later stage, which may lead to premature discharge of the load
capacitor and incorrect output during evaluation phase. capacitor and incorrect output during evaluation phase.
79
Ans Ans: In domino CMOS circuits the : In domino CMOS circuits the
problem is overcome by adding an problem is overcome by adding an
inverter as shown in the diagram. It inverter as shown in the diagram. It
consists of two distinct components: consists of two distinct components:
The first component is a conventional The first component is a conventional
dynamic CMOS gate and the second dynamic CMOS gate and the second
N-block
Clk
To next
V
dd
Answer to Questions of Lec Answer to Questions of Lec--15 15
Q4. How clock skew problem is overcome in in domino CMOS circuits?
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
80
dynamic CMOS gate and the second dynamic CMOS gate and the second
component is a static inverting CMOS component is a static inverting CMOS
buffer . During buffer . During precharge precharge phase, the phase, the
output of the dynamic gate is high, but output of the dynamic gate is high, but
the output of the inverter is LOW. As the output of the inverter is LOW. As
a consequence it cannot drive an a consequence it cannot drive an
nMOS nMOS transistor ON. So, the clock transistor ON. So, the clock
skew problem is overcome. skew problem is overcome.
N-block
Clk
To next
stage
n-
p-
clk

clk
clk
n-
Ans: The problem can be
overcome using NORA
logic, nMOS and pMOS
transistor networks are
alternatively used. The
output of an nMOS block is
HIGH during precharge,
Q5. How clock skew problem is overcome in in NORA CMOS circuits?
Answer to Questions of Lec Answer to Questions of Lec--15 15
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
n-
block
p-
block

clk
clk
clk
n-
block
HIGH during precharge,
which cannot turn a pMOS
transistor ON. Similarly, the
output of an pMOS block is
LOW during precharge,
which cannot turn a nMOS
transistor ON.
Review Questions of Lec Review Questions of Lec--16 16
Q1. Distinguish between Mealy and Moore machines. Q1. Distinguish between Mealy and Moore machines.
Q2. A sequence detector produces a 1 for each occurrence of the Q2. A sequence detector produces a 1 for each occurrence of the
input sequence 1001 at its input. input sequence 1001 at its input.
(a) Draw the state (a) Draw the state--transition diagram of the FSM realizing the transition diagram of the FSM realizing the
sequence detector. sequence detector.
(b) Obtain state table from the state transition diagram. (b) Obtain state table from the state transition diagram.
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
(c) Realize the FSM using D FFs and a PLA. (c) Realize the FSM using D FFs and a PLA.
Q3. How can you realize a set of Boolean functions using a ROM. Q3. How can you realize a set of Boolean functions using a ROM.
Q4. How the limitations of a ROM Q4. How the limitations of a ROM--based realization is overcome in a based realization is overcome in a
PLA PLA--based realization. based realization.
82
Q1. Distinguish between Mealy Q1. Distinguish between Mealy
and Moore machines. and Moore machines.
Ans Ans: In a Mealy machine the : In a Mealy machine the
outputs are dependent on the outputs are dependent on the
inputs and present state. The inputs and present state. The
Output transition function is
represented by Z = (S,X).
CLK
PI
PO
Combinational logic
to compute outputs
and next states
Latches
NS
PS
Answer to Questions of Lec Answer to Questions of Lec--16 16
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
83
represented by Z = (S,X).
Where as in a Moore machine Moore machine
the outputs are dependent the outputs are dependent
only on present state. The only on present state. The
output transition function is
represented by Z = (S)
CLK
CLK
PI
PO
Combinational logic
to compute outputs
Combinational logic to
compute next states
Latches
NS
PS
Q2. A sequence detector produces a 1 Q2. A sequence detector produces a 1 for each for each
occurrence of the input sequence 1001 at its input. occurrence of the input sequence 1001 at its input.
(a) Draw the state (a) Draw the state--transition diagram of the FSM transition diagram of the FSM
realizing the sequence detector. realizing the sequence detector.
(b) Obtain state table from the state transition diagram. (b) Obtain state table from the state transition diagram.
Answer to Questions of Lec Answer to Questions of Lec--16 16
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
84
(c) Realize the FSM using D FFs and a PLA. (c) Realize the FSM using D FFs and a PLA.
s1
s2
s3 s4
1/0
0/0
0/0
0/0
1/1
0/0
1/0
1/0
Ans: 2(a)
Ans Ans: 2(b) The state : 2(b) The state
table from the table from the
state transition state transition
diagram is given diagram is given
below: below:
s1
s2
s3 s4
1/0
0/0
0/0
0/0
1/1
0/0
1/0
1/0
Answer to Questions of Lec Answer to Questions of Lec--16 16
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
85
Y2Y1, z
PS y2y1 x =0 X = 1
S1 0 0 00,0 01,0
S2 01 10,0 01,0
S3 1 0 11,0 01,0
S4 1 1 00,0 01,1
0/0
Ans Ans: 2(c) : 2(c)
Realization of Realization of
the FSM using the FSM using
D FFs and a D FFs and a
PLA is shown PLA is shown
in the diagram. in the diagram.
Answer to Questions of Lec Answer to Questions of Lec--16 16
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
86
Y2 = x(y2y1 + y2y1)
Y1 = x + xy2y1
z = xy2y1
x
y1 y2
Y2
Y1
z
CLK
D Q
D Q
y3
y2
y1
Y3
Y2
Y1
PI
PO
NS
PS
ROM
x
z
D Q
D Q
D Q
Q3. How can you realize a set of
Boolean functions using a ROM?
Ans: ROMs can be used to realize
a set of Boolean functions as
show In the diagram. Encoder
part of the ROM is realized
according to the functions.
ENCODER
Answer to Questions of Lec Answer to Questions of Lec--16 16
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
87
CLK
D Q
y3
DECODER
ENCODER
z
y2
y1
x
Y1Y2 Y3
Q4. How the limitations of a ROM Q4. How the limitations of a ROM--based realization is overcome in a based realization is overcome in a
PLA PLA--based realization based realization..
Ans Ans: In a ROM, the encoder part is only programmable and use : In a ROM, the encoder part is only programmable and use of of
ROMs to realize Boolean functions is wasteful in many ROMs to realize Boolean functions is wasteful in many
situations because there is no cross situations because there is no cross--connect for a significant connect for a significant
part . This wastage can be overcome by using Programmable part . This wastage can be overcome by using Programmable
Logic array (PLA), which requires much lesser chip area. Logic array (PLA), which requires much lesser chip area.
Answer to Questions of Lec Answer to Questions of Lec--16 16
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Logic array (PLA), which requires much lesser chip area. Logic array (PLA), which requires much lesser chip area.
88
Review Questions of Lec Review Questions of Lec--17 17
Q1. Sketch the schematic diagram of a SRAM memory cell along Q1. Sketch the schematic diagram of a SRAM memory cell along
with sense amplifier and data write circuitry. with sense amplifier and data write circuitry.
Q2. Explain how read and write operations are performed in a Q2. Explain how read and write operations are performed in a
SRAM. SRAM.
Q3. In what way the DRAMs differ from SRAMs? Q3. In what way the DRAMs differ from SRAMs?
Q4. Explain the read and write operations for a one Q4. Explain the read and write operations for a one--transistor transistor
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
DRAM cell. DRAM cell.
89
Q1. Sketch the schematic Q1. Sketch the schematic
diagram of a SRAM memory diagram of a SRAM memory
cell along with sense cell along with sense
amplifier and data write amplifier and data write
circuitry. circuitry.
Answer to Questions of Lec Answer to Questions of Lec--17 17 Answer to Questions of Lec Answer to Questions of Lec--17 17
Vss Vss Vss Vss
T1 T1 T1 T1
T2 T2 T2 T2
T3 T3 T3 T3 T4 T4 T4 T4
T5 T5 T5 T5 T6 T6 T6 T6
Vdd Vdd Vdd Vdd
Vdd Vdd Vdd Vdd
BitlineC BitlineC BitlineC BitlineC
BitlineC' BitlineC' BitlineC' BitlineC'
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
90
Ans Ans: The schematic diagram of : The schematic diagram of
a SRAM memory along with a SRAM memory along with
the sense amplifier and data the sense amplifier and data
write circuitry write circuitry
Vss Vss Vss Vss
Vss Vss Vss Vss
Cross-coupled Cross-coupled Cross-coupled Cross-coupled
senseamplifier senseamplifier senseamplifier senseamplifier
CLK CLK CLK CLK
WB WB WB WB WB' WB' WB' WB'
Column Column Column Column
select select select select
Rowselect Rowselect Rowselect Rowselect Wordline Wordline Wordline Wordline
Q2. Explain Q2. Explain how read and write operations are performed. how read and write operations are performed.
Ans Ans: Steps of READ operation: : Steps of READ operation:
1. 1. Precharge Precharge and equalization circuit is activated to and equalization circuit is activated to precharge precharge the the
bus lines to bus lines to Vdd Vdd/2 /2
2. 2. The word line is activated connecting the cell to B and B lines. The word line is activated connecting the cell to B and B lines.
As a consequence, a voltage is developed between B and B. As a consequence, a voltage is developed between B and B.
Answer to Questions of Lec Answer to Questions of Lec--17 17 Answer to Questions of Lec Answer to Questions of Lec--17 17
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
3. 3. Once adequate voltage is developed between B and B, the Once adequate voltage is developed between B and B, the
sense amplifier amplifies the signals to rail to rail using sense amplifier amplifies the signals to rail to rail using
regenerative action. The output is then directed to the chip I/O regenerative action. The output is then directed to the chip I/O
pin by the column decoder. pin by the column decoder.
Steps of write operation: Steps of write operation:
1. The data available on the chip I/O pin re directed to the B and B 1. The data available on the chip I/O pin re directed to the B and B
lines. By activating the word line signal, the data is transferred lines. By activating the word line signal, the data is transferred
to the memory cell to the memory cell
Q3. In what way the DRAMs differ from SRAMs? Q3. In what way the DRAMs differ from SRAMs?
Ans Ans: Both SRAMS and DRAMs are volatile in nature, i.e. : Both SRAMS and DRAMs are volatile in nature, i.e.
information is lost if power line is removed. However, SRAMs information is lost if power line is removed. However, SRAMs
provide high provide high switching switching speed, good speed, good noise noise margin but require margin but require
larger larger chip chip area than DRAMs. area than DRAMs.
Q4. Explain the read and write operations for a one Q4. Explain the read and write operations for a one--transistor transistor
DRAM cell. DRAM cell.
Answer to Questions of Lec Answer to Questions of Lec--17 17 Answer to Questions of Lec Answer to Questions of Lec--17 17
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
DRAM cell. DRAM cell.
Ans Ans: : A significant improvement in the DRAM evolution was to A significant improvement in the DRAM evolution was to
realize 1 realize 1--T T DRAM DRAM cell. One cell. One additional capacitor is explicitly additional capacitor is explicitly
fabricated for storage fabricated for storage purpose. To purpose. To store 1, it is charged to store 1, it is charged to Vdd Vdd--
Vt Vt and to store 0 it is discharged to and to store 0 it is discharged to 0V. Read 0V. Read operation is operation is
destructive. Sense destructive. Sense amplifier is needed for amplifier is needed for reading. Read reading. Read
operation is followed by restoration operation is followed by restoration operation. operation.
92
Review Questions Review Questions of Lec of Lec--18 18
Q1. Q1. How charge sharing leads to power dissipation? How charge sharing leads to power dissipation?
Q2. Q2. What is short circuit power dissipation? On what parameters What is short circuit power dissipation? On what parameters
does it depend? does it depend?
Q3. Q3. Justify the statement; there is no short circuit power Justify the statement; there is no short circuit power
dissipation in a static CMOS circuit if dissipation in a static CMOS circuit if Vdd Vdd < ( < (Vtn Vtn + ! + !Vtp Vtp!) !)
Q4. What is Q4. What is glitching glitching power dissipation? How can it be minimized? power dissipation? How can it be minimized?
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
93
Q1. How charge sharing leads to power Q1. How charge sharing leads to power
dissipation? dissipation?
Ans Ans: : In case of dynamic gates, power In case of dynamic gates, power
dissipation takes place due to the dissipation takes place due to the
phenomenon of charge sharing phenomenon of charge sharing
even when the output is not 0 at the even when the output is not 0 at the
time evaluation. At the time of time evaluation. At the time of
B=1
A=1
C=0
C
1
C
2
C
L
Answer to Questions of Lec Answer to Questions of Lec--18 18
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
94
time evaluation. At the time of time evaluation. At the time of
precharge precharge, the output is charged to , the output is charged to
Vdd Vdd, but at the time of evaluation, , but at the time of evaluation,
the output decreases because of the the output decreases because of the
of the sharing of charge by the two of the sharing of charge by the two
capacitors C1 and C2. In the next capacitors C1 and C2. In the next
precharge precharge phase a power phase a power
dissipation equal to P takes place. dissipation equal to P takes place.
Clk
After charge sharing, the new voltage level After charge sharing, the new voltage level is is Vnew Vnew. Because of the . Because of the
conservation of charge, we get conservation of charge, we get
C C C
V C
V
dd L
new
+ +
=
L dd new L
C V V C C C = + + ). (
2 1
Answer to Questions of Lec Answer to Questions of Lec--18 18
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
95
3 2 1
C C C
new
+ +
dd
L
L
dd
V
C C C
C
V V .
2 1
+ +
=
dd
L
V
C C C
C C
.
2 1
2 1
+ +
+
2
2 1
2 1
) (
) .(
. .
dd
L
L
dd L
V
C C C
C C C
V V C P
+ +
+
= =
Answer to Questions of Lec Answer to Questions of Lec--18 18
Q2. What is short circuit power dissipation? On what parameters Q2. What is short circuit power dissipation? On what parameters
does does iit depend? t depend?
Ans Ans: : As input changes slowly, power dissipation takes place even As input changes slowly, power dissipation takes place even
when there is no load or parasitic capacitor. when there is no load or parasitic capacitor. When the input is When the input is
greater than greater than Vtn Vtn and less than ( and less than (Vdd Vdd Vtp Vtp), both the ), both the nMOS nMOS and and
pMOS pMOS transistors are ON. The supply voltage is now shorted to transistors are ON. The supply voltage is now shorted to
GND through the two transistors. This leads to the short GND through the two transistors. This leads to the short circuit circuit
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
GND through the two transistors. This leads to the short GND through the two transistors. This leads to the short circuit circuit
power dissipation. power dissipation.
96
Q3. Justify the statement; there is no short circuit power Q3. Justify the statement; there is no short circuit power
dissipation in a static CMOS circuit if dissipation in a static CMOS circuit if Vdd Vdd < ( < (Vtn Vtn + ! + !Vtp Vtp!). !).
Ans Ans: When : When Vdd Vdd < ( < (Vtn Vtn + ! + !Vtp Vtp!), only one !), only one thansistor thansistor can turn on at a can turn on at a
time. Since time. Since bothe bothe transistors cannot turn on simultaneously, transistors cannot turn on simultaneously,
there is no short circuit power dissipation. there is no short circuit power dissipation.
Q4. What is Q4. What is glitching glitching power dissipation? How can it be minimized power dissipation? How can it be minimized??
Answer to Questions of Lec Answer to Questions of Lec--18 18
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Ans Ans: Because of finite delay of the gates used to realize Boolean : Because of finite delay of the gates used to realize Boolean
functions, different signals cannot reach the inputs of a gate functions, different signals cannot reach the inputs of a gate
simultaneously. This leads to spurious transitions at the output simultaneously. This leads to spurious transitions at the output
before it settles down to its final value. The spurious transitions before it settles down to its final value. The spurious transitions
leads to charging and discharging of the outputs causing leads to charging and discharging of the outputs causing
glitching glitching power dissipation. It can be minimized by having power dissipation. It can be minimized by having
balanced realization having same delay at the inputs. balanced realization having same delay at the inputs.
97
Review Questions of Lec Review Questions of Lec--19 19
Q1. List various sources of leakage currents. .
Q2. Why leakage power is an important issue in deep submicron Q2. Why leakage power is an important issue in deep submicron
technology? technology?
Q3. What is band-to-band tunneling current?
Q4. What is body effect?
Q5. What is subthreshold leakage current? Briefly discuss various
mechanisms responsible for this leakage current?
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
98
Answer to Questions of Lec Answer to Questions of Lec--19 19
Q1. List various sources of leakage currents. .
Ans Ans: Various sources of leakage currents are listed : Various sources of leakage currents are listed
below: below:
II
11
= Reverse = Reverse--bias p bias p--n junction diode leakage current n junction diode leakage current
II
22
== Band Band--to to--band tunneling current band tunneling current
II == Subthreshold Subthreshold leakage current leakage current
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
II
3 3
== Subthreshold Subthreshold leakage current leakage current
II
44
= Gate Oxide tunneling current = Gate Oxide tunneling current
II
55
== Gate current due to hot Gate current due to hot--carrier injection carrier injection
II
6 6
== Channel punch Channel punch--through through
II
77
== Gate induced drain Gate induced drain--leakage current leakage current
99
Q2. Why leakage power is an important issue in deep submicron technology?
Ans: In deep submicron technology, the leakage component is a significant %
of total power as shown in the diagram. Moreover, the leakage current is
increasing at a faster rate than dynamic power. As a consequence, it has
become an important issue in DSM.
10
10
10
0
1
2
Active Power
Answer to Questions of Lec Answer to Questions of Lec--19 19
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
10
10
10
10
10
10
10
-6
-5
-4
-3
-2
-1
P
o
w
e
r

(
W
)
1.0
0.8 0.6 0.5 0.35 0.25 0.18
Technology Generation (m)
Stand by Power
Q3. What is band-to-band tunneling current?
Ans: When both n regions and p regions are heavily doped, a When both n regions and p regions are heavily doped, a
high electric field across a reverse biased p high electric field across a reverse biased p--n junction causes n junction causes
significant current to flow through the junction due to tunneling significant current to flow through the junction due to tunneling
of electrons from the valence bond of the p of electrons from the valence bond of the p--region to the region to the
conduction band of n conduction band of n--region. This is known as band region. This is known as band--to to--band band
tunneling. tunneling.
Q4. What is body effect?
Answer to Questions of Lec Answer to Questions of Lec--19 19
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Q4. What is body effect?
Ans Ans: As a negative voltage is applied to the substrate with : As a negative voltage is applied to the substrate with
respect to the source, the well respect to the source, the well--to to--source junction the device is source junction the device is
reverse biased and bulk depletion region is widened. This leads reverse biased and bulk depletion region is widened. This leads
to increase the threshold voltage. This effect is known as body to increase the threshold voltage. This effect is known as body
effect. effect.
101
Q5. What is subthreshold leakage current? Briefly discuss
various mechanisms responsible for this leakage current?
Ans Ans: The : The subthreshold subthreshold leakage current in CMOS circuits is due to leakage current in CMOS circuits is due to
carrier diffusion between the source and the drain regions of the carrier diffusion between the source and the drain regions of the
transistor in weak inversion, when the gate voltage is below V transistor in weak inversion, when the gate voltage is below V
tt
. .
The behavior of an MOS transistor in the The behavior of an MOS transistor in the subthreshold subthreshold operating operating
Answer to Questions of Lec Answer to Questions of Lec--19 19
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
The behavior of an MOS transistor in the The behavior of an MOS transistor in the subthreshold subthreshold operating operating
region is similar to a bipolar device, and the region is similar to a bipolar device, and the subthreshold subthreshold
current exhibits an exponential dependence on the gate voltage. current exhibits an exponential dependence on the gate voltage.
The amount of the The amount of the subthreshold subthreshold current may become significant current may become significant
when the gate when the gate--to to--source voltage is smaller than, but very close source voltage is smaller than, but very close
to the threshold voltage of the device. to the threshold voltage of the device.
102
Answer to Questions of Lec Answer to Questions of Lec--20 20
Q1. Explain the basic concepts of supply voltage scaling.
Q2. As you move to a new process technology with a scaling factor S = 1.4,
how the drain current, power density, delay and energy requirement
changes for the constant field scaling?
Q3. Distinguish between constant field and constant voltage feature size
scaling? Compare their advantages and disadvantages.
Q4. Compare the constant field and constant voltage scaling approaches in
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
103
Q4. Compare the constant field and constant voltage scaling approaches in
terms of area, delay, energy and power density parameters.
Q5. Explain how parallelism can be used to achieve low power instead of
high performance in realizing digital circuits.
Q6.Explain how multicore architecture provides low power compared to the
single core architecture of the same performance.
Q1. Explain the basic concepts of supply voltage scaling.
Ans: Power dissipation is proportional the square of the supply voltage. So,
a factor of two reduction in supply voltage yields a factor of four decrease in
energy. But, as the supply voltage is reduced, delay increases as shown in
the diagram. So, the challenge is to scale down the supply voltage without
compromise in performance.
1.0
1.0
Answer to Questions of Lec Answer to Questions of Lec--20 20
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
104
0 1 2 3 4
5
0
1
2 3 4 5
0.0
0.2
0.0
0.2
0.4 0.4
0.6
0.8 0.8
0.6
1.0
N
o
r
m
a
l
i
z
e
d

E
n
e
r
g
y
N
o
r
m
a
l
i
z
e
d

D
e
l
a
y
V
dd
V
dd
Q2. As you move to a new process technology with a scaling factor Q2. As you move to a new process technology with a scaling factor
S = 1.4, how the drain current, power dissipation, power density, S = 1.4, how the drain current, power dissipation, power density,
delay and energy requirement changes for the constant field delay and energy requirement changes for the constant field
scaling? scaling?
Ans Ans: : Drain current reduces by a factor of S. Although power Drain current reduces by a factor of S. Although power
dissipation decreases by a factor of S dissipation decreases by a factor of S
22
, the power density remains , the power density remains
the same. The delay decreases by a factor of S and the energy the same. The delay decreases by a factor of S and the energy
decreases by a factor of S decreases by a factor of S
3 . 3 .
Answer to Questions of Lec Answer to Questions of Lec--20 20
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
105
Q3. Distinguish between constant field and constant voltage Q3. Distinguish between constant field and constant voltage
feature size scaling? Compare their advantages and feature size scaling? Compare their advantages and
disadvantages. disadvantages.
Ans Ans: In this approach the magnitude of all the internal electric fields : In this approach the magnitude of all the internal electric fields
within the device are preserved, while the dimensions are scaled within the device are preserved, while the dimensions are scaled
down by a factor of S. This requires that all potentials must be down by a factor of S. This requires that all potentials must be
scaled down by the same factor. Accordingly, supply as well as scaled down by the same factor. Accordingly, supply as well as
Answer to Questions of Lec Answer to Questions of Lec--20 20
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
scaled down by the same factor. Accordingly, supply as well as scaled down by the same factor. Accordingly, supply as well as
threshold voltages are scaled down proportionately. But, in threshold voltages are scaled down proportionately. But, in
constant constant--voltage scaling, all the device dimensions are scaled voltage scaling, all the device dimensions are scaled
down by a factor of S just like constant down by a factor of S just like constant--voltage scaling, supply voltage scaling, supply
voltage and threshold voltages are not scaled. voltage and threshold voltages are not scaled.
106
QQ44.. Compare Compare the the constant constant field field and and constant constant voltage voltage scaling scaling
approaches approaches in in terms terms of of area, area, delay, delay, energy energy and and power power density density
parameters parameters..
Quality Cons field Scaling Constant Voltage Scaling
Gate Capacitance
/
g g
C C S

=
/
g g
C C S

=
Answer to Questions of Lec Answer to Questions of Lec--20 20
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
107
Gate Capacitance
Drain Current
Power Dissipation
Power Density
Delay
Energy
/
g g
C C S

=
S I I
D D
/ =

2
/ S P P =

/ ( / ) P Area P Area

=
'
/
d d
t t S =
2 3 3
. 1
'
d d
t Pt P
E E
S S S S
= = =
/
g g
C C S

=
S I I
D D
. =

S P P . =

3
/ / P Area S P Area

=
' 2
/
d d
t t S =
S E E / ' =
Q5. Explain how parallelismcan be used to achieve low power
instead of high performance in realizing digital circuits.
Ans: Traditionally, parallelism is used to improve performance at
the expense of larger power dissipation. But, instead of trying to
improve performance, the power dissipation can be reduced by
scaling down the supply voltage such that the performance
remains unaltered.
Answer to Questions of Lec Answer to Questions of Lec--20 20
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
remains unaltered.
108
Q6.Explain how multicore architecture provides low power compared to the
single core architecture of the same performance.
Ans: The idea behind the parallelism for low-power can be extended to
multi-core architecture. The clock frequency can be reduced with
commensurate scaling of the supply voltage as the number of cores is
increased from one to more than one while maintaining the same
throughput.
Review Questions of Lec Review Questions of Lec--21 21
Q1. In what situation pipelining can be implemented?
Q2. Explain how pipelining can be used to achieve low power instead
of high performance in realizing digital circuits.
Q3. How clock frequency, speed up, throughput and power
dissipation changes for a pipelined implementation with k stages with
respect to non-pipelined implementation?
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
respect to non-pipelined implementation?
Q4. How can you combine sizing and supply voltage scaling to realize
low power circuits?
Q5. Explain with an example how pipelining and parallelism can be
combined to realize low power circuits? to realize low power circuits?
109
Answer to Questions of Lec Answer to Questions of Lec--21 21
Q1. In what situation pipelining can be implemented?
Ans: A task can be pipelined when it can be divided into more than
one independent subtasks, which can be executed in a overlapped
manner.
Q2. Explain how pipelining can be used to achieve low power instead
of high performance in realizing digital circuits.
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Ans: In a conventional pipelined implementation, the subtasks are
executed in a overlapped manner at a faster rate. Instead of that, the
subtasks can be executed at the same rate as the original task but
with reduced supply voltage. This is how the pipelined the
implementation will require lower power.
110
Q3. How clock frequency, speed up, throughput and power
dissipation changes for a pipelined implementation with k stages
with respect to non-pipelined implementation?
Ans: Clock frequency = kf, speedup =
where n is the number of tasks executed using k-stage pipeline,
and power dissipation = 1/k
2
.
) 1 (
.
+
=
n k
k n
S
k
Answer to Questions of Lec Answer to Questions of Lec--21 21
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Q4. How can you combine sizing and supply voltage scaling to
realize low power circuits?
Ans: It can be done in three steps (a) Upsize gates on the critical
path to reduce delay of the circuit (b) Scale down the supply
voltage to equalize with the original delay (c) Upsize gates on
non-critical paths selectively without exceeding the critical path
delay.
111
Q5. Explain with an example how pipelining and parallelism can be
combined to realize low power circuits? to realize low power circuits?
Ans Ans: Here, more than one parallel structure is used and each : Here, more than one parallel structure is used and each
structure is pipelined. Both power supply and frequency of structure is pipelined. Both power supply and frequency of
operation are reduced to achieve substantial overall reduction in operation are reduced to achieve substantial overall reduction in
power dissipation. power dissipation.
Answer to Questions of Lec Answer to Questions of Lec--21 21
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
112
Review Questions of Lec Review Questions of Lec--22 22
Q1. Explain the basic concept of multi level voltage scaling.
Q2. What is the impact of multiple supply voltages on the
distribution of path delays of a circuit with respect to that for single
supply voltage?
Q3. List and explain three important issues in the context of
multiple supply voltage scaling?
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Q4. What problem arises when a signal passes from low voltage
domain to high voltage domain? How this problem is overcome?
Q5. Explain the design decision for the placement of converters in
the voltage scaling interfaces.
113
Answer to Questions of Lec Answer to Questions of Lec--22 22
Q1. Explain the basic concept of multi level voltage scaling.
Ans: This is an extension of SVS where two or few fixed voltage
domains are used in different parts of a circuit,. As we know,
high igh Vdd Vdd gates have less delay, but higher dynamic and static gates have less delay, but higher dynamic and static
power dissipation and low power dissipation and low Vdd Vdd gates have larger delay but gates have larger delay but
lesser power dissipation. Voltage islands can be generated at lesser power dissipation. Voltage islands can be generated at
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
lesser power dissipation. Voltage islands can be generated at lesser power dissipation. Voltage islands can be generated at
different levels of granularity, such as macro level and standard different levels of granularity, such as macro level and standard
cell level. The slack of the off cell level. The slack of the off--critical path can be utilized for critical path can be utilized for
allocation of macro modules of low allocation of macro modules of low--Vdd Vdd to off to off--critical critical--path path
macro modules. Total power dissipation can be reduced without macro modules. Total power dissipation can be reduced without
degrading the overall circuit performance. degrading the overall circuit performance.
114
Q2. What is the impact of multiple supply voltages on the
distribution of path delays of a circuit with respect to that for
single supply voltage?
Ans: Path delay for different paths in a circuit for single supply
voltage is shown. The graph of a Gaussian is a characteristic The graph of a Gaussian is a characteristic
symmetric "bell curve" shape that quickly falls off towards plus/minus symmetric "bell curve" shape that quickly falls off towards plus/minus
infinity plus/minus infinity. However, when multiple supply voltages infinity plus/minus infinity. However, when multiple supply voltages
Answer to Questions of Lec Answer to Questions of Lec--22 22
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
infinity plus/minus infinity. However, when multiple supply voltages infinity plus/minus infinity. However, when multiple supply voltages
are used, the path delay distribution is not Gaussian because are used, the path delay distribution is not Gaussian because
modules having smaller delays are assigned with smaller supply modules having smaller delays are assigned with smaller supply
voltage and their delay increases. voltage and their delay increases.
115
Answer to Questions of Lec Answer to Questions of Lec--22 22
Q3. List and explain the important issues in the context of multiple
supply voltage scaling?
Ans: Important issues in the context of MVS are listed below:
(a) Voltage Scaling Interfaces
(b) Converter Placement
(c) Floor planning, Routing and Placement
(d) Multiple Supply Voltages
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
(d) Multiple Supply Voltages
(e) Static Timing Analysis
(f) Power up and Power down Sequencing
(g) Clock distribution
116
Answer to Questions of Lec Answer to Questions of Lec--22 22
Q4. What problem arises when a signal passes from low voltage
domain to high voltage domain? How this problem is overcome?
Ans: A high A high--level output from the low level output from the low--Vdd Vdd domain has output domain has output
VddL VddL, which may turn on both , which may turn on both nMOS nMOS and and pMOS pMOS transistors of the transistors of the
high high--Vdd Vdd domain inverter resulting in short circuit between domain inverter resulting in short circuit between VddH VddH to to
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
high high--Vdd Vdd domain inverter resulting in short circuit between domain inverter resulting in short circuit between VddH VddH to to
GND. A level converter needs to be inserted to avoid this static GND. A level converter needs to be inserted to avoid this static
power consumption power consumption
117
Answer to Questions of Lec Answer to Questions of Lec--22 22
Q5. Explain the design decision for the placement of
converters in the voltage scaling interfaces.
Ans Ans: One important design decision in the voltage : One important design decision in the voltage
scaling interfaces is the placement of converters . As scaling interfaces is the placement of converters . As
the high the high--to to--low level converters use low low level converters use low--Vdd Vdd voltage voltage
rail, it is a appropriate to place them in the receiving rail, it is a appropriate to place them in the receiving
or destination domain. It is also recommended to or destination domain. It is also recommended to
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
or destination domain. It is also recommended to or destination domain. It is also recommended to
place the low place the low--to to--high level converters in the receiving high level converters in the receiving
domain. As the low domain. As the low--to to--high level converters require high level converters require
both low and high both low and high--Vdd Vdd supply rails, at least one of the supply rails, at least one of the
supply rails needs to be routed from one domain to supply rails needs to be routed from one domain to
the other. the other.
118
Review Questions of Lec Review Questions of Lec--23 23
Q1. For a workload of 50%, explain how reduction in
power dissipation takes place for DVS and DVFS?
Q2. With the help of a schematic diagram, explain how
the dynamic voltage and frequency scaling technique is
used to achieve low power dissipation of a processor.
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Q3. In what way adaptive voltage scaling differs from
dynamic voltage scaling?
Q4. Show various building blocks required for the
implementation of adaptive voltage scaling system.
119
Answer to Questions of Lec Answer to Questions of Lec--23 23
Q1. For a workload of 50%, explain how reduction in
power dissipation takes place for DVS and DVFS?
Q2. With the help of a schematic diagram, explain how
the dynamic voltage and frequency scaling technique is
used to achieve low power dissipation of a processor.
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Q3. In what way adaptive voltage scaling differs from
dynamic voltage scaling?
Q4. Show various building blocks required for the
implementation of adaptive voltage scaling system.
120
R
e
l
a
t
i
v
e
P
o
w
e
r
P1
WORKLOAD 50%
No voltage or
frequency
scaling
0
1
Q1. For a workload of 50%,
explain how reduction in power
dissipation takes place for DFS
and DVFS?
Ans: The adjacent diagrams
show how reduction in power
dissipation take in the two
Answer to Questions of Lec Answer to Questions of Lec--23 23
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
121
Time T2
0
(b)
Time
P2
T1
WORKLOAD 50%
Frequency Scaling 50%
No voltage scaling
R
e
l
a
t
i
v
e
P
o
w
e
r
0
0.5
(c)
Time
P3
T1
WORKLOAD 50%
Frequency Scaling 50%
With voltage scaling
R
e
l
a
t
i
v
e
P
o
w
e
r
0.25
(d)
dissipation take in the two
situations.
DC / DC
Converter
Workload
Monitor
V
fixed
r
Frequency
Generator
r
Q2. With the help of a schematic diagram, explain how the dynamic voltage
and frequency scaling technique is used to achieve low power dissipation of
a processor.
Ans: The diagram shows how both voltage and frequency are controlled for
different predicted workload.
Answer to Questions of Lec Answer to Questions of Lec--23 23
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
122
Variable Voltage
Processor ) ( r
V(r) W
f
( r )
M MM M
Task
Queue
1

2

n


Q3. In what way adaptive voltage scaling differs from
dynamic voltage scaling?
Ans: The DVFS approach is The DVFS approach is open open--loop in nature. loop in nature.
Voltage Voltage--frequency pairs are determined at design time frequency pairs are determined at design time
keeping sufficient margin for guaranteed operation keeping sufficient margin for guaranteed operation
across the entire range of best and worst case process, across the entire range of best and worst case process,
voltage and temperature (PVT) voltage and temperature (PVT) conditions. As conditions. As the the
Answer to Questions of Lec Answer to Questions of Lec--23 23
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
voltage and temperature (PVT) voltage and temperature (PVT) conditions. As conditions. As the the
design needs to be very conservative for successful design needs to be very conservative for successful
operation, the actual benefit obtained is lesser than operation, the actual benefit obtained is lesser than
actually actually possible. A possible. A better alternative that can overcome better alternative that can overcome
this limitation is the Adaptive Voltage Scaling (AVS) this limitation is the Adaptive Voltage Scaling (AVS)
where a close where a close--loop feedback system is implemented loop feedback system is implemented
between the voltage scaling power supply and delay between the voltage scaling power supply and delay
sensing performance monitor at execution sensing performance monitor at execution time. time.
123
Q4. Show various building blocks required for the implementation
of adaptive voltage scaling system.
Ans Ans: The schematic diagram is given below. The operation of : The schematic diagram is given below. The operation of
different building blocks are as follows: different building blocks are as follows:
Answer to Questions of Lec Answer to Questions of Lec--23 23
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
124
The on The on--chip monitor not only checks the actual voltage developed, chip monitor not only checks the actual voltage developed,
but also detects whether the silicon is slow, typical or fast and the but also detects whether the silicon is slow, typical or fast and the
effect of temperature on the surrounding silicon. effect of temperature on the surrounding silicon. The DVC emulates The DVC emulates
the critical path characteristic of the system by using a delay the critical path characteristic of the system by using a delay
synthesizer and controls the dynamic supply voltage (0.9 to 1.6V at synthesizer and controls the dynamic supply voltage (0.9 to 1.6V at
5mV step in real 5mV step in real time). The time). The DFC adjusts the clock frequency at the DFC adjusts the clock frequency at the
Answer to Questions of Lec Answer to Questions of Lec--23 23
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
5mV step in real 5mV step in real time). The time). The DFC adjusts the clock frequency at the DFC adjusts the clock frequency at the
required minimum value by monitoring the system activity (20MHz required minimum value by monitoring the system activity (20MHz
to to 120MHz). The 120MHz). The voltage and frequency are predicted according to voltage and frequency are predicted according to
the performance monitoring of the the performance monitoring of the system. The system. The DVFM system can DVFM system can
track the required performance with a high level of accuracy over track the required performance with a high level of accuracy over
the full range of temperature and process the full range of temperature and process deviations. deviations.
125
Review Questions of Lec Review Questions of Lec--24 24
Q1. Explain the basic concept of hardware Q1. Explain the basic concept of hardware--software software
tradeoff with an example for low power . tradeoff with an example for low power .
Q2. Distinguish between superscalar and VLIW Q2. Distinguish between superscalar and VLIW
architecture architecture
Q3. Discuss why VLIW architecture allows lower power Q3. Discuss why VLIW architecture allows lower power
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
dissipation compared to Superscalar architecture. dissipation compared to Superscalar architecture.
Q4. In the context of Q4. In the context of Transmetas Transmetas Crusoe processor Crusoe processor
explain the role of Code Morphing Software. explain the role of Code Morphing Software.
Q5. How caching can be used to achieve lower power Q5. How caching can be used to achieve lower power
dissipation in VLIW architecture? dissipation in VLIW architecture?
126
Answer to Questions of Lec Answer to Questions of Lec--24 24
Q1. Explain the basic concept of hardware Q1. Explain the basic concept of hardware--software tradeoff with software tradeoff with
an example for low power . an example for low power .
Ans Ans: It is well known that the same : It is well known that the same functionality can be either functionality can be either
realized by hardware or by software or by a combination of realized by hardware or by software or by a combination of both. both.
The hardware The hardware--based approach has the following characteristic: based approach has the following characteristic:
Faster Faster
Costlier Costlier
Consumes more power Consumes more power
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Consumes more power Consumes more power
On the other hand the software On the other hand the software--based based approachhas approachhas the following the following
characteristics: characteristics:
Cheaper Cheaper
Slower Slower
Consumes lesser Consumes lesser power power
127
Q2. Distinguish between superscalar and VLIW Q2. Distinguish between superscalar and VLIW architecture. architecture.
Ans Ans: Both superscalar and VLIW architectures implement : Both superscalar and VLIW architectures implement a form of a form of
parallelism called instruction parallelism called instruction--level parallelism within a single level parallelism within a single
processor. A processor. A superscalar processor executes more than one superscalar processor executes more than one
instructions during a clock cycle by simultaneously dispatching instructions during a clock cycle by simultaneously dispatching
multiple instructions to more than one functional units on the multiple instructions to more than one functional units on the
processor. In processor. In a superscalar CPU the a superscalar CPU the dispatcher dispatcher reads instructions reads instructions
Answer to Questions of Lec Answer to Questions of Lec--24 24
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
processor. In processor. In a superscalar CPU the a superscalar CPU the dispatcher dispatcher reads instructions reads instructions
from memory and decides which ones can be run in parallel, from memory and decides which ones can be run in parallel,
dispatching them to two or more functional units contained inside a dispatching them to two or more functional units contained inside a
single single CPU. So, in this case ILP is implemented by hardware. CPU. So, in this case ILP is implemented by hardware.
On the other hand, a On the other hand, a compiler compiler identifies that can be identifies that can be
executed in parallel and generates executed in parallel and generates long instructions having long instructions having
multiple operations meant for different functional multiple operations meant for different functional units. Therefore, units. Therefore,
in this case ILP is implemented by in this case ILP is implemented by software. software.
128
Q3 Q3. Discuss why VLIW architecture allows lower power dissipation . Discuss why VLIW architecture allows lower power dissipation
compared to Superscalar architecture compared to Superscalar architecture..
Ans Ans: As parallelism is identified by software at compile time, the : As parallelism is identified by software at compile time, the
VLIW incurs lower power dissipation. VLIW incurs lower power dissipation.
Q4. In the context of Q4. In the context of Transmetas Transmetas Crusoe processor explain the role Crusoe processor explain the role
of Code Morphing Software of Code Morphing Software..
Ans Ans: : The Code Morphing software mediates between x86 software The Code Morphing software mediates between x86 software
Answer to Questions of Lec Answer to Questions of Lec--24 24
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Ans Ans: : The Code Morphing software mediates between x86 software The Code Morphing software mediates between x86 software
and the VLIW and the VLIW engine. It engine. It is fundamentally a dynamic translation is fundamentally a dynamic translation
system. A system. A program that program that translates instructions from one translates instructions from one instruction instruction
set architecture set architecture to another instruction set architecture. to another instruction set architecture. Here, x86 Here, x86
code is compiled into VLIW code is compiled into VLIW code of the code of the Cruosoe Cruosoe processor. processor. Code Code
Morphing software insulates x86 programs from the hardware Morphing software insulates x86 programs from the hardware
engines native instruction engines native instruction set. The set. The code morphing software is the code morphing software is the
only program that is written directly for the VLIW only program that is written directly for the VLIW processor. processor.
129
Answer to Questions of Lec Answer to Questions of Lec--24 24
Q5. How caching can be used to achieve lower power dissipation Q5. How caching can be used to achieve lower power dissipation
in VLIW architecture? in VLIW architecture?
Ans Ans: : In real In real--life applications it is very common to execute a block of life applications it is very common to execute a block of
code many times over and over after it has been translated code many times over and over after it has been translated once. A once. A
separate memory space is used to store the translation cache and separate memory space is used to store the translation cache and
the code morphing the code morphing software. software. It allows reuse the translated code by It allows reuse the translated code by
making use of locality of reference making use of locality of reference property. Caching property. Caching translations translations
provide excellent opportunity of reuse in many real provide excellent opportunity of reuse in many real--life life
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
applications. applications.
130
Review Questions of Lec Review Questions of Lec--25 25
Q1. Explain the basic concept of bus encoding to reduce switched Q1. Explain the basic concept of bus encoding to reduce switched
capacitance. capacitance.
Q2. Q2. Find out the switching activity of a modulo Find out the switching activity of a modulo--7 counter using 7 counter using
binary and Gray codes for state encoding binary and Gray codes for state encoding
Q3. How gray coding helps to reduce power dissipation for fetching Q3. How gray coding helps to reduce power dissipation for fetching
instructions from main memory? instructions from main memory?
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Q4. How reduction in power dissipation is achieved by dividing a Q4. How reduction in power dissipation is achieved by dividing a
64 64--bit bus into eight 8 bit bus into eight 8--bit buses. bit buses.
Q5. How T0 encoding achieves almost zero transition on a bus. Q5. How T0 encoding achieves almost zero transition on a bus.
131
Answer to Questions of Lec Answer to Questions of Lec--25 25
Q1. Explain the basic concept of bus encoding to reduce switched Q1. Explain the basic concept of bus encoding to reduce switched
capacitance. capacitance.
Ans Ans: Switching activity can be reduced by coding the address bit : Switching activity can be reduced by coding the address bit
before sending over the bus. This is done before sending over the bus. This is done introducing sample introducing sample to to
sample correlation such that total number of bit transitions is sample correlation such that total number of bit transitions is
reduced. Similarly, reduced. Similarly, ccommunicating ommunicating data bits in an appropriately data bits in an appropriately
coded form can reduce the switching coded form can reduce the switching activity. activity.
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
coded form can reduce the switching coded form can reduce the switching activity. activity.
132
Q2. Find out the switching activity of a modulo Q2. Find out the switching activity of a modulo--7 counter using binary 7 counter using binary
and Gray codes for state encoding and Gray codes for state encoding..
Ans Ans: The reduction in the number of bit transitions for the two types of : The reduction in the number of bit transitions for the two types of
coding is given below: coding is given below:
Binary code Transitions Gray code Transitions
000 000
001 1 001 1
Answer to Questions of Lec Answer to Questions of Lec--25 25
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
001 1 001 1
010 2 011 1
011 1 010 1
100 3 110 1
101 1 111 1
110 2 101 1
2 2
Total 12 8
Q3. How gray coding helps to reduce Q3. How gray coding helps to reduce
power dissipation for fetching power dissipation for fetching
instructions from main memory instructions from main memory??
Ans Ans: : A A gray code sequence is a set of gray code sequence is a set of
numbers in which adjacent numbers numbers in which adjacent numbers
have only one bit have only one bit difference. On the difference. On the
other hand, the number of transitions other hand, the number of transitions
Answer to Questions of Lec Answer to Questions of Lec--25 25
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
other hand, the number of transitions other hand, the number of transitions
vary from 1 to n (n/2 on the average) as vary from 1 to n (n/2 on the average) as
shown in the adjacent table. The power shown in the adjacent table. The power
dissipations of the bus driver decreases dissipations of the bus driver decreases
because of the reduction of switching because of the reduction of switching
activity. activity.
134
Q4 Q4. How T0 encoding achieves almost zero transition on a bus . How T0 encoding achieves almost zero transition on a bus..
Ans Ans: In T0 encoding, after sending the first address, the same : In T0 encoding, after sending the first address, the same
address is sent address is sent for for infinite streams of consecutive addresses. infinite streams of consecutive addresses. The The
receiver side is informed about it by sending an additional bit receiver side is informed about it by sending an additional bit
known as increment (INC) bit. However, if the address is not known as increment (INC) bit. However, if the address is not
consecutive, then the actual address is sent. The consecutive, then the actual address is sent. The T0 code provides, T0 code provides,
zero transition property zero transition property for for infinite streams of consecutive infinite streams of consecutive
Answer to Questions of Lec Answer to Questions of Lec--25 25
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
zero transition property zero transition property for for infinite streams of consecutive infinite streams of consecutive
addresses. addresses.
135
Review Questions of Lec Review Questions of Lec--26 26
1. Explain the basic concept of clock gating to reduce power 1. Explain the basic concept of clock gating to reduce power
dissipation in a digital circuit. dissipation in a digital circuit.
2. What are the three levels of clock gating granularity? Compare 2. What are the three levels of clock gating granularity? Compare
their pros and cons. their pros and cons.
3. Explain the important issues related to clock gating in the clock 3. Explain the important issues related to clock gating in the clock
tree. tree.
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
4. Explain with an example, how power dissipation in a 4. Explain with an example, how power dissipation in a
combinational circuit can be reduced by using operand combinational circuit can be reduced by using operand
isolation. isolation.
136
Answer to Questions of Lec Answer to Questions of Lec--26 26
1. 1. Explain the basic concept of clock gating to reduce power Explain the basic concept of clock gating to reduce power
dissipation in a digital circuit. dissipation in a digital circuit.
Ans: It has been observed that a major component of processor
power is the clock power (50% of the total power). So, there is
scope for large reduction of power dissipation by using suitable
technique to remove a large number of unnecessary transitions.
Such transitions can be suppressed without affecting functionality.
One of the most successful and commonly used low power
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
One of the most successful and commonly used low power
technique is clock gating.
137
2. What are the three levels of clock gating granularity? Compare 2. What are the three levels of clock gating granularity? Compare
their pros and cons. their pros and cons.
Ans: There are three levels of granularity:
Module-level clock gating: Large reduction in power but there
is limited opportunity.
Register-level clock gating: There is more opportunity
compared to module level clock gating, but lesser reduction
Answer to Questions of Lec Answer to Questions of Lec--26 26
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
compared to module level clock gating, but lesser reduction
of power.
Cell-level clock gating: Provides many more opportunities
and it lends itself to automated insertion and can result in
massively clock gated designs.
138
3. Explain the important issues related to clock gating in the clock 3. Explain the important issues related to clock gating in the clock
tree tree..
Ans Ans: : Clock tree amounts to a significant portion of the total
dynamic power consumption. It leads to a tradeoff between the
physical capacitance that is prevented from switching and the
number of unnecessary transitions. Disabling at higher up in the
tree prevents larger capacitance from switching, but the gating
Answer to Questions of Lec Answer to Questions of Lec--26 26
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
tree prevents larger capacitance from switching, but the gating
condition is satisfied fewer times. Having multiple gating points
along a path may be beneficial.
4. Explain with an example, how power dissipation in a 4. Explain with an example, how power dissipation in a
combinational circuit can be reduced by using operand combinational circuit can be reduced by using operand
isolation isolation..
139
Ans: Operand isolation is a technique for power reduction in the
combinational part of the circuit. Here the basic concept is to shut-
off logic blocks when they do not perform any useful computation.
Shutting-off is done by not allowing the inputs to toggle in clock
cycles when the output of the block is not used. In the following
example, the output of the adder is loaded into the latch only when
S_1 is 1 and S_2 is 0. So, input lines of the adder may be gated
Answer to Questions of Lec Answer to Questions of Lec--26 26
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
S_1 is 1 and S_2 is 0. So, input lines of the adder may be gated
based on this condition, as shown in the diagram.
140
D
CLK
0
0
1
1
S_1
S_2
+
A
B
D
CLK
0
0
1
1
S_1
S_2
+
A
B
AS
Review Questions of Lec Review Questions of Lec--27 27
Q1. What is the basic concept of clock gated FSM. Q1. What is the basic concept of clock gated FSM.
Q2. How state encoding can be used to reduce power dissipation in Q2. How state encoding can be used to reduce power dissipation in
an FSM? Explain with an example. an FSM? Explain with an example.
Q3. How power dissipation is reduced by partitioning an FSM> Q3. How power dissipation is reduced by partitioning an FSM>
Explain with an example. Explain with an example.
Q4. A sequence detector produces a 1 Q4. A sequence detector produces a 1 for each occurrence for each occurrence
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
of the input sequence 1001 at its input. of the input sequence 1001 at its input.
(a) Draw the state (a) Draw the state--transition diagram of the FSM realizing the transition diagram of the FSM realizing the
sequence detector. sequence detector.
(b) Obtain state table from the state transition diagram. (b) Obtain state table from the state transition diagram.
(c) Realize the FSM using D FFs and a PLA. (c) Realize the FSM using D FFs and a PLA.
141
Answer to Questions of Lec Answer to Questions of Lec--27 27
Q1. What is the basic concept of clock gated FSM. Q1. What is the basic concept of clock gated FSM.
Ans Ans: : There are conditions when the next state and output values do There are conditions when the next state and output values do
not change (idle not change (idle condition). Clocking condition). Clocking the circuit during the circuit during this idle this idle
condition leads to unnecessary wastage of condition leads to unnecessary wastage of power. The power. The clock can be clock can be
stopped, stopped, if the idle conditions can be if the idle conditions can be detected. This detected. This saves power saves power
both in the combinational circuit as well as the both in the combinational circuit as well as the registers/latches. registers/latches.
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
142
Q2. How state encoding can be used to reduce power dissipation in Q2. How state encoding can be used to reduce power dissipation in
an FSM? Explain with an example an FSM? Explain with an example..
Ans Ans: In : In the state assignment the state assignment phase of an FSM, phase of an FSM, each state is given a each state is given a
unique unique code. It has been observed that states code. It has been observed that states assignment assignment
strongly influences the complexity of its combinational logic strongly influences the complexity of its combinational logic
part used to realize the FSM. Traditionally part used to realize the FSM. Traditionally state assignment has state assignment has
been used to optimize the area and delay of the been used to optimize the area and delay of the circuit. It circuit. It can can
Answer to Questions of Lec Answer to Questions of Lec--27 27
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
been used to optimize the area and delay of the been used to optimize the area and delay of the circuit. It circuit. It can can
also be used to reduce switching activity for the reduction of the also be used to reduce switching activity for the reduction of the
dynamic dynamic power. This is illustrated with the help of the following power. This is illustrated with the help of the following
example: example:
143
S1
S2 S3 S4
1/0
1/0
1/0 1/0
0/0
0/0
0/0
0/0,1/1
0/0
S5
Transitions Assignment-1 Assignment-2
S1 S1 0.0 0.0
S1 S2 1.5 0.5
S2 S1 1.5 0.5
S1
S2 S3 S4
1/0
1/0
1/0 1/0
0/0
0/0
0/0
0/0,1/1
0/0
S5
State Encoding
S1 000
S2 111
S3 001
S4 110
S5 101
Answer to Questions of Lec Answer to Questions of Lec--27 27
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
S2 S1 1.5 0.5
S2 S3 1.0 0.5
S3 S1 0.5 1.0
S3 S4 1.5 0.5
S4 S1 1.0 0.5
S4 S5 1.0 1.0
S5 S1 2.0 1.0
Total 10.0 5.5
S5 101
State Encoding
S1 000
S2 001
S3 011
S4 010
S5 100
Q3. How power dissipation is reduced by partitioning an Q3. How power dissipation is reduced by partitioning an FSM. FSM.
Explain with an example Explain with an example..
Ans Ans: : The idea is to decompose a large FSM into a several smaller
FSMs with smaller number of state registers and combinational
blocks. Out of all the FSMs, only the active FSMs receive clock and
switching inputs, and the others are idle and consume no dynamic
power. This is the basic concept of reducing dynamic power by
Answer to Questions of Lec Answer to Questions of Lec--27 27
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
partitioning an FSM.
Q4 Q4. A sequence detector produces a 1 . A sequence detector produces a 1 for for each occurrence of the each occurrence of the
input sequence 1001 at its input. input sequence 1001 at its input.
(a) Draw the state (a) Draw the state--transition diagram of the FSM realizing the transition diagram of the FSM realizing the
sequence detector. sequence detector.
(b) Obtain state table from the state transition diagram. (b) Obtain state table from the state transition diagram.
(c) Realize the FSM using D FFs and a PLA. (c) Realize the FSM using D FFs and a PLA.
145
Ans Ans: 4(a) The state transition diagram is given below: : 4(a) The state transition diagram is given below:
s1
s2
s3 s4
1/0
0/0
0/0
1/1
0/0
1/0
1/0
Answer to Questions of Lec Answer to Questions of Lec--27 27
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
146
Y2Y1, z
PS y2y1 x =0 X = 1
S1 0 0 00,0 01,0
S2 01 10,0 01,0
S3 1 0 11,0 01,0
S4 1 1 00,0 01,1
0/0
1/1
Ans Ans: 4(b) The state : 4(b) The state
table is given in the table is given in the
adjacent diagram adjacent diagram
Ans Ans: 4(c) The FSM using D FFs and a PLA to realize the : 4(c) The FSM using D FFs and a PLA to realize the
combinational circuit is given below:. combinational circuit is given below:.
Answer to Questions of Lec Answer to Questions of Lec--27 27
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
147
Y2 = x(y2y1 + y2y1)
Y1 = x + xy2y1
z = xy2y1
x
y1 y2
Y2
Y1
z
CLK
D Q
D Q
Review Questions of Lec Review Questions of Lec--28 28
Q1. In what situation the use of sign Q1. In what situation the use of sign--magnitude form of number magnitude form of number
representation instead of 2s complement form is beneficial in representation instead of 2s complement form is beneficial in
terms of power dissipation? Illustrate with an example terms of power dissipation? Illustrate with an example..
Q2. Explain how the ordering of input signal does affect the Q2. Explain how the ordering of input signal does affect the
dynamic power dissipation on a bus? Illustrate with an example. dynamic power dissipation on a bus? Illustrate with an example.
Q3. What is Q3. What is glitching glitching power dissipation? How can it be minimized? power dissipation? How can it be minimized?
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Q4. How is it possible to reduce power dissipation by duplicating a Q4. How is it possible to reduce power dissipation by duplicating a
resource rather than using it twice? resource rather than using it twice?
148
Answer to Questions of Lec Answer to Questions of Lec--28 28
Q1. In what situation the use of sign Q1. In what situation the use of sign--magnitude form of number magnitude form of number
representation instead of 2s complement form is beneficial in representation instead of 2s complement form is beneficial in
terms of power dissipation? terms of power dissipation?
Ans Ans: In most of the signal processing applications, 2s complement : In most of the signal processing applications, 2s complement
is typically chosen to represent numbers. Sign extension causes is typically chosen to represent numbers. Sign extension causes
MSB sign MSB sign--bits to switch when a signal transitions from + bits to switch when a signal transitions from +ve ve to to
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
MSB sign MSB sign--bits to switch when a signal transitions from + bits to switch when a signal transitions from +ve ve to to
ve ve or vice versa. 2s complement can result in significant or vice versa. 2s complement can result in significant
switching activity when the signals being processed switch switching activity when the signals being processed switch
frequently around zero. Switching in MSBs can be minimized by frequently around zero. Switching in MSBs can be minimized by
using sign using sign--magnitude (S magnitude (S--M) representation. M) representation.
149
Q2. Explain how the ordering of input signal does affect the Q2. Explain how the ordering of input signal does affect the
dynamic power dissipation on a bus? Illustrate with an dynamic power dissipation on a bus? Illustrate with an
example. example.
Ans Ans: Let us consider two alternative : Let us consider two alternative topologies to implement topologies to implement
the required 2 additions the required 2 additions
Topology #1 Topology #1
SUM1 = IN + (IN>>7) SUM1 = IN + (IN>>7)
Answer to Questions of Lec Answer to Questions of Lec--28 28
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
SUM1 = IN + (IN>>7) SUM1 = IN + (IN>>7)
SUM2 = SUM1 + (IN>>8) SUM2 = SUM1 + (IN>>8)
Topology #2 Topology #2
SUM1 = (IN>>7) + (IN>>8) SUM1 = (IN>>7) + (IN>>8)
SUM2 = SUM1 + IN SUM2 = SUM1 + IN
Topology 1
Topology 2
Answer to Questions of Lec Answer to Questions of Lec--28 28
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Shift operation represent a scaling operation, which has the effect Shift operation represent a scaling operation, which has the effect
of reducing the dynamic range of the signal of reducing the dynamic range of the signal
Answer to Questions of Lec Answer to Questions of Lec--28 28
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Transition Probability for 3 signals IN, IN>>7, IN>>8
A
B
C
1
O
A B C
0 1 0 1 1 1
O
2
Q3. What is glitching power dissipation?
How can it be minimized?
Ans: In digital circuits glitch is an
undesired transition that occurs before
the signal settles to its intended value. In
other words, glitch is an electrical pulse
of short duration that is usually the result
of a fault or design error. As shown in the
adjacent diagram, there is some delay at
Answer to Questions of Lec Answer to Questions of Lec--28 28
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
O
O
t = 0
2
1
adjacent diagram, there is some delay at
the output O
1
, which results in a glitch at
output O
2
. As there is some capacitance
associated with the output O
2,
it leads to
switching power dissipation. This
switching power dissipation arising out of
a glitch is known as glitching power
dissipation.
A
O
1
O
2
A
B
These Extra transitions can be minimized by
Balancing all signal paths
Reducing logic depth
Answer to Questions of Lec Answer to Questions of Lec--28 28
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Realization of A.B.C.D in
cascaded form where there is
possibility of glitch.
Balanced realization of the
same function with lesser
possibility of glitch
B
C
D
O
2
O
3
B
C
D
Ans Ans: Potential Logic Styles are: : Potential Logic Styles are:
Static CMOS Logic Static CMOS Logic
Dynamic CMOS Logic Dynamic CMOS Logic
Pass Pass--Transistor Logic (PTL) Transistor Logic (PTL)
Q4. What are the potential logic styles for the realization of low power Q4. What are the potential logic styles for the realization of low power
high performance CMOS circuits? high performance CMOS circuits?
Answer to Questions of Lec Answer to Questions of Lec--28 28
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Pass Pass--Transistor Logic (PTL) Transistor Logic (PTL)
Ref: D. Samanta, Ajit Pal, Logic Styles for High Performance and Low
Power, Proceedings of the 12th International Workshop on Logic and
Synthesis, 2003 (IWLS-2003), pp. 355-362, May 2003
Static CMOS Logic
Advantages
Ease of fabrication
Good noise margin
Robust
Lower switching activity
Good input/output decoupling
No charge sharing problem
Availability of matured logic
synthesis tools and techniques
Disadvantages
Larger number of transistors
(larger chip area and delay)
Spurious transitions (glitch)
Answer to Questions of Lec Answer to Questions of Lec--28 28
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
synthesis tools and techniques
Spurious transitions (glitch)
due to finite propagation delays
leading to extra power
dissipation and incorrect
operation
Short circuit power dissipation
Weak output driving capability
Large number of standard cells
requiring substantial
engineering effort for
technology mapping
pull
up
network
pull
down
network
C
L
INPUT f
V
DD
V
SS
Dynamic CMOS Logic
Advantages
Combines the advantages
of low power of static
CMOS and low chip area of
pseudo-nMOS
Reduced number of
Disadvantages
pull
down
network
V
DD
INPUT

V
SS
C
L
f
evaluation precharge
= 0
= 1
f H
f H|H L
Answer to Questions of Lec Answer to Questions of Lec--28 28
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Reduced number of
transistors compared to
static CMOS (n+2 versus
2n)
Faster than static CMOS
logic
No short circuit power
dissipation
No spurious transition and
glitching power dissipation
Disadvantages
Higher switching activity
Not as robust as static CMOS
logic
Clock skew problem in
cascaded realization
Suffers from charge sharing
problem
Mature synthesis tool not
available
Pass-Transistor Logic
Advantages
Lower area due to smaller
number of transistors and
smaller input loads
Ratio-less PTL allows
minimum dimension
transistors and hence
Disadvantages
Increased delay due to long
chain pf pass-transistors
Multi-threshold voltage
drop
Dual-rail logic to provide all
signals in complementary
Answer to Questions of Lec Answer to Questions of Lec--28 28
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
transistors and hence
makes area efficient circuit
realization
No short circuit current
leading to lower power
dissipation
signals in complementary
form
There is possibility of
sneak path
Review Questions of Lec Review Questions of Lec--29 29
Q1. Q1. Why leakage power is an important in the deep sub micron
technology?
Q2. What challenges we face in using threshold voltage scaling to Q2. What challenges we face in using threshold voltage scaling to
minimize leakage power dissipation? minimize leakage power dissipation?
Q3. Explain how transistor staking can be used to reduce leakage Q3. Explain how transistor staking can be used to reduce leakage
power dissipation. power dissipation.
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Q4. Distinguish between standby and runtime leakage power. Why Q4. Distinguish between standby and runtime leakage power. Why
runtime leakage power is becoming important in the present day runtime leakage power is becoming important in the present day
context? context?
Q5. Compare between VTCMOS and MTCMOS for leakage power Q5. Compare between VTCMOS and MTCMOS for leakage power
reduction. reduction.
Q6. Give the advantages and limitations of MTCMOS approach. Q6. Give the advantages and limitations of MTCMOS approach.
159
Q1. Why leakage power is an important in the deep sub micron technology? Q1. Why leakage power is an important in the deep sub micron technology?
Ans Ans: As shown in the diagram, the leakage power component is increasing : As shown in the diagram, the leakage power component is increasing
at a higher rate compared to dynamic power as we move towards deep sub at a higher rate compared to dynamic power as we move towards deep sub
micron technology. So, it has become an important issue. micron technology. So, it has become an important issue.
Answer to Questions of Lec Answer to Questions of Lec--29 29
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Q2. What challenges we face in using threshold voltage scaling to minimize Q2. What challenges we face in using threshold voltage scaling to minimize
leakage power dissipation? leakage power dissipation?
Ans Ans: : As supply voltage is scaled down to reduce power dissipation, the
threshold voltage is also scaled down to maintain performance. As we do so,
the subthreshold leakage current increases leading to high power
dissipation. So, the challenge is to maintain performance with lower power
dissipation.
Answer to Questions of Lec Answer to Questions of Lec--29 29
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
161
Ans: When more than
one transistor is in series
in a CMOS circuit, the
leakage current has
strong dependence on
0V
2.3V
Q3. Explain how transistor staking can be used to reduce leakage power Q3. Explain how transistor staking can be used to reduce leakage power
dissipation. dissipation.
Answer to Questions of Lec Answer to Questions of Lec--29 29
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
strong dependence on
the number of turned off
transistor. This is known
as stacking effect. The
highest leakage current
is 99 times that of the
lowest leakage current
0V
0V
0V 14mV
34mV
89mV
State
(ABC)
Leakage
Current (nA)
Leaking
Transistors

000 0.095 Q1, Q2, Q3
001 0.195 Q1,Q2
010 0.195 Q1,Q3
011 1.874 Q1
100 0.184 Q2,Q3
101 1.220 Q2
110 1.140 Q3
111 9.410 Q4,Q5,Q6
Answer to Questions of Lec Answer to Questions of Lec--29 29
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
111 9.410 Q4,Q5,Q6

A suitable input combination is A suitable input combination is
used such that the reduction in used such that the reduction in
leakage current due to stacking leakage current due to stacking
effect is maximized. effect is maximized.
Answer to Questions of Lec Answer to Questions of Lec--29 29
Q4. Distinguish between standby and runtime leakage power. Why Q4. Distinguish between standby and runtime leakage power. Why
runtime leakage power is becoming important in the present day runtime leakage power is becoming important in the present day
context? context?
Ans Ans: Standby leakage power dissipation takes place when the : Standby leakage power dissipation takes place when the
circuit is not in use, i.e. inputs do not change and clock is not circuit is not in use, i.e. inputs do not change and clock is not
applied. On the other hand, runtime leakage power dissipation applied. On the other hand, runtime leakage power dissipation
takes place when the circuit is being used. takes place when the circuit is being used.
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
takes place when the circuit is being used. takes place when the circuit is being used.
164
Q5. Compare between VTCMOS and MTCMOS for leakage power Q5. Compare between VTCMOS and MTCMOS for leakage power
reduction. reduction.
Ans Ans: In case of VTCMOS, basic principle is to adjust threshold : In case of VTCMOS, basic principle is to adjust threshold
voltage by changing substrate bias. Transistors initially have voltage by changing substrate bias. Transistors initially have
low low VV
th th
during normal operation and substrate bias is altered during normal operation and substrate bias is altered
using substrate bias control circuit. The threshold is increased using substrate bias control circuit. The threshold is increased
by using reverse body bias when the circuit is not in use. by using reverse body bias when the circuit is not in use.
Effective in reducing leakage power dissipation in standby mode Effective in reducing leakage power dissipation in standby mode
and it involved additional area and higher circuit complexity. So, and it involved additional area and higher circuit complexity. So,
Answer to Questions of Lec Answer to Questions of Lec--29 29
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
and it involved additional area and higher circuit complexity. So, and it involved additional area and higher circuit complexity. So,
it is a post it is a post--silicon approach. silicon approach.
On the other hand, in case of MTCMOS approach MOS On the other hand, in case of MTCMOS approach MOS
transistors of multiple threshold voltages are fabricated in which transistors of multiple threshold voltages are fabricated in which
a power gating transistor is inserted in the stack between the a power gating transistor is inserted in the stack between the
logic transistors and either power or ground, thus creating a logic transistors and either power or ground, thus creating a
virtual supply rail or a virtual ground rail, respectively. The logic virtual supply rail or a virtual ground rail, respectively. The logic
block contains all low block contains all low--Vth Vth transistors for fastest switching transistors for fastest switching
speeds while the switch transistors, header and footer, are built speeds while the switch transistors, header and footer, are built
using high using high--Vth Vth transistors to minimize the leakage power transistors to minimize the leakage power
dissipation. So, it is a pre dissipation. So, it is a pre--silicon approach. silicon approach.
165
Review Questions Review Questions of Lec of Lec--30 30
Q1. Explain how standby power is reduced in MTCMOS technique. Q1. Explain how standby power is reduced in MTCMOS technique.
Discuss its advantage and disadvantages. Discuss its advantage and disadvantages.
Q2. Explain how multiple threshold voltage transistors can be used to Q2. Explain how multiple threshold voltage transistors can be used to
realize low voltage high performance circuits requiring low power realize low voltage high performance circuits requiring low power
((ii) only in the standby mode, (ii) both in standby and active mode. ) only in the standby mode, (ii) both in standby and active mode.
Q3. Compare the advantage and disadvantages of fine Q3. Compare the advantage and disadvantages of fine--grained and grained and
coarse coarse--grained power gating approaches. grained power gating approaches.
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
coarse coarse--grained power gating approaches. grained power gating approaches.
Q4. Distinguish between local and global power gating and compare Q4. Distinguish between local and global power gating and compare
their advantage and disadvantages. their advantage and disadvantages.
Q5. Why is it necessary to isolate a signal as it goes from one voltage Q5. Why is it necessary to isolate a signal as it goes from one voltage
domain to another voltage domain? Explain how is it domain to another voltage domain? Explain how is it
implemented? implemented?
Q6. Explain different approaches of state retention. Q6. Explain different approaches of state retention.
166
Answer to Questions of Lec Answer to Questions of Lec--30 30
Q1. Compare and contrast clock gating versus power gating Q1. Compare and contrast clock gating versus power gating
approaches. approaches.
Ans Ans: Clock gating minimizes dynamic power by stopping : Clock gating minimizes dynamic power by stopping
unnecessary transitions, but power gating minimizes leakage power unnecessary transitions, but power gating minimizes leakage power
by inserting a high by inserting a high--Vt Vt transistor in series with the low transistor in series with the low--vt vt logic blocks. logic blocks.
Q2. Compare the advantage and disadvantages of fine Q2. Compare the advantage and disadvantages of fine--grained and grained and
coarse coarse- -grained power gating approaches. grained power gating approaches.
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Ans Ans: In case of fine : In case of fine--grained approach the switch is placed locally grained approach the switch is placed locally
inside each standard cell and the switch must be designed to supply inside each standard cell and the switch must be designed to supply
worst case current so that it does not impact performance. In this worst case current so that it does not impact performance. In this
approach, the area overhead of each cell is significant (2X approach, the area overhead of each cell is significant (2X--4X). On the 4X). On the
other hand, in case of coarse other hand, in case of coarse--grained approach, a block of gates has grained approach, a block of gates has
its power switched by a collection of switched cells. In this case the its power switched by a collection of switched cells. In this case the
sizing is very difficult, but it has significantly less area overhead than sizing is very difficult, but it has significantly less area overhead than
that of fine grain approach. It is a preferred approach because of that of fine grain approach. It is a preferred approach because of
lesser area overhead. lesser area overhead.
167
Answer to Questions of Lec Answer to Questions of Lec--30 30
Q3. Distinguish between local and global power gating and Q3. Distinguish between local and global power gating and
compare their advantage and disadvantages. compare their advantage and disadvantages.
Ans Ans: Global power gating refers to a logical topology in which : Global power gating refers to a logical topology in which
multiple switches are connected to one or more blocks of logic, and multiple switches are connected to one or more blocks of logic, and
a single virtual ground is shared in common among all the power a single virtual ground is shared in common among all the power
gated logic blocks. This topology is effective for large blocks gated logic blocks. This topology is effective for large blocks
(coarse (coarse--grained) in which all the logic is power gated, but is less grained) in which all the logic is power gated, but is less
effective for physical design reasons, when the logic blocks are effective for physical design reasons, when the logic blocks are
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
effective for physical design reasons, when the logic blocks are effective for physical design reasons, when the logic blocks are
small. It does not apply when there are many different power gated small. It does not apply when there are many different power gated
blocks, each controlled by different sleep enable signals. blocks, each controlled by different sleep enable signals.
On the other hand, local power gating refers to a logical On the other hand, local power gating refers to a logical
topology in which each switch singularly gates its own virtual topology in which each switch singularly gates its own virtual
ground connected to its own group of logic. This arrangement ground connected to its own group of logic. This arrangement
results in multiple segmented virtual grounds for a single sleep results in multiple segmented virtual grounds for a single sleep
domain. domain.
168
Answer to Questions of Lec Answer to Questions of Lec--30 30
Q4. Why is it necessary to isolate a signal as it goes from one Q4. Why is it necessary to isolate a signal as it goes from one
voltage domain to another voltage domain? Explain how is it voltage domain to another voltage domain? Explain how is it
implemented? implemented?
Ans Ans: There is no guarantee that the power gated blocks will fully : There is no guarantee that the power gated blocks will fully
charge or discharge. As a consequence, the outputs of powered charge or discharge. As a consequence, the outputs of powered
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
charge or discharge. As a consequence, the outputs of powered charge or discharge. As a consequence, the outputs of powered
down blocks may result in crowbar currents in the powered up down blocks may result in crowbar currents in the powered up
blocks. To overcome this problem, it is necessary to isolate a blocks. To overcome this problem, it is necessary to isolate a
power gated block from a non power gated block. power gated block from a non power gated block.
169
Answer to Questions of Lec Answer to Questions of Lec--30 30
Q5. Explain different approaches of state retention. Q5. Explain different approaches of state retention.
Ans Ans: Given a power switching fabric and an isolation strategy, it is : Given a power switching fabric and an isolation strategy, it is
possible to power gate a block of logic, but unless a retention possible to power gate a block of logic, but unless a retention
strategy is employed, all state information is lost when the block strategy is employed, all state information is lost when the block
is powered down. To resume its operation on power up, the is powered down. To resume its operation on power up, the
block must either have its state restored from an external source block must either have its state restored from an external source
or build up its state from the reset condition. In either case, the or build up its state from the reset condition. In either case, the
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
or build up its state from the reset condition. In either case, the or build up its state from the reset condition. In either case, the
time and power required can be significant. The following three time and power required can be significant. The following three
approached can be used approached can be used
A software approach based on reading and writing registers A software approach based on reading and writing registers
A scan A scan--based approach based on the re based approach based on the re--use of scan chains use of scan chains
to store state off chip to store state off chip
A register A register--based approach that uses retention registers based approach that uses retention registers
170
Review Questions of Lec Review Questions of Lec--31 31
Q1. How supply voltage scaling leads to run time leakage power Q1. How supply voltage scaling leads to run time leakage power
reduction? reduction?
Q2. How can you combine power gating with dynamic voltage Q2. How can you combine power gating with dynamic voltage
scaling to reduce power dissipation? scaling to reduce power dissipation?
Q3. Explain the basic concept of dual Q3. Explain the basic concept of dual Vt Vt assignment for reduction assignment for reduction
of leakage power. of leakage power.
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Q4. Distinguish between delay Q4. Distinguish between delay--constrained and energy constrained and energy--constrained constrained
dual dual Vt Vt assignment approaches. assignment approaches.
Q5. Explain how the threshold voltage can be dynamically adjusted Q5. Explain how the threshold voltage can be dynamically adjusted
to reduce leakage power dissipation. to reduce leakage power dissipation.
171
Answer to Questions of Lec Answer to Questions of Lec--31 31
Q1. How supply voltage scaling leads to run time leakage power
reduction.
Ans: Supply voltage reduction not only leads to the reduction of
dynamic power, it also leads to the reduction of leakage power.
The subthreshold leakage due to GIDL and DIBL decreases as
supply voltage is scaled down. It has also been demonstrated
that the supply voltage scaling impacts in the orders of V
3
and V
4
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
that the supply voltage scaling impacts in the orders of V
3
and V
4
on subthreshold leakage and gate leakage, respectively.
172
Ans: As the supply voltage along the
frequency is lowered using the DVFS,
the supply voltage hits the lower limit
and the curve flattens out and the
supply voltage cannot be further
Answer to Questions of Lec Answer to Questions of Lec--31 31
1 . 0
0 . 75
o
w
e
r
(
W
)
Traditional power
management
Q2. How can you combine power gating with dynamic voltage
scaling to reduce power dissipation?
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
lowered. At this point it is more efficient
to switch over to traditional power
management, i.e. the supply voltage is
turned on and off depending on the
performance requirement. This is how
one can combine the DVFS and
traditional power management approach
as shown in the diagram.
173
0 . 5
0
Normal
Activity level
0 . 2 5
1 . 0 0 . 75 0 . 5
0 . 2 5
0
P
o
DVFS
DVFS with traditional
power managent
Answer to Questions of Lec Answer to Questions of Lec--31 31
Q3. Explain the basic concept of dual Vt assignment for the
reduction of leakage power.
Ans: This is based on the observation that all gates are not on the
critical path when the circuit is represented with the help of a
directed acyclic graph (DAG). So, gates on the critical path can
be realized using Low-V
th
transistors for high performance and
the gates on the noncritical path are realized using high-V
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
the gates on the noncritical path are realized using high-V
th
transistors to reduce leakage power. This is the basic concept of
dualVt assignment.
174
Q4. Distinguish between delay-constrained and energy-constrained
dual Vt assignment approaches.
Ans: In delay-constrained approach, no compromise is made on
performance. So, dual-Vt assignment is done such that there is
no performance degradation. On the other hand, in energy
constrained approach some compromise in performance is
made, say 10% to 15%, to achieve larger reduction in the leakage
Answer to Questions of Lec Answer to Questions of Lec--31 31
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
made, say 10% to 15%, to achieve larger reduction in the leakage
power.
175
Q5. Explain how the threshold voltage can be dynamically adjusted Q5. Explain how the threshold voltage can be dynamically adjusted
to reduce leakage power dissipation. to reduce leakage power dissipation.
Ans: Just like dynamic the Vdd scaling scheme, a dynamic Vth
scheme (DVTS) can be used to reduce runtime leakage power in
sub-100-nm generations, where leakage power is significant
portion of the total power at runtime. When the workload is less
than the maximum, the processor is operated at lower clock
frequency. Instead of reducing the supply voltage, the DVTS
Answer to Questions of Lec Answer to Questions of Lec--31 31
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
frequency. Instead of reducing the supply voltage, the DVTS
hardware raises the threshold voltage using reverse body
biasing to reduce runtime leakage power. Just enough
throughput is delivered for the current workload by dynamically
adjusting the Vth in an optimal manner to maximize leakage
power reduction.
A simpler scheme is called Vth-hopping which dynamically
switches between only two threshold voltages; Low-Vt and High-
Vt as the frequency controller generates either FCLK or FCLK/2,
respectively
176
Review Questions of Lec Review Questions of Lec--32 32
Q1. Distinguish between conventional charging (used in static CMOS
circuits) and adiabatic charging of a load capacitance.
Q2. Explain how dynamic power dissipation is minimized using
adiabatic switching?
Q3. Prove that the charging of a capacitor C in n steps to a voltage
Vdd instead of a conventional single-step charging reduces the
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Vdd instead of a conventional single-step charging reduces the
power dissipation by a factor of n.
Q4. Realize a 2-input OR/NOR gate using positive feedback adiabatic
logic (PFAL) circuit. Explain its operation.
177
Answer to Questions of Lec Answer to Questions of Lec--32 32
Q1. Distinguish between conventional charging (used in static CMOS
circuits) and adiabatic charging of a load capacitance.
Ans: Switching power dissipation in static CMOS circuit with
capacitive load C
L
has a lower limit of C
L
V
dd
2
/2. On the other hand,
charge moves efficiently from power supply to the load
capacitance by using slow, constant-current charging. Reversing
the current source will cause the energy to flow from the load
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
the current source will cause the energy to flow from the load
capacitance back into the power supply. The power supply must
be so designed to retrieve the energy fed back to it. Adiabatic-
switching circuits require non-constant, non-standard power
supply with time-varying voltage. This supply is called Pulsed-
power supplies.
178
Q2. Explain how dynamic power dissipation is minimized using
adiabatic switching?
Ans: In adiabatic switching, a time-dependent current source, I(t) is
used to charge the capacitance C through a resistor R. For
T>2RC, the dissipated energy is smaller than the conventional
lower limit C
L
V
dd
2
/2. The dissipation can be made arbitrarily
small by extending the charging time T. As the dissipated energy
Answer to Questions of Lec Answer to Questions of Lec--32 32
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
small by extending the charging time T. As the dissipated energy
is proportional to R, a smaller R results in a lower dissipation
unlike conventional case.
179
Q3. Prove that the charging of a capacitor C in n steps to a voltage
Vdd instead of a conventional single-step charging reduces the
power dissipation by a factor of n.
Ans: Energy dissipation for single step charging E = C
L
V
2
/ 2.
Energy dissipation in each of n step charging is equal to Estep = C
L
V
2
/ 2n
2
.The total energy dissipation in N step charging equal to N.Estep = N. (C
L
V
2
/
Answer to Questions of Lec Answer to Questions of Lec--32 32
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
180
2n
2
)= C
L
V
2
/ 2n. Therefore, Estep / E = 1/n. Therefore, the power dissipation
reduces by a factor of n.
Q4. Realize a 2-input OR/NOR gate using positive feedback
adiabatic logic (PFAL) circuit. Explain its operation.
Ans: Perform the following steps:
1. Replace each of the PMOS and NMOS devices in the pull-up
and pull-down networks with T-gates.
2. Use expanded pull-up network to drive the true output. Use
Answer to Questions of Lec Answer to Questions of Lec--32 32
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
181
expanded pull-down network to drive the complementary
output.
3. Both networks in the transformed circuit are used to charge
and discharge the load capacitance.
4. Replace DC Vdd by a pulsed power supply with varying voltage
to allow adiabatic operation.
The realization is shown in the next slide
VV
AA
XX
NOR NOR
YY
X X
X
OR OR
Answer to Questions of Lec Answer to Questions of Lec--32 32
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
NOR NOR
X X
YY
YY
OR OR
X
YY
Review Questions of Lec Review Questions of Lec--33 33
Q1. Write a short note on Battery-driven system design.
Q2. Explain rate capacity effect for rechargeable batteries.
Q3 Explain recovery effect for rechargeable batteries.
Q3. What is the non-increasing profile effect of a battery?
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Q4. Explain the basic steps of battery aware task scheduling. How
does it improves the lifetime of a Battery?
Q5. Why is the reverse body biasing important to extend the battery
life in the present day context?
183
Answer to Questions of Lec Answer to Questions of Lec--33 33
Q1. Write a short note on Battery-driven system design.
Ans: In recent years there large proliferation of portable computing
and communication equipment, such as laptops, palmtops, cell-
phones, etc. and the growth rate of these portable equipment is very
high. The complexity of these devices is also increasing with time,
leading to larger energy consumption. As these devices are battery
operated, battery life is of primary concern. Unfortunately, the battery
technology has not kept up with the energy requirement of the
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
technology has not kept up with the energy requirement of the
portable equipment. Moreover, the commercial success of these
products depend on weight, cost and battery life. Low power design
methodology is very important to make these battery-operated
devices commercially viable.
184
Q2. Explain rate capacity effect for rechargeable batteries.
Ans: Dependency between the actual capacity and the magnitude of
the discharge current depends on the availability of active region.
When discharge rate is high, surface of the cathode gets coated with
insoluble compound. This prevents access to many active areas and
consequent reduction of actual capacity of the battery. As a result, a
higher rate of discharge leads to a lower available capacity. This is
Answer to Questions of Lec Answer to Questions of Lec--33 33
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
higher rate of discharge leads to a lower available capacity. This is
known as Rate Capacity effect.
Q3 Explain recovery effect for rechargeable batteries.
Ans: Availability of charge carriers D\depends of the concentration of
positively charged ions near the cathode. When heavy current is
drawn, rate at which positively charged ions consumed at the
cathode is more than supplied. This improves as the battery is kept
idle for some duration. As a consequence, the battery voltage
recovers in idle periods.
185
Q3. What is the non-increasing profile effect of a battery?
Ans: It has been experimentally verified that if the tasks consuming
higher power are scheduled first followed by tasks with decreasing
power consumption, then energy available in the battery is larger
compared to other schedules. This is known as non-increasing
profile effect.
Answer to Questions of Lec Answer to Questions of Lec--33 33
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
profile effect.
186
Q4. Explain the basic steps of battery aware task scheduling. How
does it improves the lifetime of a Battery?
Ans: There are three steps. In the first step, an early deadline first
(EDF) based schedule is made, provided the task dependencies are
not violated. In the second step, the task schedule is modified by
scheduling the tasks in the non increasing order of the current
loads provided the deadlines and the task dependencies are not
Answer to Questions of Lec Answer to Questions of Lec--33 33
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
loads provided the deadlines and the task dependencies are not
violated. In the third step, starting from the last task, the slack
obtained at the end of the task is utilized to get the optimal pair of
supply voltage and the body bias voltage. This procedure is
followed until either there is no more slack, or further scaling down
not possible.
187
Q5. Why is the reverse body biasing important to extend the battery
life in the present day context?
Ans: With the advancement of technology, as the process
technology further gets lower, the energy due to static power
becomes more significant, and the algorithm using RBB to reduce
the leakage current provides larger saving in power dissipation.
Answer to Questions of Lec Answer to Questions of Lec--33 33
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
188
Review Questions of Lec Review Questions of Lec--34 34
Q1. What is the limitation of contemporary CAD tools?
Q2. Give a summary of the benefits and impacts of the different low
power techniques.
Q3. What is provided by UPF?
Q4. What are the key features of Eclypse, the low-power CAD tool
of Synopsis?
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
189
Answer to Questions of Lec Answer to Questions of Lec--34 34
Q1. What is the limitation of contemporary CAD tools? Q1. What is the limitation of contemporary CAD tools?
Ans Ans: In RTL coding there is no provision to use Multi : In RTL coding there is no provision to use Multi--Vt Vt , Multi , Multi--Vdd Vdd, ,
Body biasing and power gating in RTL synthesis. So, the static Body biasing and power gating in RTL synthesis. So, the static
power reduction techniques cannot be used. As supply voltage power reduction techniques cannot be used. As supply voltage
and the operating frequency are also not handled at the RTL and the operating frequency are also not handled at the RTL
level, the dynamic power can be reduced primarily by reducing level, the dynamic power can be reduced primarily by reducing
the switching activity the switching activity . Commonly used techniques in RTL . Commonly used techniques in RTL
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
the switching activity the switching activity . Commonly used techniques in RTL . Commonly used techniques in RTL
synthesis to reduce synthesis to reduce are: are:
Bus encoding Bus encoding
Clock gating Clock gating
FSM state assignment FSM state assignment
190
Q2. Give a summary of the benefits and impacts of the different low power
techniques.
Technique Dynamic
Power
Static
Power
Design
Impact
Verification
Impact
Implementation
Impact
Ans: The benefits and impacts of different low power techniques is
summarized in the following table. These techniques can significantly reduce
power consumption in deep submicron chips. However, these techniques
traditionally require ad-hoc, time-consuming, risk-prone, and manual
verification and implementation approaches, unless automated using CAD
tools.
Answer to Questions of Lec Answer to Questions of Lec--34 34
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Power
Benefit
Power
Benefit
Impact Impact Impact
Clock
Gating
Large Small Small Small Small
Multi-Vdd Large Small Little Low Medium
DVFS Large Small Medium Large Medium
Multi-Vt Small Large Medium Small Medium
Power
Gating
Small Very
Large
Medium Large Medium
Q3. What is provided by UPF? Q3. What is provided by UPF?
Ans Ans: : UPF provides the ability for electronic systems to be designed
with power as a key consideration early in the process. It
accomplishes this through the ability to allow the specification
of implementation-relevant power information early in the design
process RTL (register transfer level) or earlier. UPF provides a
consistent format to specify power-aware design information
Answer to Questions of Lec Answer to Questions of Lec--34 34
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
consistent format to specify power-aware design information
that cannot be specified in HDL code or when it is undesirable to
directly specify within the HDL logic, as doing so would tie the
logic specification directly to a constrained power
implementation.
192
Q4. What are the key features of Eclypse, the low-power CAD tool
of Synopsis?
Ans: Eclypse provides a comprehensive approach power-aware
tools at all levels of design hierarchy starting from early
architectural and system-level analysis to verification, RTL
synthesis, test, physical implementation and sign-off. This
supports the Accellera Unified Power format an open industry
Answer to Questions of Lec Answer to Questions of Lec--34 34
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
supports the Accellera Unified Power format an open industry
standard to specify power intent and it is backed by the popular
Low Power Methodology Manual (LPMM).
193
Review Questions of Lec Review Questions of Lec--35 35
Q1. How parameter variations impact on circuits and
microarchitecture of present day VLSI circuits?
Q2. Why current or future technologies result in two-sided
constraints?
Q3. What are the basic approaches for variation tolerant design?
Q4. Explain how you can achieve low power single-Vt circuits using
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
judicious use of sizing?
Q5. Explain how you can use adaptive body biasing to improve
yield.
194
Answer to Questions of Lec Answer to Questions of Lec--35 35
Q1. How parameter variations impact on yield of present day VLSI
circuits?
Ans: Fluctuations are attributed to the manufacturing process
(e.g., drifts in L
eff
, T
ox
, V
t
, or N
cheff
), which affect circuit yield. For
example, with in die variation in L
eff
can be as high as 50%. 30%
delay variation and 20X leakage variation between fast and slow
dies have been reported for 0.18 CMOS process. Low leakage
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
dies have been reported for 0.18 CMOS process. Low leakage
chips with too low frequency must be discarded and high
frequency chips with too high leakage must also be discarded.
This lwads to reduction in yield.
195
Q2. Why current or future technologies result in two-sided
constraints?
Ans: Lower channel length leads to smaller threshold voltage and
larger power dissipation and higher channel length leads to higher
threshold voltage and longer delay. This leads to two-sided
constraints for current and future technologies.
Q3. What are the basic approaches for variation tolerant design?
Answer to Questions of Lec Answer to Questions of Lec--35 35
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
Q3. What are the basic approaches for variation tolerant design?
Ans: Basic approaches are:
(a) To reduce the sources of variations, (b) Reduce the effects of
variation at the time of design and (c) Reduce effects of variation
after fabrication (post-silicon) such as reverse body biasing.
196
Q4. Explain how you can achieve low power single-Vt circuits using
judicious use of sizing?
Ans: This can be done in three steps:
Step-I: Upsize gates on the critical path to reduce delay of the entire
circuit.
Step II: Increase threshold voltage of the MOSFETs of the entire
Answer to Questions of Lec Answer to Questions of Lec--35 35
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
circuit to minimize leakage power
Step III: Downsize gates on the non critical path to reduce area and
dynamic power
197
Q5. Explain how you can use adaptive body biasing to improve
yield.
Ans: Starting with Vt lower than the target voltage, adaptive body
biasing can be used to match the mean Vt of all the die samples.
The die samples that require larger body bias to match its mean Vt
to the target Vt end with higher within-die variation.
Answer to Questions of Lec Answer to Questions of Lec--35 35
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
to the target Vt end with higher within-die variation.
198
Thanks! Thanks!
Ajit Pal, IIT Kharagpur Ajit Pal, IIT Kharagpur
199
Thanks! Thanks!

You might also like