You are on page 1of 1

library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.

all;

Zahlen
U unsigned
to_integer(U)

Vektoren

std_logic_vector(U)

to_unsigned(I,Ulength)

unsigned(V)

I integer

V std_logic_vector

to_signed(I,Slength)

signed(V)

to_integer(S)

std_logic_vector(S)

S signed

Konvertierung

CAST
Lothar Miller

You might also like