You are on page 1of 2

Delay Insensitive Logic with Increased Fault Tolerance and

Optimized for Subthreshold Operation


I. Santos and E. MacDonald
The University of Texas at El Paso
Email: emac@utep.edu, Tel: (915) 747-6959

Abstract—Biomedical and space applications require until a NULL state is presented to all inputs, i. e. the
both reduced power consumption - extending the life reset condition.
of the battery - as well as reliable operation in harsh
conditions particularly in the context of radiation or II. SUBTHRESHOLD OPERATION
noise sources. A common approach for reducing Operating in a subthreshold regime (Vdd < Vth) leads
power is to lower the supply voltage to the subthreshold to a dramatic reduction in the dynamic power at the
regime (Vdd < Vth). However, subthreshold operation expense of an increase in circuit delay, vulnerability to
also results in an increased vulnerability to radiation noise and increase in Process, Voltage, and
and noise as well as an exponential increase in delay Temperature delay variations, which can cause
variation of the circuits and associated clock trees, significant differences in the arrival time of the clock
which may lead to incorrect operation. Asynchronous signal to different sequential elements in synchronous
logic has shown a natural adaptation to subthreshold sytems [4]. This clock skew can result in hold time
operation due to the replacement of the clock by the violations, which in turn can result in incorrect
efficient implementation of handshaking signals and operation. Asynchronous logic eliminates this concern
communication protocols – eliminating the sensitivity by eliminating the clock. Finally, as transistors are
to delay variation as well as a further reduction of operating in the weak inversion mode in subthresold, the
power by eliminating the highly active clock. Delay drive currents provided are exponentially decreased and
Insensitive logic mitigates the performance reduction when unintentional charge is introduced into a node (e.g.
and delay variation sensitivity of subthreshold circuits radiation), the reduction in drive strength results in
but does not address the reduction in fault tolerance. difficulty in removing the charge in order to recover.
Consequently, a fault tolerant scheme applied to
current NULL Convention LogicTM (NCL) gates is III. FAULT TOLERANCE
proposed - providing tolerance to Single Event Upset Transitor level circuits which include feedback for
(SEU) provoked by radioactivity, while operating in the maintaining memory have sensitive nodes that are
subthreshold region. Although the values of critical susceptible to Single Event Upset (SEU). Corruption of
charge (Qcrit) decrease dramatically for traditional data in an element can be caused by radiation-induced
NCL cells from 189 fC @ 1.5 V to 26 fC @ 0.3 V, the charge or capacitive-coupled noise. Fault tolerant
proposed cells – simulated with MIT Lincoln Lab’s circuits require a robust arrangement of transistors that
150 nm XLP CMOS process - were virtually fault prevents corruption in the feedback nodes with a backup
tolerant (Qcrit > 1000 fC) for both supply voltages. slave structure [5-6]. These techniques are crucial for
I. DELAY INSENSITIVE LOGIC asynchronous circuits to achieve a robust operation of
Asynchronous circuits with timing assumptions are dual-rail encoding and handshaking protocols during
called Quasi-Delay Insensitive [1]. Alternatively, a periods of irradiation as an upset in the acknowledgment
Delay Insensitive circuits eliminates the need for any signal can result in a deadlock.
timing assumptions and the logic relies on the effective
execution of dual-rail or quad-rail signals and registers – IV. PROPOSED FAULT TOLERANT SCHEME
all of which implement a handshaking protocol. One of For demonstration purposes, a 2-of-3 threshold gate
the most reliable approches for Delay Insensitive (TH23) is used to illustrate the concept, which has a
systems is the NULL Convention LogicTM (NCL) [2]. holding-state configuration preserving the ouput when
This convention represents a bit with two signals (e.g., the inputs are invalid and a reset arrangement as shown
dd.0, d.1) that characterize one of four possible logic in Fig. 1. The proposed fault tolerant design is based in
states (e.g., d.0=0, d.1=0  NULL state; d.0=1, d.1=0 dual redundancy with a reduction in transistor count and
 FALSE state; d.0=0, d.1=1  TRUE state; d.0=1, an interwoven configuration as shown in Fig. 2. The
d.1=1  illegal state). Furtheremore, NCL logic gates upper part of this schematic comprises the original
have a state-holding property that allows the arrangement of the TH23 optimized to operate in
preservation of the output with TRUE or FALSE data subthreshold region [3]. The bottom section of the
circuit provides the interlocking redundancy to provide

978-1-4799-1361-9/13/$31.00 ©2013 IEEE


Figure 1. Proposed fault tolerant TH23 gate for subthreshold operation.
Figure 1. Traditional TH23 logic gate.

radiation hardness. The inverter of the redundant circuit TABLE I. Simulation results for traditional and proposed TH23
gate.
with output ZR feeds the PFETs of the original circuit
and the NFETs of the redundant circuit. Alternatively, Voltage Qcrit Average Energy Area
the inverter of the original circuit with output Z feeds the TH23
(V) (fC) Delay (ns) (fJ) (um )
PFETs of the redundant circuit and the NFETs of the
Traditional 1.5 189 0.293 763.5 19.8
original circuit. Finally the inverters of both
Proposed 1.5 14535 0.151 1355 50.4
arrangaments are fed by interlaced original and
Traditional 0.3 27 72.7 10.68 27
redundant signals. The interwoven control ensures that
Proposed 0.3 1292 77.2 17.28 50.4
if any one of the data nodes is injected with charge, the
remaining data nodes will provide a data recovery.
order of magnitude is gained in the charge required to
corrupt the cell.
V. SIMULATIONS AND RESULTS
Comprehensive simulations were performed using REFERENCES
models from the 0.15 micron XLP process of Lincoln
[1] Martin, A.J.; Nystrom, M., "Asynchronous Techniques for
Labs. This process is specifically optimized to perform System-on-Chip Design," Proceedings of the IEEE , vol. 94, no. 6,
at 300 mV in subthreshold and can operate under normal pp. 1089-1120, 2006
superthreshold levels of 1.5 V. Two circuits were
[2] Fant, K.M.; Brandt, S.A., "NULL Convention Logic TM: A
simulated: the traditional non-radhard TH23 circuit that Complete and Consistent Logic for Asynchronous Digital Circuit
was not optimized for subthreshold, and the proposed Synthesis," Application Specific Systems, Architectures and
circuit – which includes redundancy. Each circuit was Processors, 1996. ASAP 96. Proceedings of International
simulated at the sub and super threshold supply points. Conference on, pp. 261-273, Aug. 1996
Performance was measured as propogation delay and [3] Coleman, D.; Di J.;, ―Analysis and Improvement of Delay-
energy was measured for a single operation. Radiation Insensitive Asynchronous Circuits Operating in Subthreshold
hardness was captured as Qcrit — measured as the Regime,‖ American Scientific Publishers. Journal of Low Power
Electronics. Vol. 6, No. 2, 2010.
charge required to flip the output and was simulated by
inserting a current source between the (victim) internal [4] Soeleman, H.; Roy, K.; , "Ultra-low Power Digital Subthreshold
Logic Circuits," Low Power Electronics and Design, 1999.
node. Proceedings. 1999 International Symposium on. pp.94-96, 1999.
Based on these simulations, performance, power
consumption and radiation hardness were measured and [5] Calin, T.; Nicolaidis, M.; Velazco, R., "Upset Hardened Memory
Design for Submicron CMOS Technology," Nuclear Science,
are shown in Table 1. Given that the proposed circuit IEEE Transactions on, vol. 43, no. 6, pp. 2874-2878, Dec. 1996
includes redundancy, the expectation was that the area
would clearly increase but that also the energy and [6] Chavan, A.; Palakurthi, P.; MacDonald, E.; Neff, J.; Bozeman, E.,
―Heavy Ion Characterization of a Radiation Hardened Flip-Flop
performance would suffer. Although, the proposed Optimized for Subthreshold Operation,‖ Journal of Low Power
circuit is 250% larger than the unprotected circuit, an Electronics and Applications, vol 2, pp. 1-10, 2012

You might also like