Professional Documents
Culture Documents
****************************************
Report : area
Design : newmodfinal
Version: O-2018.06-SP4
Date : Mon Jan 28 11:21:46 2019
Library(s) Used:
tsl18fs120_scl_ff (File:
/home/synopsys/pdk/scl_pdk/stdlib/fs120/liberty/lib_flow_ff/tsl18fs120
_scl_ff.db)
****************************************
Report : timing
-path full
-delay max
-max_paths 1
Design : newmodfinal
Version: O-2018.06-SP4
Date : Mon Jan 28 11:21:46 2019
****************************************
Startpoint: a3/clk1_reg
(rising edge-triggered flip-flop clocked by clk)
Endpoint: y (output port clocked by clk)
Path Group: clk
Path Type: max
POWER REPORT:
****************************************
Report : power
-analysis_effort low
Design : newmodfinal
Version: O-2018.06-SP4
Date : Mon Jan 28 11:21:46 2019
****************************************
Library(s) Used:
tsl18fs120_scl_ff (File:
/home/synopsys/pdk/scl_pdk/stdlib/fs120/liberty/lib_flow_ff/tsl18fs120
_scl_ff.db)
CONSTRAINT FILE :
set sdc_version 2.0
create_clock -period 520.8 [get_ports clk]
set_clock_latency -source -max 0.7 [get_clocks clk]
set_clock_latency -max 0.3 [get_clocks clk]
set_clock_uncertainty -setup 0.15 [get_clocks clk]
set_clock_transition 0.12 [get_clocks clk]
tcb018gbwp7ttc (File:
/home/synopsys/TSMCHOME/digital/Front_End/timing_power_noise/NLDM/tcb0
18gbwp7t_270a/tcb018gbwp7ttc.db)
CONSTRAINT REPORT:
****************************************
Report : constraint
Design : newmodfinal
Version: O-2018.06-SP4
Date : Tue Feb 26 13:17:05 2019
****************************************
Weighted
Group (max_delay/setup) Cost Weight Cost
-----------------------------------------------------
clk 0.00 1.00 0.00
default 0.00 1.00 0.00
-----------------------------------------------------
max_delay/setup 0.00
Weighted
Group (min_delay/hold) Cost Weight Cost
-----------------------------------------------------
clk (no fix_hold) 0.00 1.00 0.00
default 0.00 1.00 0.00
-----------------------------------------------------
min_delay/hold 0.00
Constraint Slack
----------------------------------------------------
max_leakage_power -128.71 (VIOLATED)
Constraint Cost
-----------------------------------------------------
max_transition 0.00 (MET)
max_capacitance 0.00 (MET)
max_delay/setup 0.00 (MET)
sequential_clock_pulse_width 0.00 (MET)
critical_range 0.00 (MET)
max_leakage_power 128.71 (VIOLATED)
TIMING REPORT
****************************************
Report : timing
-path full
-delay max
-max_paths 1
Design : newmodfinal
Version: O-2018.06-SP4
Date : Tue Feb 26 13:17:05 2019
****************************************
Startpoint: a3/clk1_reg
(rising edge-triggered flip-flop clocked by clk)
Endpoint: y (output port clocked by clk)
Path Group: clk
Path Type: max
POWER REPORT
****************************************
Report : power
-analysis_effort low
Design : newmodfinal
Version: O-2018.06-SP4
Date : Tue Feb 26 13:17:05 2019
****************************************
Library(s) Used:
tcb018gbwp7ttc (File:
/home/synopsys/TSMCHOME/digital/Front_End/timing_power_noise/NLDM/tcb0
18gbwp7t_270a/tcb018gbwp7ttc.db)