You are on page 1of 5

TRƯỜNG ĐẠI HỌC TÔN ĐỨC THẮNG BÀI TẬP MÔN HỆ THỐNG VLSI

KHOA ĐIỆN – ĐIỆN TỬ NGÀNH ĐIỆN TỬ - VIỄN THÔNG

Câu 1: Sơ đồ khối bộ cộng số BCD (Binary coded Decimal). Viết mã VHDL & mô phỏng.

Câu 2: Viết mã VHDL và mô phỏng cho mạch so sánh.

Câu 3: Viết mã VHDL và mô phỏng cho bộ đếm 2 số BCD


Câu 4: Viết mã VHDL và mô phỏng cho bộ ghép kênh 16 <-> 1

Câu 5: Thiết kế, mô tả VHDL và mô phỏng mạch điều khiển đèn giao thông cho 2 tuyến đường A và B và
có thể điều chỉnh thời gian cho các tín hiệu xanh và đỏ, thời gian của đèn vàng luôn là 5s. mặc định (sau khi
reset): xanh 25s, vàng 5s, đỏ 30s.

Câu 6: Thiết kế, mô tả VHDL và mô phỏng mạch thực hiện mã hoá Manchester, Biphase code.

Câu 7: Thiết kế, mô tả bằng mã VHDL, mô phỏng bộ đồng hồ bấm giờ (có thể lưu giữ 10 kết quả thời gian,
hiển thị bằng LED 7 đoạn).

Câu 8: Thiết kế, mô tả bằng mã VHDL, mô phỏng đồng hồ (hiển thị bằng LED 7 đoạn có hiển thị cả thứ
trong tuần).

Câu 9: Thiết kế, mô tả bằng mã VHDL, mô phỏng hệ thống điều khiển thang máy 3 tầng.

Câu 10: Thiết kế, mô tả bằng mã VHDL, mô phỏng bộ đếm tần số.

Câu 11: Thiết kế mạch nhân 2 số nhị phân 2 bit A (A1A0) và B (B1B0) với kết quả là số nhị phân 4 bit
P(P3P2P1P0):
a) Tìm biểu diễn mỗi ngõ ra theo các ngõ vào bằng các cổng logic và mạch cộng bán phần
HA nếu cần.
b) Viết mô tả VHDL và mô phỏng cho mạch này (giả sử có sẵn component HA).

Câu 12: Cài đặt hàm Boole sau: F(A,B,C,D) = A’BC + AD + AC Với:
a) Lệnh gán tín hiệu thông thường (dùng các toán tử)
b) with–select–when
c) when–else
d) if–then–else
e) case–when
Chú ý: Cho phép dùng chung khai báo entity.

Câu 13: Thiết kế, mô tả và mô phỏng mạch thực hiện điều chế BPSK.

Câu 14: Thiết kế, mô tả và mô phỏng mạch thực hiện điều chế QPSK.

Câu 15: Thiết kế, mô tả và mô phỏng mạch thực hiện điều chế FSK.

Câu 16: Cho trước mạch đếm 4 bit sau:

a) Hãy tìm chuỗi đếm của mạch đếm này.


b) Viết mã VHDL cho mạch trên.
c) Dùng b. để thiết kế mạch đếm 16 bit có chức năng tương tự như mạch đếm 4 bit.
d) Có thể viết tổng quát thành mạch đếm N bit theo chức năng tương tự của a? Nếu được hãy viết mã VHDL
cho nó.

Câu 17: Thiết kế, viết mã VHDL và mô phỏng mạch nhân song song 2 số 2 bit bằng VHDL. Từ đó xây
dựng mạch nhân song song 2 số 4 bit.
Câu 18: Thiết kế bộ đếm Johnson 4 bit:
a) Viết mã VHDL cho JK flifpflop kích cạnh lên.
b) Dùng a. để thiết kế mạch đếm Johnson 4 bit.
c) Viết trực tiếp không sử dụng a)

Câu 19: Thiết kế, mô tả VHDL và mô phỏng mạch lọc số FIR bậc 4 theo sơ đồ khối:

Câu 20: Thiết kế, mô tả VHDL và mô phỏng mạch nhân song song theo yêu cầu sau:

Câu 21: Thieát keá , viết mã VHDL và mô phỏng maïch ñieàu khieån 8 led saùng daàn, taét daàn töø traùi sang phaûi
vaø töø phaûi sang traùi theo xung clock.

Caâu 22: Thieát keá , viết mã VHDL và mô phỏng maïch ñeám BCD hieån thò treân led 7 ñoaïn.

Caâu 23: Thieát keá, viết mã VHDL và mô phỏng maïch ñeám BCD töø 00 ñeán 59 hieån thò treân 2 led 7 ñoaïn
keát noái theo phöông phaùp queùt. Söû duïng nguoàn xung clock vaø laøm xung taêng giaù trò ñeám.
Caâu 24: Thieát keá, viết mã VHDl và mô phỏng maïch ñeám bcd töø 000 ñeán 999 hieån thò treân 3 led 7 ñoaïn
keát noái theo phöông phaùp bình thöôøng. Söû duïng nguoàn xung clock tuyø yù.

Caâu 25: Thieát keá boä ALU theo chöùc naêng sau: Viết mã VHDL và mô phỏng.

Caâu 26: Thieát keá,vieát maõ VHDL vaø moâ phoûng maïch giaûi maõ led 7 ñoaïn loaïi gioáng nhö IC 74247.

Caâu 27: Thieát keá, vieát maõ VHDL vaø moâ phoûng maïch giaûi maõ led 7 ñoaïn loaïi gioáng nhö IC 4511.

Caâu 28: Thieát keá, vieát maõ VHDL vaø moâ phoûng maïch giaûi ña hôïp (Demultiplex) gioáng nhö IC 74151.

Caâu 29: Thieát keá , vieát maõ VHDL vaø moâ phoûng thanh ghi dòch gioáng nhö IC 74164.

Caâu 30: Thieát keá , vieát maõ VHDL vaø moâ phoûng maïch coù chöùc naêng gioáng nhö IC 4017 nhöng goàm coù 20
ngoõ ra.

Caâu 31: Thieát keá maïch goàm coù 3 led 7 ñoaïn, baøn phím goàm 10 phím soá töø “0” ñeán “9”. Ban ñaàu thì 3
led hieån thò soá “000”, khi nhaán phím naøo thì phím ñoù ñöôïc dòch vaøo töø beân phaûi. (gioáng nhö maùy tính
calculator).

Caâu 32: Thieát keá maïch ñònh thôøi: goàm coù 2 led 7 ñoaïn ñeå hieån thò soá giaây töø 00 ñeán 99, baøn phím goàm
10 phím soá töø “0” ñeán “0” vaø phím chöùc naêng nhö “clear”, “enter”, “test”, moät ngoõ ra ñieàu khieån relay.
Ban ñaàu thì 2 led hieån thò soá “00”, khi nhaán phím naøo thì phím ñoù ñöôïc dòch vaøo töø beân phaûi. Sau khi
nhaán xong thì nhaán “enter” quaù trình ñeám xuoáng seõ baét ñaàu cho ñeán khi giaù trò ñeám baèng 00 thì relay seõ
taùc ñoäng. Heä thoáng ngöøng.

Caâu 33: Moâ taû maïch ñeám nhò phaân 4 bit coù theå ñeám vôùi 4 caáp taàn soá f, f/2, f/4, f/8 caùc caáp taàn soá coù theå
choïn baèng 2 switch S0 vaø S1, cho taàn soá cung caáp cho maïch laø f.

Caâu 34: Moâ taû maïch coù theå taïo ra daïng soùng vôùi yeâu caàu nhö sau: Maïch taïo ra 5 xung coù taàn soá 1Hz roài
ngöøng 5 xung coù taàn soá 1 hz, cho xung vaøo coù taàn soá 10hz. Coù 1 ngoõ vaøo reset

Caâu 35: Moâ taû maïch coù 3 nuùt nhaán A, B vaø C: khi nhaán nuùt A thì maïch taïo ra 5 xung roài ngöøng, khi nhaán
nuùt B thì maïch taïo ra 10 xung roài ngöøng, khi nhaán nuùt C thì maïch taïo ra 15 xung roài ngöøng. Cho xung
clock ngoõ vaøo coù taàn soá baèng 1Hz.
Caâu 36: Suy ra giản đồ trạng thái của FSM có 1 ngõ vào X và 1 ngõ ra Z. FSM sẽ tạo ra Z=1 khi nhận được
chuỗi vào 1001 hoặc 1111; ngược lại Z=0. Các mẫu vào phủ lắp được cho phép. Thí dụ:
X: 010111100110011111
Z: 000000100100010011
Thiết kế , mô tả, mô phỏng mạch.

Câu 37: Thiết kế, mô tả và mô phỏng mạch lọc số IIR bậc 4 theo sơ đồ khối:

Câu 38: Thiết kế, viết mã VHDL, mô phỏng kiểm thử thiết kế bộ tạo tone DTMF (có giao tiếp với bộ ADC,
bàn phím ma trận).

Câu 39: Thiết kế, viết mã VHDL, mô phỏng kiểm thử thiết kế bộ trải phổ và giải trải phổ bằng chuỗi mã giả
ngẫu nhiên (PN).

Câu 40: Thiết kế, mô tả VHDL và mô phỏng mạch thực hiện mã hoá AMI và HDB3 code.

-------------------------------------------

You might also like