You are on page 1of 11

Received 22 March 2016; revised 13 July 2016; accepted 23 July 2016.

Date of publication 3 August 2016; date of current version 6 June 2018.


Digital Object Identifier 10.1109/TETC.2016.2597542

Analytical Model for Resistivity and Mean Free Path


in On-Chip Interconnects with Rough Surfaces
SOMESH KUMAR, (Student Member, IEEE) AND ROHIT SHARMA , (Senior Member, IEEE)
The authors are with the Department of Electrical Engineering, Indian Institute of Technology Ropar, Nangal Road, Rupnagar 140001, India
CORRESPONDING AUTHOR: R. SHARMA (rohit@iitrpr.ac.in)

ABSTRACT Planar copper interconnects suffer from surface roughness that results in performance degra-
dation. This paper presents a novel analytical model for calculation effective resistivity and mean free path in
on-chip copper interconnects. The closed form expressions are obtained from a generalized surface and grain
boundary scattering approach that is combined with Mandelbrot-Weierstrass (MW) fractal function. It is
observed that resistivity increases while mean free path reduces significantly for rough on-chip interconnects
when compared with that of smooth lines. Current and future technology nodes i.e., 45 nm, 22 nm, 13 nm
and 7 nm are considered for our analysis. The analytical models are validated against industry standard field
solvers Ansys Q3D Extractor and previous data available in literature that exhibit excellent accuracy. Finally,
we also present computational overhead in terms of simulation time, matrix size, number of tetrahedrons and
memory for different values of roughness and technology nodes.
INDEX TERMS On-chip interconnects, surface roughness, fractals, resistivity, mean free path, resistance,
current density

I. INTRODUCTION and overall increase in resistance [10], [11]. Over the past
Reduced device dimensions and increased component den- several years, very limited analysis has been reported on the
sity on the chip has resulted in higher transistor speeds. How- role of surface roughness in determining the overall intercon-
ever, the same has led to increased interconnect delay and nect performance. Therefore, it is imperative to develop com-
losses [1]. Conventional copper (Cu) based planar intercon- pact analytical models that can give physical insights about
nects suffer from lower data-rates [2] and higher delay than the effect of rough surface profiles on various interconnect
required to keep pace with continuous transistor scaling. As performance metrics [12]. A first step towards this is to
we traverse through lower technology nodes, increase in develop closed form expressions of local resistivity, effective
resistivity becomes a major issue for on-chip interconnects resistivity and mean free path in rough interconnects lines.
[3]. This increase in Cu resistivity is primarily due to electron We also need to understand computational overheads associ-
scattering resulting from the surface and grain boundary [4], ated with analyzing rough on-chip interconnect structures.
[5]. Resistivity further increases when any critical intercon- The central theme of this paper revolves around answering
nect dimension decreases below the electron mean free path some of these important points.
of copper i.e., 39 nm [6]. In the past, planar Cu interconnects In this paper, we present a novel analytical model for compu-
have been considered to have homogeneous smooth surfaces. tation of local resistivity, effective resistivity and mean free
However, contrary to this belief, Cu suffers from surface path of on-chip Cu interconnects with rough surfaces. The
roughness that can adversely affect the interconnect perfor- rough surface profile has been modeled using the well-known
mance [7], [8]. Roughness may be intentionally or uninten- Mandelbrot-Weierstrass (MW) function [13]. In our analysis,
tionally introduced during fabrication processes to increase we have considered two categories of on-chip interconnects
the adhesion between the dielectric and conductor surfaces i.e., local/intermediate and global interconnects. Further, we
[9]. This, in turn leads to increase in the conductor losses. have considered various current and future technology nodes
Also, it is seen that scattering phenomena is higher at rough such as 45 nm, 22 nm, 13 nm and 7 nm [14]. Resistivity and
surfaces of Cu lines, which leads to size effects that result in mean free path of rough Cu on-chipinterconnect line are mod-
higher effective resistivity, lower effective mean free path eled considering size effects. It is seen that size effect is more
2168-6750 ß 2016 IEEE. Translations and content mining are permitted for academic research only.
Personal use is also permitted, but republication/redistribution requires IEEE permission.
VOLUME 6, NO. 2, APRIL-JUNE 2018 See ht_tp://www.ieee.org/publications_standards/publications/rights/index.html for more information. 233

Authorized licensed use limited to: INDIAN INST OF INFO TECH AND MANAGEMENT. Downloaded on August 19,2020 at 16:44:05 UTC from IEEE Xplore. Restrictions apply.
Kumar and Sharma: Analytical Model for Resistivity and Mean Free Path in On-Chip Interconnects with Rough Surfaces

critical for future technology nodes when width or thickness is using Ansys Q3D Extractor and available literature has been
lower than the mean free path of Cu. The increase in resistivity presented in this section. Computational complexity for rough
is due to reduced electron relaxation time (z) [15] and is given on-chip interconnects is also presented in Section V. Impor-
by the following relationship: tant conclusion are summarized in Section VI.
0
z¼ ; (1) II. BACKGROUND WORK
n
Surface roughness in Cu interconnects is primarily due to the
where, v is the velocity of electrons at the surface and l0 is material characteristics and is introduced during the various
their effective mean free path. As given in (1), the effective fabrication steps like chemical mechanical polishing and
mean free path is less than bulk mean free path (MFP) due to chemical etching. The roughness of a surface is accounted in
the decrease in relaxation time at lower technology nodes terms of the vertical deviations on that surface [18] and is
(sub-40 nm) [15, 16]. In addition, rough surfaces result in characterized in terms of various statistical parameters such
further reduction in the effective cross-sectional area and as RMS height, correlation length and autocorrelation func-
relaxation time [17]. Our proposed expressions for local tion [9]. Authors in [19] have numerically modeled the effect
resistivity, effective resistivity and mean free path consider of square and triangular shaped rough surfaces on conductor
these size effects. Our proposed expressions for effective losses. Small perturbation method and numerical method of
resistivity are compared with data available in the literature moments are developed to find the effect of surface rough-
that exhibit excellent accuracy. Interconnect resistance is ness on absorption at microwave frequencies. In [20], a broad
then calculated from the effective resistivity and validated methodology that includes different modeling techniques,
using simulations performed on industry standard EM solver, dependent on frequency and conductor geometry, for analy-
Ansys Q3D Extractor with an overall accuracy of 6 per- sis of resistive losses is introduced. There are different types
cent. In our analysis, we also present the relation between
of analytical and empirical methods available in the literature
local resistivity (LR) and line dimensions. Based on our mod-
to describe the profile of rough surfaces [21]. Power series
els, it is seen that resistivity increases while MFP reduces
and Fast Fourier Transform methods are classically used for
with technology scaling and extent of roughness. Also, it is
modeling the profile of rough surfaces [22], [23]. Computa-
seen that LR varies according to surface profile of conductor
tion of roughness profile function using atomic force micros-
line and is higher at the narrower cross sections where rough-
copy has been reported in [24]. In the available literature,
ness peaks or valleys are maximum. For local/intermediate
interconnects at 13 nm technology node, effective resistivity most of the reported work is on modeling the rough surface
increase by 2.5x when we consider maximum permissible profile that is dependent only on the RMS value and not on
surface roughness. Similarly, for global interconnects at 13 the horizontal profile variation. These models are approxi-
nm node, line resistivity due to surface roughness increases mate and fail to provide physical insights into the effects of
by 2.4x when compared to smooth surfaces. The MFP due to surface roughness. In particular, the Mandelbrot–Weierstrass
rough surfaces decreases by 29 percent for local/intermediate function, which uses the concept of self-similar fractals, is
and by 26 percent for global interconnects as compared to well suited to model the profile of rough surfaces in copper
smooth lines. These effects get further aggravated when we interconnects due to its inherent advantages over other classi-
move to 7 nm technology node. Interconnect resistance p.u.l. cal methods [25]. The MW function contains spatial informa-
increases by nearly 9x for 7 nm local/intermediate intercon- tion as well as information on profile deviation. We can find
nect for maximum value of roughness. Finally, we present average or RMS value of the roughness using this MW
the computation overhead for simulating of rough intercon- approach [26]. In this approach, the surface roughness is
nect structures. Our results shows that simulation time, mem- described by a fractal dimension, D, which is scale indepen-
ory, matrix size and number of tetrahedron increases by 2.8x, dent [27]. Later, in our analysis, we shall be using the MW
2.3x, 2.4x and 3.1x, respectively due to horizontal surface function to model the rough surface of on-chip interconnects.
profile variation for local/intermediate on-chip Cu intercon- Authors in [28], [44] have investigated the reduction of
nects at 7 nm node. thermal conductivity in Cu and tungsten nanowires structures
The remaining part of this paper is organized as follows: due to edge roughness. The Nabha model proposed by
Section II, describes the brief summary of the work that has authors in [29] gives the effect of roughness on resistivity
been done on modeling of surface profiles in Cu sheets and and temperature coefficient of thin copper films. The authors
various approaches employed in calculating equivalent para- report that electrical conduction is dependent on the thick-
sitics for rough metal sheets. Section III, presents the standard ness of the metal film and the electron MFP ( 40 nm).
3-line ITRS interconnect structure and surface profile function In that, as the thickness approaches the electron mean free
used for analysis. In Section IV, we present the proposed mod- path, the resistivity increases [30]. This further aggravates
els for calculating local resistivity, effective resistivity and when the thickness is lower than the electron MFP, which
MFP. Section V presents the results highlighting the effect of can be a serious issue in on-chip interconnects at lower tech-
roughness on the resistivity, resistance and mean free path of nology nodes. Authors in [31], [32] have introduced a correc-
on-chip local/intermediate and global interconnects. Verifica- tion factor which is applied to find the resistivity for various
tion of our analytical results with simulation data obtained roughness profiles. All the previous literature is limited to

234 VOLUME 6, NO. 2, APRIL-JUNE 2018

Authorized licensed use limited to: INDIAN INST OF INFO TECH AND MANAGEMENT. Downloaded on August 19,2020 at 16:44:05 UTC from IEEE Xplore. Restrictions apply.
Kumar and Sharma: Analytical Model for Resistivity and Mean Free Path in On-Chip Interconnects with Rough Surfaces

magnification. Due to this property, higher amount of rough-


ness is seen when we decrease the length scale. So, these sta-
tistical parameters strongly depend on the resolution and
scan length of the instrument used to measure the roughness
and hence are not unique for a particular surface [34]. The
fractal approach is used to define the natural rough surface
because this approach is instrument independent and is scale
invariant [34] and provide the roughness extent by a number
called fractal dimension, D, which is unique for a particular
surface. Fractal Brownian model (Fbm) is most useful model
for defining natural surfaces. It is nonstationary & stochastic
in nature and is non-differential everywhere. Fbm is approxi-
mated by MW fractal function. MW fractal function satisfies
the property of continuity, non-differentiability, self-affinity.
It can therefore be used to characterize the natural rough sur-
faces of interconnects. MW fractal function is based on
power laws and fractal dimension, D, and RMS height, h,
can be used to define microscopic roughness [35]. Therefore,
it is most appropriate to use the MW function for modeling
the rough surfaces in IC interconnects. The MW function
that defines the roughness profile is given by:
"sffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi#
2Dð2  DÞ X N 1
f ðxÞ ¼ h 2N
ðD  1Þn sin ðK0 bn x þ fn Þ:
1  ðD  1Þ n¼0
(2)
Here, h is the RMS height of the profile and f(x) is the
roughness distribution function. K0 is the spatial frequency, b
is the frequency multiplier and its value is greater than 1, fn
is the phase of the rough profile and N is the number of spa-
tial frequency components on the surface or number of tones.
Typically, D lies between 1 and 2 with fractal value for
FIGURE 1. (a) Standard 3 line interconnect structure given by smooth surface being 1.
ITRS and (b) roughness distribution function plot for different Maximum practical value of D in Cu interconnects is 1.6,
fractal dimension, D. N ¼ 6.
beyond which surface roughness is not expected due to pro-
find the roughness effects on resistivity and mean free path cess control [36], [37]. Roughness dependent parameters i.e.,
for thin metal sheets. Further, to the best of our knowledge, D, h can be calculated directly from AFM and a realistic pro-
closed form expressions for resistivity and mean free path in file of roughness can be obtained from this MW function.
high-speed on-chip Cu interconnects with rough surfaces has For model development, we have assumed roughness on the
not been reported in the available literature. Unlike previous all the four surfaces of the Cu 3-line structure, as shown in
literature, we propose a compact analytical model for local Figure 1(a). Typical interconnect dimensions as per ITRS
and effective resistivity and mean free path estimation in cop- projections are given in Table 1. Width of line is w, thickness
per interconnects with rough surfaces that gives physical is t, height from the reference ground is h, and spacing
insights on the dependence of these parameters on surface between the two interconnect lines is s. Each surface of cop-
profile. We also present a computational complexity analysis per line have different values of RMS height and fractal
of on-chip rough surface interconnects to estimate the extra dimension, D. Thus, roughness distribution function is differ-
effort required for simulation. ent on each surface. Figure 1(b), shows the roughness distri-
bution function for different values of D. It is clearly seen
III. BASIC INTERCONNECT STRUCTURE AND SURFACE that as fractal dimension, D, increases from 1.0 to 1.6, the
PROFILE roughness increases accordingly.
Conventionally, rough surfaces are random in nature and A set of data points is generated in Matlab using the MW
various statistical parameters such as RMS value, surface function for each surface that defines its roughness. We then
heights, slopes and curvature are used to define their rough- use a Visual Basic (VB) script to create any geometry or shape
ness [33]. Rough surfaces in interconnects exhibit the feature in HFSS simulator for validation of our analytical models [1],
of self-similarly and self-affinity, by which same appeara- [9]. The advantage of this methodology is that it is applicable
nces of the surfaces are seen under various degrees of for any surface profile and any interconnect structure.

VOLUME 6, NO. 2, APRIL-JUNE 2018 235

Authorized licensed use limited to: INDIAN INST OF INFO TECH AND MANAGEMENT. Downloaded on August 19,2020 at 16:44:05 UTC from IEEE Xplore. Restrictions apply.
Kumar and Sharma: Analytical Model for Resistivity and Mean Free Path in On-Chip Interconnects with Rough Surfaces

TABLE 1. Typical Interconnect Parameters.

On-Chip Interconnects [ITRS 2013]


Technology
node Local/Intermediate Global
Parameters 45 nm 22 nm 13 nm 7 nm 45 nm 22 nm 13 nm 7 nm
Pitch (w þ s) 90 nm 44 nm 27 nm 13 nm 135.5 nm 64 nm 40 nm 20 nm
Width (w) 45 nm 22 nm 13 nm 7 nm 67.5 nm 32 nm 20 nm 10 nm
Thickness (t) 81 nm 44 nm 26 nm 15.4 nm 155.25 nm 80 nm 46.8 nm 24 nm
Aspect Ratio (AR) 1.8 2.0 2.0 2.20 2.3 2.5 2.34 2.40
Spacing (s) 45 nm 22 nm 14 nm 6 nm 68 nm 32 nm 20 nm 10 nm
Height from ground (h) 77 nm 45 nm 27 nm 12 nm 148.5 nm 76.8 nm 35 nm 20 nm
Dielectric (er) 2.9 2.55 2.15 1.65 2.9 2.55 2.15 1.65

IV. PROPOSED MODEL at the metal-dielectric interface at side wall surfaces and w is
In this section, we present our proposed model to find the the width of interconnect.
resistivity and MFP of on-chip interconnects considering sur- Mayadas-Shatzkes (M-S) model [39], [40] derives the
face roughness. expression for resistivity considering grain boundary scatter-
ing, which is given by:
A. RESISTIVITY "    2
rbulk 1 0 x 0 x
Resistivity in Cu interconnects depends on surface scattering rg ¼  þ
and grain boundary scattering of electrons as well as the 3 3 2d ð1  xÞ d ð1  xÞ
 3   (5)
impurities present on the surface and bulk of the interconnect 0 x dð1  xÞ 1
line. Surface roughness in deeply scaled Cu interconnects   ln 1 þ ;
d ð1  xÞ 0 x
gives rise to size effects because vertical height of roughness
becomes comparable to critical dimensions of the intercon- where, d is average grain size and x is reflection coefficient.
nect line. Fuchs-Sondheimer (F-S) model to find the resistiv- Note that, the total effective Cu resistivity increases with
ity considering surface scattering along the upper and lower both surface and grain boundary scattering, and can be calcu-
surface is given by [38]: lated as [41]:
 Z 1 h
3
rupper=lower ¼ rbulk 1  dqðq  q3 Þ rs ¼ rbulk þ ðrupper=lower  rbulk Þ þ ðrsidewall  rbulk Þ
4ðt=0 Þ 0 (6)
   #1 þ ðrg  rbulk Þ ;
2 1  p1 p2 eðt=q0 Þ 1  eðt=q0 Þ  ðp1 þ p2 Þð1  eðt=q0 Þ Þ2
 :
ð1  p1 p2 e2ðt=q0 Þ Þ where, rs is the effective interconnect line resistivity for
(3) smooth surfaces.
Here, rupper/lower is the resistivity of Cu interconnect consid- We now calculate the effect of roughness on this effective
ering scattering only on upper and lower surfaces. p1 and p2 are resistivity. Every interconnect surface, as shown in Figure 2,
the specularity parameters used to describe the surface scatter- has different values of rms height, specularity parameter and
ing at the metal-dielectric interface on upper and lower surfaces fractal dimension. Therefore, roughness distribution function
and their values lie 0 to 1 [38]. l0 is the bulk mean free path cal- or surface profile is different for each surface.
culated using the Fermi free electron model, t is interconnect Due to upper and lower surface profiles, the thickness of
thickness, rbulk is bulk resistivity of copper and the constant q the line varies at each point along the length of wire. Also,
is dependent on the scattering angle (u) and is given as q ¼ due to sidewall roughness, the width of interconnect varies at
cos u [38]. Note that this equation considers the surface scatter- each point along its length. The modified thickness and width
ing but it does not take into account the effect of roughness. are given by:
Similarly to (3), resistivity considering surface scattering
along the sidewall surfaces of the on-chip interconnect is
given by:
 Z 1
3
rsidewall ¼ rbulk 1  dqðq  q3 Þ
4ðw=0 Þ 0
   #
2 1
2 1 p3 p4 ew=q
ð 0 Þ 1  eðw=q0 ÞÞ  ðp þ p Þ 1  eðw=q0ÞÞ
3 4
 :
ð1  p3 p4 e2ðw=q0 Þ Þ
(4)
Here, rsidewall is the resistivity of Cu interconnect consider-
ing scattering only on sidewall surfaces, p3 and p4 are the
specularity parameters used to describe the surface scattering FIGURE 2. Cu interconnect with unique profile functions.

236 VOLUME 6, NO. 2, APRIL-JUNE 2018

Authorized licensed use limited to: INDIAN INST OF INFO TECH AND MANAGEMENT. Downloaded on August 19,2020 at 16:44:05 UTC from IEEE Xplore. Restrictions apply.
Kumar and Sharma: Analytical Model for Resistivity and Mean Free Path in On-Chip Interconnects with Rough Surfaces

t 0 ¼ t þ f1 ðxÞ þ f2 ðxÞ; (7a) LR is maximum wherever interconnect cross section is mini-


0 mum. LRupper/lower across the width of the interconnect line
w ¼ w þ f3 ðyÞ þ f4 ðyÞ: (7b)
due to rough upper and a lower surface is given by:
Here, f1(x), f2(x), f3(y) and f4(y) are the rough surface profile  0  
tr
MW functions for upper, lower and both side wall surfaces, LRupper=lower ¼ r 1 rbulk þ upper=lower
t0  rbulk :
bulk
respectively.
Substituting (7) in (3) and (4), we get the resistivity due to  
þ rg  rbulk :
roughness in upper/lower and sidewalls, respectively as
given below: (13a)
 Z 1
3 Similarly, LRupper/lower across the width of the interconnect
r0upper=lower ¼ rbulk 1  0
dqðq  q3 Þ
4ðt =0 Þ 0 line due to rough sidewall surfaces is given by:



2 31
2 1  p1 p2 eðt =q0 Þ 1  eðt =q0Þ  ðp1 þ p2Þ 1  eðt =q0Þ
0 0 0
h
wr0 i
7 LRsidewall ¼ r 1 rbulk þ  r
 5 bulk :
sidewall
0
ð1  p1 p2 e2ðt0 =q0 ÞÞ bulk w

  (13b)
(8) þ rg  rbulk :
 Z 1
3
r0sidewall ¼ rbulk 1  dqðq  q3 Þ
4ðw0 =0 Þ 0 B. MEAN FREE PATH



2 31
2 1  p3 p4 eðw =q0 Þ 1  eðw =q0 Þ  ðp3 þ p4 Þ 1  eðw =q0 Þ 7
0 0 0 The ratio of effective MFP to bulk MFP in Cu interconnects
 5 : considering rough surfaces from can be derived from (11),
ð1  p3 p4 e2ðw0 =q0 Þ Þ
which is given as:

(9) 0s ¼  
0
;
The average resistivity of Cu interconnects considering 1 þ r 0  1 þ r 0  1 þ 0g  1
upper=lower sidewall
upper/lower (rrupper/lower) and sidewall (rrsidewall) rough sur-
faces is given by integration of (8) and (9) over the width (14)
and thickness, respectively [29], which is given by: where, lrupper/lower
is the effective MFP of Cu interconnects
" Z !# considering roughness only on upper and lower surfaces,
1 w t  r0upper=lower while lrsidewall is the effective MFP considering roughness
rupper=lower ¼
r
dx (10a) only on sidewall surfaces. lrupper/lower and lrsidewall are calcu-
w 0 t0
lated using (10) and is given by:
 Z t  
1 w  r0sidewall rupper=lower ¼  
1

rsidewall ¼
r
dy : (10b) R
(14a)
t 0 w0 1 w
dx t
w 0 t 0 0
upper=lower
The total effective resistivity (rrough) due to rough surfaces
is given by: 1
h rsidewall ¼    ; (14b)
R
rrough 0 ¼ rbulk þ ðrrupper=lower  rbulk Þ þ ðrrsidewall  rbulk Þ 1 t
0 dy
w
w0 0sidewall
t
þ ðrg  rbulk Þ :
where, l0 upper/lower is obtained by replacing t with t0 whereas
(11a) l0 sidewall is obtained by replacing w with w0 . We now have a
There is a linear relationship between resistivity and tem- self-consistent model that incorporates the surface profile
perature [42], [43], which is given as: function and can compute resistivity, resistance, LR and
MFP for any interconnect structure.
rrough 0 ðT Þ ¼ ½1 þ aðT  T0 Þrrough 0 : (11b)
V. RESULTS AND ANALYSIS
Here, a is the temperature coefficient of resistance, T0 is Based on our proposed model, the effect of surface rough-
0
the room temperature and rrough is the effective resistivity at ness on resistivity, mean free path and resistance in Cu inter-
room temperature. connect are presented in this section. Our analytical results
The interconnect line resistance p.u.l. can be computed for resistance are compared with previous data and data
using standard expression and is given by: obtained using EM solver, Ansys Q3D Extractor for the
rrough 0 interconnect structure shown in Figure 1.
Rp:u:l: ¼ : (12)
tw
A. MODEL VALIDATION
Local resistivity defines the resistivity at any point in a Cu To verify the veracity of our proposed model, the effective
interconnect line whose cross-section varies with the profile resistivity computed using our proposed model are compared
of the surface. The integral part of (10) is called local resistiv- with existing data which is shown in Figure 3. In [39],
ity (LR) [43] that varies according to its cross-sectional area. authors have calculated the effective resistivity as a function

VOLUME 6, NO. 2, APRIL-JUNE 2018 237

Authorized licensed use limited to: INDIAN INST OF INFO TECH AND MANAGEMENT. Downloaded on August 19,2020 at 16:44:05 UTC from IEEE Xplore. Restrictions apply.
Kumar and Sharma: Analytical Model for Resistivity and Mean Free Path in On-Chip Interconnects with Rough Surfaces

FIGURE 3. Resistivity comparison using our proposed model and


[39]. T ¼ 300 K. Average grain size is considered equal to w [39].
The value of p, x, rms value and D are obtained from [39]. Aspect
ratio considered here is 2.0. p1 ¼ p2 ¼ p3 ¼ p4 ¼ p, N ¼ 6.

of line edge roughness, specularity parameters and grain


reflectivity, x. The input parameters used in our model are
equated with that of [39]. First we calculate the effective
resistivity with no surface scattering, i.e., h ¼ 0, p ¼ 0.95,
x ¼ 0.40 and D ¼ 1.0. These values indicate that electron
scattering is a result of mostly due to grain boundary reflec-
tion. Extending the analysis further, the effective resistivity
is plotted for D ¼ 1.01, h ¼ 2.4 nm, p ¼ 0.95, and x ¼ 0.40.
The added roughness increases resistivity by 18 percent for
10 nm width with aspect ratio of 2. FS-MS resistivity model
for smooth line is also compared with our models which
shows that our model is more accurate than FS-MS resistivity
model owing to consideration of sidewall as well as upper
and lower surface scattering. For all cases, results obtained

FIGURE 5. Local resistivity of 7 nm local/Intermediate line as a


function of width for different RMS value of roughness (a) D ¼
1.1 and (b) D ¼ 1.6. T ¼ 300K. p1 ¼ p2 ¼ p3 ¼ p4 ¼ 0.6, x ¼ 0.1, N ¼
6.
from our model show excellent agreement (i.e., < 4 percent
FIGURE 4. Resistance for different technology nodes and differ-
error) with available data, as shown in Figure 3.
ent values of D. (a) 7 nm technology node (b) 22 nm technology Figure 4 shows the plot of resistance p.u.l. for 7 nm and 22
node. p1 ¼ p2 ¼ p3 ¼ p4 ¼ 0.6, x ¼ 0.1, T ¼ 300K, N ¼ 6. nm technology nodes for both local/intermediate and global

238 VOLUME 6, NO. 2, APRIL-JUNE 2018

Authorized licensed use limited to: INDIAN INST OF INFO TECH AND MANAGEMENT. Downloaded on August 19,2020 at 16:44:05 UTC from IEEE Xplore. Restrictions apply.
Kumar and Sharma: Analytical Model for Resistivity and Mean Free Path in On-Chip Interconnects with Rough Surfaces

lines. Here, the resistance calculated using our proposed


model is compared with simulation data obtained using
industry standard EM solver Q3D Extractor that exhibits an
overall accuracy of 5 percent. For local/intermediate and
global interconnects at 7 nm technology node, resistance p.u.
l. increase by 9x and 6x respectively when we consider maxi-
mum permissible surface roughness. From Figure 4(b), it is
shown that for local/intermediate and global line at 22 nm
line resistance increases by 10 and 7 percent for global inter-
connects as compared to smooth line.

B. RESISTIVITY AND MEAN FREE PATH


The local resistivity (LR) across the width and thickness of
Cu interconnect line is computed using (13). Figure 5(a),
shows the variation of resistivity locally across the width of
the interconnect line. In Figure 5(a), we consider the rough-
ness D ¼ 1.1 for top and bottom surface of 7 nm line with
different RMS values. It is clear that as RMS roughness is
increased the LR increases. At the wider sections of the line,
local resistivity decreases due to size effect. In this figure,
maximum peaks in LR are seen at the narrow sections of line
which is due to reduction in thickness. Figure 5(b) shows LR
as a function of width for D ¼ 1.6. It is seen that as fractal
value increases from 1.1 to 1.6, its roughness increases and
accordingly LR also varies. The peaks are shown at the nar-
row sections of the copper line where thickness is minimum.
Similarly, Figure 6 shows the LR across the thickness of
the copper interconnect line. From Figure 6(a), it is clear that
as RMS value of roughness increases, LR also increases and
maximum peaks are shown at narrow sections of line. When
value of fractal dimension D increases from 1.1 to 1.6, LR
further increases. Figure 6(b) shows the LR as a function of
thickness for D ¼ 1.6. From this plot, it is seen that with
increasing the value of D, the LR varies with higher peaks.
In Figure 7, the effective resistivity of Cu interconnect
lines as a function of their critical dimensions is shown.
Figure 7(a). shows the effective resistivity of local/intermedi-
ate lines as a function of technology nodes ranging from
45 nm to 7 nm for different extent of roughness. From this
figure, it is evident that surface roughness adds a significant
contribution to the total resistivity of the interconnect. Effec-
tive resistivity of local/intermediate interconnect increases by
17 percent for 22 nm technology node and it further increases
when we move to lower technology nodes. At 7 nm technol-
ogy node, resistivity further increases by 80 percent when we
increase the rms value of roughness for top, bottom and side
wall surfaces, which is shown in Figure 7(a). For global
interconnect lines, resistivity increases by 5x for 7 nm tech-
nology node, which is shown in Figure 7(b). The temperature
dependent resistivity for local/intermediate interconnects is
shown in Figure 7(c). Typical temperature range is from 25o
C to 85o C, which is a standard temperature range for nano- FIGURE 6. Local resistivity of 7 nm local/Intermediate line as a
meter-scale application processors. As expected from (11b), function of thickness for different RMS value of roughness
the resistivity increases for increasing temperature. However, (a) D ¼ 1.1 and (b) D ¼ 1.6. T ¼ 300K, p1 ¼ p2 ¼ p3 ¼ p4 ¼ 0.6,
effect of surface roughness on resistivity is not dependent on x ¼ 0.1, N ¼ 6.
temperature.

VOLUME 6, NO. 2, APRIL-JUNE 2018 239

Authorized licensed use limited to: INDIAN INST OF INFO TECH AND MANAGEMENT. Downloaded on August 19,2020 at 16:44:05 UTC from IEEE Xplore. Restrictions apply.
Kumar and Sharma: Analytical Model for Resistivity and Mean Free Path in On-Chip Interconnects with Rough Surfaces

FIGURE 8. Effective mean free path for different technology


nodes and different values of D. (a) Local/intermediate intercon-
nects (b) Global Interconnect. T ¼ 300K. h1 is rms value of rough-
ness for top surface, h2 is rms value of roughness for bottom
surface and h3, h4 are rms value for side wall surfaces. p1 ¼ p2 ¼
p3 ¼ p4 ¼ 0.6, x ¼ 0.1, N ¼ 6.

From (14), effective mean free path for a Cu line is cal-


culated for both local/intermediate and global intercon-
nects. When technology scales down the effective MFP
decreases due to surface and grain boundary scattering.
Surafce scattering and grain boundary scattering effect is
more prounced when any dimension reaches below the
bulk MFP. Due to decrease in MFP, the effective resistiv-
ity increases. For 22 nm local/intermediate interconnects,
the effective MFP for smooth surface is 22.73 nm which
decreases marginally to 21.3 nm for rough surfaces in case
of local/intermediate lines. However, for 7 nm technology
FIGURE 7. Effective resistivity for different technology nodes and
different values of D. (a) Local/intermediate interconnects, T ¼
node, effective MFP decreases significantly (by 80 per-
300K (b) Global interconnects, T ¼ 300K (c) Local/intermediate cent), as shown in Figure 8(a). From this analysis, it is
interconnects at different temperatures. h1 is rms value of rough- clear that as technology scales down, MFP as a function
ness for top surface, h2 is rms value of roughness for bottom of roughness decreases exponentially. Similarly, observa-
surface and h3, h4 are rms value for side wall surfaces. p1 ¼ p2 ¼ tions are noted in Figure 8(b) for 7 nm global intercon-
p3 ¼ p4 ¼ 0.6, x ¼ 0.1, a ¼ 3.9e-3, N ¼ 6.
nects with MFP reducing by 67 percent.

240 VOLUME 6, NO. 2, APRIL-JUNE 2018

Authorized licensed use limited to: INDIAN INST OF INFO TECH AND MANAGEMENT. Downloaded on August 19,2020 at 16:44:05 UTC from IEEE Xplore. Restrictions apply.
Kumar and Sharma: Analytical Model for Resistivity and Mean Free Path in On-Chip Interconnects with Rough Surfaces

FIGURE 11. Bar plot for all the four cases for 7nm local/Intermedi-
FIGURE 9. 3D view of mesh generated in HFSS. (a) Case 1 ate interconnect line. (a) Matrix Size (b) Memory.
(Smooth line, D ¼ 1.0) (b) Case 2 (Only top and bottom surface
rough, D ¼ 1.1) (c) Case 3 (all four surfaces rough, D ¼ 1.1) (d)
Case 4 (all four surface rough, D ¼ 1.6). T ¼ 300K, N ¼ 6. that mesh becomes very dense when we move from lesser
roughness (case 1) to higher roughness (case 4).
From the analysis reported in this section, it is clear that sur- Figure 10 shows the current distribution in local/
face roughness will be a major performance limiting factor for intermediate interconnects at 7 nm technology node.
lower technology nodes towards the end of the roadmap. From Figure 10, it is clearly seen that roughness peaks
and valleys act as discontinuities. The current density and
C. COMPUTATION COMPLEXITY electric field decreases due to increasing roughness, as
In this section, we now analyze the computational effort shown in cases 1 to 4.
required to perform simulations for rough on-chip Cu inter- Figures 11 and 12 highlight the important factors that define
connect lines. Four cases are considered: Case 1 (D ¼ 1.0, computational power involved in simulation of HFSS models
h1 ¼ h2 ¼ h3 ¼ h4 ¼ 0), Case 2 (D ¼ 1.1, h1 ¼ h2 ¼ 1.4 for smooth and rough interconnects. For solving any structure
nm, h3 ¼ h4 ¼ 0), Case 3 (D ¼ 1.1, h1 ¼ h2 ¼ 1.4 nm, in HFSS and Q3D solver, tetrahedral mesh is generated. From
h3 ¼ h4 ¼ 1.15 nm), Case 4 (smooth line, D ¼ 1.6, h1 ¼ Figure 9, it is clear that meshing becomes very dense at the
h2 ¼ 1.4 nm, h3 ¼ h4 ¼ 1.15 nm). Here, we discuss the fac- rough surfaces which lead to higher complexity, computa-
tors that involved in creating HFSS models and simulations. tional power and simulation time than smooth surfaces. The
Figure 9, shows the finite element method (FEM) mesh gen- simulations reported here are performed on a Linux based Intel
erated for all the four cases. From Figure 9, it is clearly seen

FIGURE 10. 3D view of volume current density generated in HFSS


(a) Case 1 (Smooth line, D ¼ 1.0) (b) Case 2 (Only top and bottom
surfaces are rough, D ¼ 1.1) (c) Case 3 (all four surfaces are FIGURE 12. Bar plot for all the four cases for 7nm local/Intermedi-
rough, D ¼ 1.1) (d) Case 4 (all four surfaces are rough, D ¼ 1.6). ate interconnect line. (a) Simulation Time (b) Number of
T ¼ 300K, N ¼ 6. Tetrahedrons.

VOLUME 6, NO. 2, APRIL-JUNE 2018 241

Authorized licensed use limited to: INDIAN INST OF INFO TECH AND MANAGEMENT. Downloaded on August 19,2020 at 16:44:05 UTC from IEEE Xplore. Restrictions apply.
Kumar and Sharma: Analytical Model for Resistivity and Mean Free Path in On-Chip Interconnects with Rough Surfaces

Xeon system with 32 GB RAM and 12 cores distributed across [4] W. Steinh€ ogl, G. Schindler, G. Steinlesberger, and M. Engelhardt, “Size-
dependent resistivity of metallic wires in the mesoscopic range,” Physical
two physical processors. Figure 11 shows the comparison of Rev. B 66, vol. 66, no. 7, 2002, Art. no. 075414
matrix size and memory required for simulation for all four [5] J. S. Chawla, F. Gstrein, K. P. O’Brien, J. S. Clarke, and D. Gall, “Electron
cases. It is clearly seen that matrix size and memory scattering at surfaces and grain boundaries in Cu thin films and wires,”
Physical Rev. B 84, vol. 84, no. 23, Dec. 2011, Art. no. 235423.
increases with roughness. Figure 12 shows the number of [6] G. Lopez, J. Davis, and J. Meindl, “A new physical model and experimen-
tetrahedrons and simulation time required for simulation tal measurements of copper interconnect resistivity, considering size
of all four cases. Number of tetrahedrons and simulation effects and line-edge roughness (LER),” in Proc. IEEE Int. Interconnect
Technol. Conf., 2009, pp. 231–234.
time increases with roughness. Ones the solution is con-
[7] X. Chen, “EM modeling of microstrip conductor losses including surface
verged, the RAM usage, matrix size and number of tetra- roughness effect,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 2,
hedrons remains constant. It is clear from Figures 11 and pp. 94–96, Feb. 2007.
12, that computational complexity, power and simulation [8] V. Timoshevskii, K. Youqi, G. Hong, and D. Gall, “The influence of
surface roughness on electrical conductance of thin Cu films: An ab
time increase with increase in extent of roughness. initio study,” J. Appl. Physics, vol. 103, no. 11, 2008, Art.
no. 113705.
[9] A. Sain and K. L. Melde, “Broadband characterization of coplanar wave-
VI. CONCLUSION guide interconnects with rough conductor surfaces,” IEEE Trans. Compon.
Packag. Manuf. Technol. vol. 3, no. 6, pp. 1038–1046, Jun. 2013.
In this paper, we have presented a novel analytical model to [10] W. Yu, Q. Zhang, Z. Ye, and Z. Luo, “Efficient statistical capacitance
calculate resistivity and mean free path for on-chip copper extraction of nanometer interconnects considering the on-chip line
interconnects with rough surfaces. Our analytical results are edge roughness,” Microelectronics Rel., vol. 52, no. 4, pp. 704–710,
2012.
compared with data using industry standard EM solver as [11] M. Stucchi, M. Bamal, and K. Maex, “Impact of line-edge roughness on
well as available literature that exhibit excellent accuracy. resistance and capacitance of scaled interconnects,” Microelectronic Eng.,
Based on our models, we highlight the effect of surface vol. 84, no. 11, pp. 2733–2737, 2007.
[12] A Yamaguchi, R. Steffen, H. Kawada, T. Iizumi, and A. Sugimoto, “A dis-
roughness on local resistivity, effective resistivity, line resis- cussion on how to define the tolerance for line-edge or linewidth roughness
tance and mean free path. Our results show that the effect of and its measurement methodology,” IEEE Trans. Semicond. Manuf., vol.
surface roughness is much severe for lower technology 20, no. 4, pp. 549–555, Nov. 2007.
[13] C. Q. Yuan, J. Li, X. P. Yan, and Z. Peng, “The use of the fractal descrip-
nodes. We also compare the computational complexity in tion to characterize engineering surfaces and wear particles,” Wear, vol.
term of mesh sizing and current density, which increase with 255, no. 1–6, pp. 315–326, 2003.
extent of roughness. We present the computation overhead [14] International Technology Roadmap for Semiconductors, 2013. [Online].
Available: http://www.itrs.net/
i.e., time, matrix size, number of tetrahedrons and memory [15] E. H. Sondheimer, “The mean free path of electrons in metals,” Adv.
required for simulation of rough interconnect structures. Physics, vol. 50, no. 6, pp. 499–537, 2001.
To best of our knowledge, such an analysis has not been [16] K. Fuchs, “The conductivity of thin metallic films according to the electron
theory of metals,” Math. Proc. Cambridge Philosoph. Soc., 1938,
reported in the available literature. The authors feel that accu- vol. CPS-34, no. 1, pp. 100–108, 1938.
rate performance benchmarking for planar interconnects can [17] L. Tsang, G. Xiaoxiong, and H. Braunisch, “Effects of random rough sur-
be done using our models. Planar Cu interconnects form the face on absorption by conductors at microwave frequencies,” IEEE Trans.
Microw. Wireless Compon. Lett., vol. 16, no. 4, pp. 221–223, Apr. 2006.
backbone of data and clock transmission in both 2D and 3D [18] X. Guo, D. R. Jackson, M. Y. Koledintseva, S. Hinaga, J. L. Drewniak,
chips and interposers. It is felt that our proposed model and J. Chen, “An analysis of conductor surface roughness effects on signal
would aid designers in accurately estimating interconnect propagation for stripline interconnects,” IEEE Trans. Electromagn. Com-
pat., vol. 56, no. 3, pp. 707–714, Apr. 2014.
performance for these applications. [19] S. P. Morgan, “Effect of surface roughness on eddy current losses at
microwave frequencies,” J. Appl. Physics, vol. AP-20, no. 4, pp. 352–
362, Oct. 1948.
ACKNOWLEDGMENTS
[20] B. Curran, I. Ndip, S. Guttowski, and H. Reichl, “A methodology for
This work was supported by the Department of Science and combined modeling of skin, proximity, edge and surface roughness
effects,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 9, pp. 2448–
Technology, Government of India (No. SB/FTP/ETA-40/ 2455, Sep. 2010.
2013). The author would also like to thank Mr. Atul Kumar [21] A. F. Horn, J. W. Reynolds, and J. C. Rautio, “Conductor profile effects on
Nishad for his valuable comments and suggestions. This the propagation constant of microstrip transmission lines,” in Proc. IEEE
MTT-S Int. Microw. Symp. Digest, 2010, pp. 868–871.
work is supported in part by the Department of Science and [22] Q. Chen, H. W. Choi, and N. Wong, “Robust simulation methodology for
Technology, Government of India under Grant No. SB/FTP/ surface-roughness loss in interconnect and package modeling,” IEEE
ETA-40/2013. Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 28, no. 11,
pp. 1654–1665, Dec. 2009.
[23] Z. Zhu and J. White, “FastSies: A fast stochastic integral equation solver
REFERENCES for modeling the rough surface effects,” in Proc. IEEE/ACM Int. Conf.
Comput.-Aided Des., 2005, pp. 675–685.
[1] S. Kumar and R. Sharma, “Design space exploration of nanoscale inter- [24] L. Lai and E. A. Irene, “Area evolution of microscopically rough surface,”
connects with rough surfaces,” in Proc. IEEE Elect. Des. Adv. Packag. J. Vacuum Sci. Technol. B: Microelectronics Nanometer Structures,
Syst. Symp., 2015, pp. 125–128. vol. 17, no. 1, pp. 33–39, 1999.
[2] H. Cho, P. Kapur, and K. C. Saraswat, “Power comparison between high- [25] G. Franceschetti, A. Iodice, D. Riccio, and G. Ruello, “Fractal surfaces and
speed electrical and optical interconnects for inter-chip communication,” electromagnetic extended boundary conditions,” IEEE Trans. Geosci.
J. Lightw. Technol., vol. 22, no. 9, pp. 2021–2033, 2004. Remote Sens., vol. 16, no. 4, pp. 1018–1031, May 2002.
[3] M. Stucchi, P. J. Roussel, Z. Tokei, S. Demuynck, and G. Groeseneken, [26] P. J. Durst, G. L. Mason, B. McKinley, and A. Baylot, “Predicting
“A comprehensive LER-aware TDDB lifetime model for advanced Cu RMS surface roughness using fractal dimension and PSD parameters,”
interconnects,” IEEE Trans. Device Mater. Rel., vol. 11, no. 2, pp. 278– J. Terramechanics, vol. 48, no. 2, pp. 105–111, 2011.
289, Jun. 2011.

242 VOLUME 6, NO. 2, APRIL-JUNE 2018

Authorized licensed use limited to: INDIAN INST OF INFO TECH AND MANAGEMENT. Downloaded on August 19,2020 at 16:44:05 UTC from IEEE Xplore. Restrictions apply.
Kumar and Sharma: Analytical Model for Resistivity and Mean Free Path in On-Chip Interconnects with Rough Surfaces

[27] T. Vincent, B. Powers, and I. Bar-On, “The influence of substrate surface SOMESH KUMAR (S’13) received the BTech
roughness on microstrip transmission line loss using conventional analyses degree in electronics and communication engineer-
and length-scale fractal analysis,” Surface Interface Anal., vol. 42, no. 1, ing from Kurukshetra University, Kurukshetra, in
pp. 21–35, 2010. 2009 and the MTech degree in VLSI design and
[28] X. Lu, “Thermal conductivity modeling of copper and tungsten damascene CAD from Thapar University, Patiala, in 2012. He
structures,” J. Appl. Physics, vol. 105, no. 9, 2009, Art. no. 094301. is currently working toward the PhD degree in elec-
[29] Y. Namba, “Electrical conduction of thin metallic films with rough trical engineering at IIT Ropar. His current research
surface,” J. Appl. Physics, vol. 39, no. 13, pp. 6117–6118, 1968. is focused on design and optimization of high speed
[30] H. D. Liu, Y. P. Zhao, G. Ramanath, S. P. Murarka, and G. C. Wang, copper interconnects for deeply scaled technolo-
“Thickness dependent electrical resistivity of ultrathin (<40 nm) Cu gies. He is a student member of the IEEE.
films,” Thin Solid Films, vol. 384, no. 1, pp. 151–156, 2001.
[31] S. Groiss, I. Bardi, O. Biro, and K. Pris, “Parameters of lossy cavity reso-
nators calculated by the finite element method,” IEEE Trans. Magn., ROHIT SHARMA (SM’15) is currently an associ-
vol. 32, no. 3, pp. 894–897, 1996. ate professor in the Department of Electrical Engi-
[32] M. V. Lukic and D. S. Filipovic, “Modeling of 3-D surface roughness neering, IIT Ropar. He has been a Brain Korea
effects with application to m-coaxial lines,” IEEE Trans. Microw. Theory post-doctoral fellow with the Design Automation
Techn., vol. 55, no. 3, pp. 518–525, 2007. Laboratory, Seoul National University, and an
[33] G. Ruello, et al., “Synthesis, construction and validation of a fractal sur- Indo-U.S. research fellow with the Interconnect
face,” IEEE Trans. Geosci. Remote Sens., vol. 44, no. 6, pp. 1403–1412, Focus Center, Georgia Institute of Technology,
Jun. 2006. Atlanta. His research interests include 3-D intercon-
[34] C. Q. Yuan, J. Li, X. P. Yan, and Z. Peng, “The use of the fractal descrip- nects and packaging, carbon based nanoelectronic
tion to characterize engineering surfaces and wear particles,” Wear, design, and communication technologies for micro/
vol. 255, no. 1-6, pp. 315–326, 2003. nano systems. He is a senior member of the IEEE.
[35] D. L. Jaggard and X. Sun, “Scattering from fractally corrugated surfaces,”
J. Opt. Soc. America A, vol. 7, no.6, pp. 1131–1139, 1990.
[36] R. M. Patrikar, “Modeling and simulation of surface roughness,” Appl.
Surface Sci., vol. 228, no. 1-4, pp. 213–220, Apr. 2004.
[37] R. M. Patrikar, C. Y. Dong, and W. Zhuang, “Modelling interconnects
with surface roughness,” Microelectronics J., vol. 33, no. 11, pp. 929–
934, 2002.
[38] J. S. Chawla and D. Gall, “Specular electron scattering at single-crystal Cu
(001) surfaces,” Appl. Physics Lett., vol. 94, 2009, Art. no. 25210.
[39] G. Lopez, J. Davis, and J. Meindl, “A new physics model and experimental
measurements of copper interconnect resistivity considering size effects
and line-edge roughness (LER),” in Proc. IITC, 2009, pp. 231–234.
[40] T. Kurusu, et al., “A Monte Carlo simulation of electron transport in Cu
nano-interconnects: Suppression of resistance degradation due to LER/
LWR,” in Proc. IEEE Electron Device Meeting, 2012, pp. 30.7.1–30.7.4.
[41] D. Josell, et al., “Electrical properties of superfilled sub-nanometer silver
metallizations,” J. Appl. Physics, vol. 96, no. 1, pp. 759–768, 2004.
[42] K. D. Vogeleer, G. Memmi, P. Jouvelot, and F. Coelho, “Modelling the
temperature bias of power consumption for nanometer-scale CPUs in
application processors, in Proc. IEEE SAMOS XIV, 2014, pp. 172–180.
[43] W. Steinh€ ogl, G. Schindler, G. Steinlesberger, and M. Engelhardt, “Impact
of line edge roughness on the resistivity of nanometer-scale interconnects,”
Microelectronics Eng., vol. 76, no. 1-4, pp. 126–130, 2004.
[44] Y. Namba, “Electrical conduction of thin metallic films with rough sur-
face,” J. Appl. Physics, vol. 39, no. 13, pp. 6117–6118, 1968.

VOLUME 6, NO. 2, APRIL-JUNE 2018 243

Authorized licensed use limited to: INDIAN INST OF INFO TECH AND MANAGEMENT. Downloaded on August 19,2020 at 16:44:05 UTC from IEEE Xplore. Restrictions apply.

You might also like