You are on page 1of 11

TNKTS - BÀI 2 – CÁC IC CHỨC NĂNG CƠ BẢN

BÀI THÍ NGHIỆM 2


CÁC IC CHỨC NĂNG CƠ BẢN

MỤC TIÊU
➢ Nắm được cách sử dụng kit thí nghiệm, phần mềm lập trình.
➢ Nắm được cách khảo sát và thiết kế hàm boolean sử dụng các IC chức năng cơ bản.
➢ Nắm được quy trình mô tả phần cứng trên FPGA.

CHUẨN BỊ
➢ Đọc phần phụ lục Thí nghiệm 2 và Bài thí nghiệm 0 của tài liệu hướng dẫn phần Thí nghiệm
trên kit DE2.

PHẦN LÀM TRÊN DIGIB

THÍ NGHIỆM 1

Mục tiêu: Nắm được hoạt động của các IC chức năng cơ bản 74LS138, 74LS148, 74LS151,
74LS47, 74LS85, 74LS283.

Yêu cầu: Sinh viên ghi lại các bảng chân trị của các IC chức năng đã học trong lí thuyết và kiểm
chứng lại thực tế hoạt động của các IC.

Lưu ý: Khi khảo sát, chú ý mức tích cực của các chân ở ngõ ra và ngõ vào.

Department of Electronics Page | 1


Digital System Design Laboratory
TNKTS - BÀI 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Hướng dẫn: Ví dụ về khảo sát 74LS151

74LS151 có bảng chân trị

Input Output

̅̅̅̅ 𝑺𝟐
𝑬𝑵 𝑺𝟏 𝑺𝟎 Y ̅
𝒀

1 x x x 1 0

0 0 0 0 𝐷0 ̅̅̅
𝐷0

0 0 0 1 𝐷1 ̅̅̅
𝐷1

0 0 1 0 𝐷2 ̅̅̅
𝐷2

0 0 1 1 𝐷3 ̅̅̅
𝐷3

0 1 0 0 𝐷4 ̅̅̅
𝐷4

0 1 0 1 𝐷5 ̅̅̅
𝐷5

0 1 1 0 𝐷6 ̅̅̅
𝐷6

0 1 1 1 𝐷7 ̅̅̅
𝐷7

Để khảo sát hoạt động của IC ở board CLF, nối ngõ vào của IC với SW trên board DI, nối ngõ ra
với đèn LED trên board DO. Sinh viên tiến hành khảo sát theo nguyên tắc:

- Mức 1 ở ngõ vào – SW được gạt lên, mức 0 ở ngõ vào – SW được gạt xuống.

- LED sáng – ngõ ra mức 1, LED tắt – ngõ ra mức 0.

Với ̅̅̅̅
𝐸𝑁 = 1, kiểm tra ngõ ra có phụ thuộc vào các giá trị ngõ vào 𝐷𝑖 hay không.

Với ̅̅̅̅
𝐸𝑁 = 0, kiểm tra sự phụ thuộc của ngõ ra vào các giá trị ngõ vào 𝐷𝑖 .

Department of Electronics Page | 2


Digital System Design Laboratory
TNKTS - BÀI 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Kiểm tra:

➢ Sinh viên tiến hành khảo sát hết các cổng có trên board CLF và điền kết quả vào Bảng 1.

Bảng 1: Kết quả khảo sát các cổng trên board CLF

Chức năng Mã IC Mô tả HĐ (Đ/S) Ghi chú

74LS138

74LS148

74LS151

74LS47

74LS85

74LS283

Department of Electronics Page | 3


Digital System Design Laboratory
TNKTS - BÀI 2 – CÁC IC CHỨC NĂNG CƠ BẢN

THÍ NGHIỆM 2

Mục tiêu: Nắm được cách thức sử dụng các IC chức năng để thực hiện hàm boolean.

Yêu cầu: Sinh viên thực hiện thiết kế hàm boolean 𝑓(𝑥, 𝑦, 𝑧) = ∑(2,3,5,7) sử dụng IC chức năng
74LS151.

Kiểm tra:

➢ Sinh viên tiến hành vẽ lại mạch thiết kế hàm boolean đã cho ở Hình 2.

➢ Sinh viên tiến hành lắp mạch và khảo sát hoạt động của hàm, ghi kết quả Bảng 2.

x y z f fTest

0 0 0

0 0 1

0 1 0

0 1 1

1 0 0

1 0 1

1 1 0

1 1 1

Bảng 2: Kết quả khảo sát hoạt động của hàm boolean Hình 2: Mạch mô tả hàm boolean

➢ Sinh viên thực hiện thiết kế lại mạch thực hiện hàm boolean 4 biến sau:

𝑓(𝑥, 𝑦, 𝑧, 𝑤) = ∑(2,6,8,9,11,13)

➢ Sinh viên tiến hành vẽ lại mạch thiết kế và lắp lại mạch kiểm tra.

Department of Electronics Page | 4


Digital System Design Laboratory
TNKTS - BÀI 2 – CÁC IC CHỨC NĂNG CƠ BẢN

THÍ NGHIỆM 3

Mục tiêu: Nắm được cách thức sử dụng các IC chức năng để thực hiện hàm boolean.

Yêu cầu: Sinh viên thực hiện thiết kế hàm boolean 𝑓(𝑥, 𝑦, 𝑧) = ∑(0,3,4,7) sử dụng IC chức năng
74LS138.

Kiểm tra:

➢ Sinh viên tiến hành vẽ lại mạch thiết kế hàm boolean đã cho ở Hình 3.

➢ Sinh viên tiến hành lắp mạch và khảo sát hoạt động của hàm, ghi kết quả Bảng 3.

x y z f fTest

0 0 0

0 0 1

0 1 0

0 1 1

1 0 0

1 0 1

1 1 0

1 1 1

Bảng 3: Kết quả khảo sát hoạt động của hàm boolean Hình 3: Mạch mô tả hàm boolean

➢ Mạch được thiết kế có hoạt động đúng hay không?

➢ Trong mạch trên sử dụng cổng NAND mấy ngõ vào? Làm sao để thực hiện trên board?

Department of Electronics Page | 5


Digital System Design Laboratory
TNKTS - BÀI 2 – CÁC IC CHỨC NĂNG CƠ BẢN

PHẦN LÀM TRÊN KIT DE2

THÍ NGHIỆM 4

Mục tiêu: Nắm được cách mô tả hoạt động của các IC chức năng cơ bản sử dụng FPGA trên DE2.

Yêu cầu: Sinh viên mô tả lại IC 74LS138 bằng VHDL và đổ chương trình xuống kit DE2 để kiểm
tra hoạt động.
Gán chân theo mẫu sau:
Chân A được nối với SW10
Chân B được nối với SW11
Chân C được nối với SW12
Chân D0 đến D7 được nối với SW0 đến SW7
Chân EN được nối với SW15
Chân Y và /Y được nối với LED0 và LED1
Thiết kế:

➢ Sơ đồ khối của thiết kế.

Sơ đồ khối của thiết kế

Department of Electronics Page | 6


Digital System Design Laboratory
TNKTS - BÀI 2 – CÁC IC CHỨC NĂNG CƠ BẢN

➢ Chương trình mô tả hoạt động của thiết kế.

➢ Kết quả mô phỏng dạng sóng.

Department of Electronics Page | 7


Digital System Design Laboratory
TNKTS - BÀI 2 – CÁC IC CHỨC NĂNG CƠ BẢN

➢ Kết quả RTL viewer.

Department of Electronics Page | 8


Digital System Design Laboratory
TNKTS - BÀI 2 – CÁC IC CHỨC NĂNG CƠ BẢN

THÍ NGHIỆM 5

Mục tiêu: Nắm được cách mô tả hoạt động của các IC chức năng cơ bản sử dụng FPGA trên DE2.

Yêu cầu: Sinh viên mô tả lại IC 74LS151 bằng VHDL và đổ chương trình xuống kit DE2 để kiểm
tra hoạt động.
Gán chân theo mẫu sau:
Chân A được nối với SW0
Chân B được nối với SW1
Chân C được nối với SW2
Chân G1 được nối với SW13
Chân G2A được nối với SW14
Chân G2B được nối với SW15
Chân Y0 đến Y7 được nối với LED0 đến LED 7

Thiết kế:

➢ Sơ đồ khối và sơ đồ mức cổng của thiết kế.

Sơ đồ khối của thiết kế

Department of Electronics Page | 9


Digital System Design Laboratory
TNKTS - BÀI 2 – CÁC IC CHỨC NĂNG CƠ BẢN

➢ Chương trình mô tả hoạt động của thiết kế.

➢ Kết quả mô phỏng dạng sóng.

Department of Electronics Page | 10


Digital System Design Laboratory
TNKTS - BÀI 2 – CÁC IC CHỨC NĂNG CƠ BẢN

➢ Kết quả RTL viewer.

Department of Electronics Page | 11


Digital System Design Laboratory

You might also like