You are on page 1of 43

ĐẠI HỌC QUỐC GIA TPHCM TRƯỜNG

ĐẠI HỌC BÁCH KHOA




KHOA ĐIỆN - ĐIỆN TỬ

BÁO CÁO THÍ NGHIỆM KĨ


THUẬT SỐ
GVHD: Trịnh Vũ Đăng Nguyên
Nhóm 4
Thành viên nhóm:Trần Văn Vương Linh 2011538
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

BÀI THÍ NGHIỆM 2


CÁC IC CHỨC NĂNG CƠ BẢN
MỤC TIÊU

 Nắm được cách sử dụng kit thí nghiệm, phần mềm lập trình.
 Nắm được cách khảo sát và thiết kế hàm boolean sử dụng các IC chức năng cơ bản.
 Nắm được quy trình mô tả phần cứng trên FPGA.

CHUẨN BỊ

 Đọc phần phụ lục Thí nghiệm 2 và Bài thí nghiệm 0 của tài liệu
hướng dẫn phần Thí nghiệm trên kit DE2.

PHẦN LÀM TRÊN DIGIB

THÍ NGHIỆM 1

Mục tiêu: Nắm được hoạt động của các IC chức năng cơ bản 74LS138, 74LS148,
74LS151, 74LS47, 74LS85, 74LS283.

Yêu cầu: Sinh viên ghi lại các bảng chân trị của các IC chức năng đã học trong lí
thuyết và kiểm chứng lại thực tế hoạt động của các IC.

Lưu ý: Khi khảo sát, chú ý mức tích cực của các chân ở ngõ ra và ngõ vào.
Hướng dẫn: Ví dụ về khảo sát 74LS151
74LS151
Input Output
EN Y có bảng
𝑺𝟐 𝑺𝟏 𝑺𝟎 Y
chân trị
1 x x x 1 0

0 0 0 0 𝐷0 D0

0 0 0 1 𝐷1 D1

0 0 1 0 𝐷2 D2

0 0 1 1 𝐷3 D3

0 1 0 0 𝐷4 D4

0 1 0 1 𝐷5 D5

0 1 1 0 𝐷6 D6
Bộ Môn Điện Tử Page | 1
0 Nghiệm
Thí 1 Kỹ1 Thuật
1 Số𝐷7 D7
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Để khảo sát hoạt động của IC ở board CLF, nối ngõ vào của IC với SW trên board DI, nối ngõ ra
với đèn LED trên board DO. Sinh viên tiến hành khảo sát theo nguyên tắc:

- Mức 1 ở ngõ vào – SW được gạt lên, mức 0 ở ngõ vào – SW được gạt xuống.

- LED sáng – ngõ ra mức 1, LED tắt – ngõ ra mức 0.

Với EN = 1, kiểm tra ngõ ra có phụ thuộc vào các giá trị ngõ vào 𝐷𝑖 hay không.

Với EN = 0, kiểm tra sự phụ thuộc của ngõ ra vào các giá trị ngõ vào 𝐷𝑖.

1. SƠ ĐỒ CHÂN VÀ BẢNG CHÂN TRỊ CỦA IC 74LS138


Decoder 3 to 8

Hình 1: Sơ đồ chân của 74LS138

Bảng 1: Bảng chân trị của 74LS138


Lưu ý: Trên board CLF:

Chân E3 là G1, chân và là G2A và G2B.


Chân A0 tới A2 lần lượt là chân A, B, C.

Bộ Môn Điện Tử Page | 2


Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Ngõ ra tới lần lượt là tới .


2. SƠ ĐỒ CHÂN VÀ BẢNG CHÂN TRỊ CỦA IC 74LS148
Encoder Priority 8 to 3

Bảng 2: Bảng hoạt động của 74LS148 Hình 2: Sơ đồ chân của 74LS148
Lưu ý: Trên board CLF:

Ngõ vào 0 tới 7 lần lượt là tới .

Ngõ ra tới lần lượt là tới .


Các chân còn lại giống trên kit.

3. SƠ ĐỒ CHÂN VÀ BẢNG CHÂN TRỊ CỦA IC 74LS151


Multiplexer 8 to 1

Bảng 3: Bảng hoạt động của 74LS151 Hình 3: Sơ đồ chân của 74LS151
Lưu ý: Trên board CLF:

Ngõ vào tới lần lượt là tới .

Ngõ vào , và lần lượt là C, B và A.

Bộ Môn Điện Tử Page | 3


Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Ngõ ra Z và lần lượt là Y và .


Các chân còn lại giống trên kit.

4. SƠ ĐỒ CHÂN VÀ BẢNG CHÂN TRỊ CỦA IC 74LS47


BCD to 7-Segment Decoder

Hình 4.1: Sơ đồ chân của 74LS47

Hình 4.2: Sơ đồ nối chân hoạt động của của 74LS47 (nguồn: Internet)

Bộ Môn Điện Tử Page | 4


Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Bảng 4: Bảng chân trị của 74LS47


Lưu ý: Trên board CLF, các chân được vẽ giống với hình và bảng chân trị

5. SƠ ĐỒ CHÂN VÀ BẢNG CHÂN TRỊ CỦA IC 74LS85


4 bit comparator

Hình 5: Sơ đồ chân của 74LS85

Bộ Môn Điện Tử Page | 5


Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Bảng 5: Bảng chân trị của 74LS85


Lưu ý: Trên board CLF, các chân được vẽ giống với hình và bảng chân trị

6. SƠ ĐỒ CHÂN VÀ BẢNG CHÂN TRỊ CỦA IC 74LS283


4 bit Binary Adder with Fast Carry

Hình 6: Sơ đồ chân của 74LS283

Bộ Môn Điện Tử Page | 6


Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Bảng 6: Bảng chân trị của 74LS283


Lưu ý 1: Trên board CLF:

Ngõ vào C0 là Ci, ngõ ra C4 là Co, ngõ ra tới lần lượt là tới .
Các chân còn lại giống trên kit.
Lưu ý 2: Cờ C2 trong bảng chân trị được dùng khi xét từng cặp 2 bit ngõ vào:

- Khi ngõ vào là A1, B1, A2, B2 và cờ nhớ là Co thì kết quả là , và cờ nhớ là C2.

- Khi ngõ vào là A3, B3, A4, B4 và cờ nhớ là C2 thì kết quả là , và cờ nhớ là C4.

Kiểm tra:

 Sinh viên tiến hành khảo sát hết các cổng có trên board CLF và điền kết quả vào Bảng 1.

Bảng 1: Kết quả khảo sát các cổng trên board


CLF

Chứ c năng Mã IC Mô tả HĐ (Đ/S) Ghi chú


Bộ giả i mã 3 sang 8 ngõ 74LS138 Đú ng
ra tích cự c thấ p
Mã hó a ưu tiên 8 sang 3 74LS148 Đú ng

Chọ n 1 ngõ ra trong 8 74LS151 Đú ng


ngõ và o
Giả i mã BCD sang LED 7 74LS47 Đú ng
đoạ n
So sá nh 74LS85 Đú ng

Bộ cộ ng nhị phâ n song 74LS283 Đú ng

Bộ Môn Điện Tử Page | 7


Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

song

THÍ NGHIỆM 2

Mục tiêu: Nắm được cách thức sử dụng các IC chức năng để thực hiện hàm boolean.

Yêu cầu: Sinh viên thực hiện thiết kế hàm boolean 𝑓(𝑥, 𝑦, 𝑧) = ∑(2,3,5,7) sử dụng IC chức năng
74LS151.

Kiểm tra:

 Sinh viên tiến hành vẽ lại mạch thiết kế hàm boolean đã cho ở Hình 2.

 Sinh viên tiến hành lắp mạch và khảo sát hoạt động của hàm, ghi kết quả Bảng 2.

Bộ Môn Điện Tử Page | 8


Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Bảng 2: Kết quả khảo sát hoạt động của hàm boolean

x y z f fTest

0 0 0 0 0

0 0 1 0 0

0 1 0 1 1

0 1 1 1 1

1 0 0 0 0

1 0 1 1 1

1 1 0 0 0

1 1 1 1 1

Hình 2: Mạch mô tả hàm boolean

Bộ Môn Điện Tử Page | 9


Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

 Sinh viên thực hiện thiết kế lại mạch thực hiện hàm boolean 4 biến sau:

𝑓(𝑥, 𝑦, 𝑧, 𝑤) = ∑(2,6,8,9,11,13)

 Sinh viên tiến hành vẽ lại mạch thiết kế và lắp lại mạch kiểm tra.

Bộ Môn Điện Tử Page |


10
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

THÍ NGHIỆM 3

Mục tiêu: Nắm được cách thức sử dụng các IC chức năng để thực hiện hàm boolean.

Yêu cầu: Sinh viên thực hiện thiết kế hàm boolean 𝑓(𝑥, 𝑦, 𝑧) = ∑(0,3,4,7) sử dụng IC chức năng 74LS138.

Kiểm tra:

 Sinh viên tiến hành vẽ lại mạch thiết kế hàm boolean đã cho ở Hình 3.

Sinh viên tiến hành lắp mạch và khảo sát hoạt động của hàm, ghi kết quả Bảng 3
Bảng 3: Kết quả khảo sát hoạt động của hàm boolean

x y z f fTest Hình 3: Mạch mô tả hàm boolean

0 0 0 0 0

0 0 1 0 0

0 1 0 1 1

0 1 1 1 1

1 0 0 0 0

1 0 1 1 1

1 1 0 0 0

1 1 1 1 1

 Mạch được thiết kế có hoạt động đúng hay không?

- Đúng

 Trong mạch trên sử dụng cổng NAND mấy ngõ vào? Làm sao để thực hiện trên board?
- Mạch trên sử dụng cổng NAND 4 ngõ vào. Để thực hiện trên board ta lần lượt dùng 2 cổng
NAND2 cho Y0 với Y3 và Y4 với Y7 sau đó dùng cổng NAND2 cho ngõ ra của 2 cổng NAND2
trước

Bộ Môn Điện Tử Page |


11
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

PHẦN LÀM TRÊN KIT DE2

THÍ NGHIỆM 4

Mục tiêu: Nắm được cách mô tả hoạt động của các IC chức năng cơ bản sử dụng FPGA trên DE2.

Yêu cầu: Sinh viên mô tả lại IC 74LS151 bằng VHDL và đổ chương trình xuống kit DE2 để kiểm
tra hoạt động.
Gán chân theo mẫu sau:
Chân A được nối với SW10
Chân B được nối với SW11
Chân C được nối với SW12
Chân D0 đến D7 được nối với SW0 đến SW7
Chân EN được nối với SW15
Chân Y và /Y được nối với LED0 và LED1
Thiết kế:

 Sơ đồ khối của thiết kế.

EN A B C

Y
D0 D1 D2 D3 D4 D5 D6 D7

Sơ đồ khối của thiết kế

Bộ Môn Điện Tử Page |


12
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

 Chương trình mô tả hoạt động của thiết kế.


library ieee;
use ieee.std_logic_1164.all;
entity ic74ls151 is
port (A,B,C,not_EN: in std_logic;
D: in std_logic_vector (7 downto 0);
Y,not_Y: out std_logic);
end ic74ls151;
architecture behavior of ic74ls151 is
signal data: std_logic_vector (2 downto 0);
begin
data <= C & B & A;
process (data,not_EN,D)
begin
if not_EN = '0' then case data is
when "000" => Y <= D(0);
not_Y <= not D(0);
when "001" => Y <= D(1);
not_Y <= not D(1);
when "010" => Y <= D(2);
not_Y <= not D(2);
when "011" => Y <= D(3);
not_Y <= not D(3);
when "100" => Y <= D(4);
not_Y <= not D(4);
when "101" => Y <= D(5);
not_Y <= not D(5);
when "110" => Y <= D(6);
not_Y <= not D(6);
when "111" => Y <= D(7);
not_Y <= not D(7);
end case;
else Y <= '0'; not_Y <= '1';
end if;
end process;
end behavior;

Bộ Môn Điện Tử Page |


13
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

 Kết quả mô phỏng dạng sóng.

Bộ Môn Điện Tử Page |


14
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Kết quả RTL viewer.

Bộ Môn Điện Tử Page |


15
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

THÍ NGHIỆM 5

Mục tiêu: Nắm được cách mô tả hoạt động của các IC chức năng cơ bản sử dụng FPGA trên DE2.

Yêu cầu: Sinh viên mô tả lại IC 74LS138 bằng VHDL và đổ chương trình xuống kit DE2 để kiểm tra
hoạt động.
Gán chân theo mẫu sau:
Chân A được nối với SW0
Chân B được nối với SW1
Chân C được nối với SW2
Chân G1 được nối với SW13
Chân G2A được nối với SW14
Chân G2B được nối với SW15
Chân Y0 đến Y7 được nối với LED0 đến LED 7

Thiết kế:

 Sơ đồ khối và sơ đồ mức cổng của thiết kế.

AB Y0

Bộ Môn Điện Tử Page |


16
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

C Y1

Y2
Sơ đồ khối của thiết kế
Y3

Y4
G1
G2A Y5
G2B
Y6

Y7

Bộ Môn Điện Tử Page |


17
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

 Chương trình mô tả hoạt động của thiết kế.


library ieee;
use ieee.std_logic_1164.all; entity decoder3to8 is
port (B,C,A: in std_logic;
G1, G2A, G2B: in std_logic;
Y: out std_logic_vector (7 downto 0)
);
end decoder3to8;
architecture dataflow of decoder3to8 is signal data: std_logic_vector (2 downto 0);
signal temp: std_logic_vector (7 downto 0); begin
data <= C & B & A; with data select
temp <= "11111110" when "000",
"11111101" when "001",
"11111011" when "010",
"11110111" when "011",
"11101111" when "100",
"11011111" when "101",
"10111111" when "110",
"01111111" when "111",
"11111111" when others;
Y <= temp when (G1 and not G2A and not G2B) = '1' else "11111111";
end dataflow;

Bộ Môn Điện Tử Page |


18
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

 Kết quả mô phỏng dạng sóng.

Bộ Môn Điện Tử Page |


19
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Kết quả RTL viewer.

Bộ Môn Điện Tử Page |


20
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Bộ Môn Điện Tử Page |


21
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

BÀI THÍ NGHIỆM 3


THIẾT KẾ HỆ TỔ HỢP
MỤC TIÊU
 Nắm được cách sử dụng kit thí nghiệm, phần mềm lập trình.
 Nắm được cách khảo sát và thiết kế hệ tổ hợp.
 Nắm được quy trình mô tả phần cứng trên FPGA.

CHUẨN BỊ
 Đọc phần phụ lục Thí nghiệm 2 và Bài thí nghiệm 0 của tài liệu hướng dẫn phần
Thí nghiệm trên kit DE2.

PHẦN LÀM TRÊN DIGIB

THÍ NGHIỆM 1

Mục tiêu: Nắm được cách sử dụng các IC chức năng cơ bản để thực hiện thiết kế hệ tổ hợp.

Yêu cầu: Sinh viên thiết kế mạch trừ hai số nhị phân 4 bit sử dụng IC 74LS283. Sau đó, tiến hành lắp
mạch và kiểm chứng lại thực tế hoạt động của mạch.
Kiểm tra:

 Sinh viên vẽ kết quả thiết kế vào Hình 1.

Hình 1: Mạch thực hiện trừ 2 số 4 bit sử dụng 74LS283

Bộ Môn Điện Tử Page |


22
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Bộ Môn Điện Tử Page |


23
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

 Sinh viên cho biết mạch hoạt động có đúng không? Đúng

 Cờ nhớ ở ngõ ra Co = 1 khi nào?

- Co = 1 khi A+ bù 2 của B vượt quá 4 bit

THÍ NGHIỆM 2

Mục tiêu: Nắm được cách sử dụng các IC chức năng cơ bản để thực hiện thiết kế hệ tổ hợp.

Yêu cầu: Sinh viên thiết kế mạch có các ngõ vào S (1bit), A (4bit) và B (4bit) thực hiện chức năng:

- Khi S = 0, mạch thực hiện A + B

- Khi S = 1, mạch thực hiện A – B

Với yêu cầu sử dụng IC 74LS283. Sau khi thiết kế, sinh viên tiến hành lắp mạch và kiểm chứng
lại thực tế hoạt động của mạch.
Kiểm tra:

 Sinh viên vẽ kết quả thiết kế vào Hình 2.

Hình 2: Mạch thực hiện yêu cầu thí nghiệm 2

Bộ Môn Điện Tử Page |


24
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

THÍ NGHIỆM 3

Mục tiêu: Nắm được cách sử dụng các IC chức năng cơ bản để thực hiện thiết kế hệ tổ hợp.

Yêu cầu: Sinh viên thiết kế mạch thực hiện tính giá trị tuyệt đối của số nhị phân có dấu 4 bit, kết
quả hiển thị lên LED 7 đoạn.
Kiểm tra:

 Sinh viên trình bày nguyên lý của mạch tính giá trị tuyệt đối được thiết kế
- Khi S=1 nghĩa là số cho vào là số âm ta lấy bù 2 số đó
- Khi S=0 nghĩa là sô cho vào là số Dương ta giữ nguyên số đó
 Sinh viên liệt kê tên IC sử dụng trong thiết kế: IC74LS283, IC74LS47

 Sinh viên vẽ kết quả thiết kế vào Hình 3.

Hình 3: Mạch thực hiện yêu cầu thí nghiệm 2

Bộ Môn Điện Tử Page |


25
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

PHẦN LÀM TRÊN KIT DE2

THÍ NGHIỆM 4

Mục tiêu: Na: m đử ở 2 c ca: ch mổ + ta/ hổ a2 t đổ +2 ng ha`m bổổlean dụ`ng ca: c IC chử : c
naF ng cở ba/ n sử / dụ 2 ng FPGA t r e+ n kit DE2.
Yêu cầu: Sinh v i e+ n thie;t ke; ma2 ch t h ử 2 c hie+2 n ha`m bổ ổ lean ƒ(x, y, z) = ∑(0,3,4,7)
sử / dụ 2 ng IC 74LS138. Saụ đổ: , sinh vi e+ n tie; n ha`nh mổ + t a/ ma2 ch baR ng VHDL va`
đổ 6 chử ở ng trì`nh xụổ; ng kit DE2 đe6 k i e6 m tra h ổ a2 t đổ +2 ng.
Gán chân theo quy ước sau:
Cha+ n x đ ử ở 2 c nổ; i vở : i
SW0 C h a+ n y đ ử ở 2 c nổ; i vở : i SW1 C h a+ n z đ ử ở 2 c nổ ; i vở : i SW2

x
Thiết kế: y
Sở đổ, khổ; i va` sở đổ, mử : c cổ 6 ng cụ / a thie; t ke; . f
z

Bộ Môn Điện Tử Page |


26
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Sơ đồ khối của thiết kế

Ngõ ra được nối với LED0

Sơ đồ mức cổng của thiết kế

Bộ Môn Điện Tử Page |


27
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

 Chương trình mô tả hoạt động của thiết kế.


library ieee;
use ieee.std_logic_1164.all;
entity tn4c2 is
port
(x,y,z,e1,e2a,e2b: in std_logic;
f : out std_logic
);
end tn4c2;
architecture behavior of tn4c2 is
signal s: std_logic_vector (7 downto 0);
signal data: std_logic_vector (2 downto 0);
signal en: std_logic;
begin
data <= x & y & z;
en <= e1 and not e2a and not e2b;
process (data,en)
begin
s <= "11111111";
if (en = '1') then
case data is when "000" => s(0) <= '0';
when "001" => s(1) <= '0';
when "010" => s(2) <= '0';
when "011" => s(3) <= '0';
when "100" => s(4) <= '0';
when "101" => s(5) <= '0';
when "110" => s(6) <= '0';
when "111" => s(7) <= '0';
end case;
end if;
f <= not s(0) or not s(3) or not s(4) or not s(7);
end process;
end behavior;

Bộ Môn Điện Tử Page |


28
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

 Kết quả mô phỏng dạng sóng.

Bộ Môn Điện Tử Page |


29
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Kết quả RTL viewer.

Bộ Môn Điện Tử Page |


30
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

THÍ
NGHIỆM 5

Mục tiêu: Nắm được cách mô tả hoạt động hàm boolean dùng các IC chức năng cơ bản sử dụng
FPGA trên kit DE2

Yêu cầu: Sinh viên mô tả lại mạch ở thí nghiệm 1 bằng VHDL và đổ chương trình xuống kit
DE2 để kiểm tra hoạt động.
Gán chân theo quy ước sau:
Ngõ vào A3-A0 được nối với SW3 đến SW0

Bộ Môn Điện Tử Page |


31
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Ngõ vào B3-B0 được nối với SW7 đến SW4


Ngõ ra D3-D0 được nối với LED3 đến LED0
Thiết kế:
 Sơ đồ khối của thiết kế.

Sơ đồ khối của thiết kế

Bộ Môn Điện Tử Page |


32
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

 Chương trình mô tả hoạt động của thiết kế.

library ieee;
use ieee.std_logic_1164.all;
use
ieee.std_logic_signed.all;
entity tn5 is
port
(a,b: in std_logic_vector (3 downto 0);
d: out std_logic_vector (3 downto 0);
cout: out std_logic
);
end tn5;
architecture behavior of tn5 is
signal dif: std_logic_vector (4 downto 0);
begin
dif <= ('0' & a) + (not b + 1);
d <= dif (3 downto 0);
cout <= dif(4);
end behavior
 Kết quả mô phỏng dạng sóng.

Bộ Môn Điện Tử Page |


33
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Kết quả RTL viewer.

Bộ Môn Điện Tử Page |


34
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

BÀI THÍ NGHIỆM 4


THIẾT KẾ HỆ TUẦN TỰ CƠ BẢN
MỤC TIÊU
 Nắm được cách sử dụng kit thí nghiệm, phần mềm lập trình.
 Nắm được cách khảo sát và thiết kế hệ mạch đếm sử dụng các IC chức năng cơ bản.
 Nắm được quy trình mô tả phần cứng trên FPGA.

CHUẨN BỊ
 Đọc phụ lục bài thí nghiệm 4 và Bài thí nghiệm 0 của tài liệu hướng dẫn phần Thí
nghiệm trên kit DE2.

Bộ Môn Điện Tử Page |


35
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

PHẦN LÀM TRÊN DIGIB

THÍ NGHIỆM 1

Mục tiêu: Nắm được hoạt động của các IC chức năng cơ bản 74LS74, 74LS112.

Yêu cầu: Sinh viên ghi lại các bảng chân trị của các IC chức năng đã học trong lí thuyết và
kiểm chứng lại thực tế hoạt động của các IC.

Lưu ý: Khi khảo sát, chú ý mức tích cực của các chân ở ngõ ra và ngõ vào.

Hướng dẫn: Ví dụ về khảo sát 74LS74

74LS74 gồm 2 D-FF có bảng hoạt động

Input Output

/Pre /Clr CLK D Q /Q

0 1 x x 1 0

1 0 x x 0 1

0 0 x x 1 1

1 1 0 0 1

1 1 1 1 0

0, 1, x Q /Q
1 1

Để khảo sát hoạt động của IC, nối ngõ vào của IC với SW trên board DI, riêng chân CLK được
nối với nguồn tạo xung (hoặc SW để tạo xung), nối ngõ ra với đèn LED trên board DO. Sinh
viên tiến hành khảo sát theo nguyên tắc:

- Mức 1 ở ngõ vào – SW được gạt lên, mức 0 ở ngõ vào – SW được gạt xuống.

- LED sáng – ngõ ra mức 1, LED tắt – ngõ ra mức 0.

Khi chân /Pre hoặc /Clr được tích cực, ngõ ra luôn được giữ không đổi bất chấp sự thay đổi của
chân CLK và D.

Bộ Môn Điện Tử Page |


36
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Khi chân /Pre hoặc /Clr không được tích cực, ngõ ra Q nhận giá trị của D khi có cạnh lên tại
CLK.

INPUT OUTPUT

PR´ CL´ CLK J K Q


+¿¿
Q´ ¿
+ ¿

E R
0 1 X X X 1 0
1 0 X X X 0 1
0 0 X X X 1
¿
1
¿

1 1 0 0 Q0 Q´ 0
1 1 0 1 0 1
1 1 1 0 1 0
1 1 1 1 Q´ 0 Q0
1 1 0,1, X X Q0 Q´ 0

Bộ Môn Điện Tử Page |


37
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Kiểm tra:

 Sinh viên tiến hành khảo sát hết các cổng có trên board SD và điền kết quả vào Bảng 1.

Bảng 1: Kết quả khảo sát các cổng trên board SD

Chức năng Mã IC Mô tả HĐ (Đ/S) Ghi chú

Bộ đếm 74LS47 Đúng

Bộ đếm 74LS112 Đúng

Bộ Môn Điện Tử Page |


38
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

THÍ NGHIỆM 2

Mục tiêu: Na: m đ ử ở 2 c ca: ch thử : c sử / dụ 2 ng ca: c IC chử : c naF ng đe6 t h ử 2 c hie+2 n ma2 ch đe; m nổ; i
tie; p.

Yêu cầu: Sinh vie+ n t h ử 2 c hie+2 n thie; t ke; ma2 ch đe; m tử ` le+n tử ` 0  7 sử / dụ 2 ng D-
FF, ke; t qụ a/ qụan sa: t cổ : t h e6 sử / dụ 2 ng LED đở n hổ aF2 c LED 7 đ ổ a2 n (dụ `ng IC
74LS47).
Kie6m tra:

 Sinh v i e+ n tie; n ha`nh ve3 la2 i ma2 ch thie; t ke; ha`m bổổlean đa3 chổ ở/ Hình 2.

Hình 2: Mạch thực hiện đếm lên từ 0 -> 7 sử dụng D-FF

 Sinh viên tiến hành lắp mạch và khảo sát hoạt động của hàm.

 Sinh viên thực hiện thiết kế lại mạch thực hiện đếm lên từ 2  6 và vẽ vào Hình 3. Sau
đó tiến hành lắp mạch và khảo sát hoạt động.

Q2 Q1 Q0 Z
0 1 0 1
0 1 1 1
1 0 0 1
1 0 1 1
1 1 0 1
1 1 1 0

Z=Q 2. Q 1. Q 0

Hình 3: Mạch thực hiện đếm lên từ 2  6 sử dụng D-FF

Bộ Môn Điện Tử Page |


39
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Bộ Môn Điện Tử Page |


40
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

THÍ NGHIỆM 3

Mục tiêu: Na: m đ ử ở 2 c ca: ch thử : c sử / dụ 2 ng ca: c IC chử : c naF ng đe6 t h ử 2 c hie+2 n ma2
ch đe; m nổ; i tie; p.

Yêu cầu: Sinh vie+ n t h ử 2 c hie+2 n thie; t ke; ma2 ch xụổ; ng tử ` le+n tử ` 7 -> 2 sử / dụ 2 ng
JK-FF, ke;t qụa qụ an sa: t cổ : t h e6 sử / dụ 2 ng LED đở n hổ aF2 c LED 7 đ ổ a2 n (dụ `ng IC
74LS47).
Kie6m tra:

 Sinh v i e+ n tie; n ha`nh ve3 la2 i ma2 ch thie; t ke; ha`m bổổlean đa3 chổ ở/ Hình 4.

Q2 Q1 Q0 Z
1 1 1 1
1 1 0 1
1 0 1 1
1 0 0 1
0 1 1 1
0 1 0 1
0 0 1 0

Z=Q2+Q1
Hình 4: Mạch thực hiện đếm xuống từ 7 -> 2 sử dụng JK-FF

 Sinh viên tiến hành lắp mạch và khảo sát hoạt động của hàm.

BộMôn
SinhĐiện
viênTử
thực hiện thiết kế lại mạch thực hiện đếm xuống số chẵn từ 6  0 và vẽPage
vào |
41
Thí Nghiệm Kỹ Thuật Số
BÀI THÍ NGHIỆM 2 – CÁC IC CHỨC NĂNG CƠ BẢN

Hình 4. Sau đó tiến hành lắp mạch và khảo sát hoạt động.
T/t hiện tại T/t kế tiếp Các ngõ vào
Q2 Q1 Q0 Q2+ Q1+ Q0+ J2 K2 J1 K1 J0 K0
0 0 0 1 1 0 1 X 1 X 0 X
0 0 1 0 0 0 0 X 0 X X 1
0 1 0 0 0 0 0 X X 1 0 X
0 1 1 0 1 0 0 X X 0 X 1
1 0 0 0 1 0 X 1 1 X 0 X
1 0 1 1 0 0 X 0 0 X X 1
1 1 0 1 0 0 X 0 X 1 0 X
1 1 1 1 1 0 X 0 X 0 X 1
J2=K2=Q 1. Q 0 ; J1=K1=Q 0 ; J0=0 ; K0=1

Hình 3: Mạch thực hiện đếm xuống chẵn từ 6  0 sử dụng JK-FF

Bộ Môn Điện Tử Page |


42
Thí Nghiệm Kỹ Thuật Số

You might also like