Professional Documents
Culture Documents
VHDL 14 Ejer
VHDL 14 Ejer
library ieee;
use ieee.std_logic_1164.all;
entity shiftregister is
port(
Clk, D, Reset, Enable: in std_logic;
Q : out std_logic_vector(7 downto 0 ) );
end shiftregister;