You are on page 1of 132

Vol. 64 • No.

11 November 2021

MVP
Anritsu
Atomic Clock
Signal Generator

Founded in 1958

mwjournal.com
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
Reduce Design
Complexity
and R&D Cost
Analog Devices has the broadest performance driven portfolio of RF and microwave
technology in the industry—with component and subsystem designs for numerous
application requirements and access to multiple process technologies, we deliver
y
the best & most complete signal chain solutions in the industry.
Up/Down-
converters
LNA LNA Gain Block

IF/
Baseband

Beam- Attenuators SW Tunable


formers Filters

Power/Phase PA Frequency
Detectors Generation

Power Seamless Largest Superior


Efficient Integration Portfolio Performance

Find your solution at analog.com/RFMW


Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
Designed For
5G MIMO Active Antenna!!!
The World First SMT Microstrip Patented
Isolator/Circulator at 28GHz & 38GHz

- No Metal Bias Needed


- Easy Reflow Assembly
- No Expensive Wire
Bonding

- 200kpcs Sold For


Similar Array
Application
-Proven Technology

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
F

R

DC TO 65 GHZ
A

RF & Microwave •

Test Solutions
Get More Out of Your Test Setup
F
Software Controlled Building •
Blocks and RF Interface Units Custom Test Systems Test Accessories

Switching, attenuation, distribution, signal source, amplification, sensing, measurement and more
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
Flexible
• Wide selection of components in
stock from DC to 67 GHz
• Start small and expand and reconfigure
as your needs change
• Use our software or yours. User-friendly
GUI included or develop your own
software with LabVIEW®, MatLab®,
Python®, C#, C++ or VB.

Reliable
• All components and assembled systems
fully tested and characterized in-house
• 50+ years quality, manufacturing
and supply chain expertise

Affordable
• High-performance without breaking
the bank
• Get more functionality and capacity
without heavy investment in additional
high-end instrumentation

Fast
• Wide selection of solutions in stock
for immediate shipment
• Modular systems allow quick, user-
defined hardware configuration
• Industry’s fastest turnaround times
on custom systems

e
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
Planar Monolithics Industries
Broadband Low Noise Amplifiers
PMI offers a wide range of Broadband Low Noise Amplifiers with the industry’s
lowest Noise figure up to 50 GHz. These LNA’s offer internal voltage regula-
tion, internal reverse voltage protection, 2-IN-1 dual use connectorized or
surface mount designs, and unconditional stability. More available at:
https://www.pmi-rf.com/categories/amplifiers
Amplifiers - Solid State
Attenuators - Variable/
Programmable
Bi-Phase Modulators
Couplers (Quadrature, 180,
Directional)
Detectors - RF / Microwave PLNA-30-10M20-292FF PEAFS3-14-10M22G-292FF LNA-0R518G-45-10DBM-SFF

DLVAs, ERDLVAs & PMI Model No. Frequency


Gain
Gain Noise
OP1dB
Configuration
SDLVAs Range
(GHz)
(dB)
Flatness Figure
(dB) (dB)
(dBm)
Size (Inches)
Connectors
Filters & Switched Filter
Banks 0.53” x 0.70” x 0.26”
PEAFS3-14-10M22G-292FF +14 (0.01 - 18 GHz)
0.01 - 22 14 ±0.8 2.5 2.92mm (F)
+13 (18 - 22 GHz)
Form, Fit, Functional Removable
Products & Services
0.53” x 0.70” x 0.26”
+14 (0.01 - 18 GHz)
Frequency Converters PLNA-30-10M20-292FF 0.01 - 20 28 ±2.5 2.5
+13 (18 - 20 GHz)
2.92mm (F)
Removable
Frequency Sources
0.90” x 1.67” x 0.36”
Frequency Discriminators LNA-0R518G-45-10DBM-SFF 0.5 - 18 45 ±2.0 2.95 +10 SMA (F)
& IFM Removable
Frequency Synthesizers
Noise Figure 10 MHz to 0.5 GHz
Gain & Loss Equalizers 14
13
Integrated MIC/MMIC 12
11

Assemblies (IMAs) 10
9
8
IQ Vector Modulators 7
(dB)

Limiters - RF / Microwave
5
4
3

Log Amps 2
1
0

Miscellaneous Products 0 50 100 150 200 250 300 350 400 450 500

Frequency (MHz)
Monopulse Comparators Noise Figure 0.5 to 18 GHz
Multifunction Integrated 4
3.6
Assemblies (IMAs) 3.2
2.8
Phase Shifters & Bi-Phase 2.4

Modulators 2
(dB)

1.6

Power Dividers/Combiners 1.2


0.8
(Passive & Active) 0.4
0
Pulse Modulators - SPST 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18

Frequency (GHz)
Rack & Chassis Mount
Noise Figure 18 to 22 GHz
Products 10

Receiver Front Ends &


9
8

Transceivers 7
6

Single Side Band 5


(dB)

4
Modulators 3
2
SMT & QFN Products 1
0
Switch Matrices 18 19 20
Frequency (GHz)
21 22

Switch Filter Banks


Switches - Solid-State West Coast Operation: East Coast Operation:
4921 Robert J. Mathews Pkwy, Suite 1 7311-F Grove Road
Systems - Radar Sense &
El Dorado Hills, CA 95762 USA Frederick, MD 21704 USA
Avoid
Tel: 916-542-1401, Fax: 916-265-2597 Tel: 301-662-5019, Fax: 301-662-1731
Systems - Fly Eye Radar
Threshold Detectors sales@pmi-rf.com www.pmi-rf.com •
USB Products
Content is copyright protected and provided for personal ISO9001-2015use only - notREGISTERED
for reproduction or retransmission.
For reprints please contact the Publisher.
November 2021
Vol. 64 • No. 11
5G & IoT
CONTENTS mwjournal.com

62 70 .. ..

CP
IFFT Addition DUC CFR DPD DAC

CP
FFT Removal DDC ADC

SRS PRACH
Filtering

Low PHY Digital Front-End

84A
B
Quarter-Wave
C

100 5
Copper Free 0

SINR (dB)
–5
Shorting –10

8
Feed Point –15

22
Without Latency
–20 With Latencey
Ground Plane –25
2.526 2.528 2.530 2.532 2.534 2.536
Matching RF In
Network Time (s)

Cover Feature Technical Features


22 RF GaN on Silicon: The Best of Two 70 The Open RAN System Architecture
Worlds and mMIMO
Ismail Nasr, Infineon Technologies
Volker Aue, Xilinx

MVP: Most Valuable Product 84 Embedded PCB Antennas for


IoT: Design and Implementation
40 Rubidium™ Signal Generators Considerations
Redefine Industry Standards for
Spectral Purity and Stability Kevin Hietpas, Pasternack

Alexander Chenakin, Suresh Ojha and Sadashiv


Phadnis, Anritsu Company
Application Note

Perspective
100 mmWave Beamforming in Dynamic,
Urban Environments
62 The RF GaN Device Market: A Roller- Kenneth M. O’Hara, Remcom Inc.
Coaster Ride
Poshun Chiu and Ezgi Dogmus, Yole Développement

64 YEARS OF PUBLISHING EXCELLENCE


Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
10 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
CONTENTS mwjournal.com STAFF

Publisher: Carl Sheffres

Associate Publisher: Michael Hallman

Editorial Director: Patrick Hindle

118 118 Editor: Gary Lerude

Managing Editor: Jennifer DiMarco


Tech Briefs Associate Technical Editor: Cliff Drubin

118 Sidecar Module Enables RF Reliability Testing at Copy Editor: Kelley Roche
Ka-Band Multimedia Staff Editor: Barbara Walsh
Accel-RF Contributing Editor: Janine Love

118 Linear, Ka-Band GaN on SiC MMIC for Satcom Terminals Electronic Marketing Manager: Chris Stanfa

Microchip Senior Digital Content Specialist:


Lauren Tully

Departments Audience Development Manager: Carol Spach

Director of Production & Distribution:


17 Mark Your Calendar 123 New Products Edward Kiessling

18 Coming Events 127 Book End Art Director: Janice Levenson

Graphic Designer: Ann Pierce


47 Defense News 128 Ad Index
EUROPE
51 Commercial Market 128 Sales Reps Office Manager: Nina Plesu
54 Around the Circuit 130 Fabs and Labs CORPORATE STAFF
120 Making Waves CEO: William M. Bazzy

President: Ivar Bazzy

online spotlights
Vice President: Jared Bazzy

EDITORIAL REVIEW BOARD


Dr. I.J. Bahl Dr. U. Rohde
Look for this month’s exclusive articles online at mwjournal.com F.M. Bashore Dr. P. Staecker
A. Chenakin D. Swanson
Dual-Frequency MIMO Antenna with High Isolation Based on Dr. S. Maas D. Vye
Odd-Even Mode Analysis and Defected Ground Structure Dr. Ajay K. Poddar
Dr. J. Rautio
Prof. K. Wu

Xiufeng Ren, Zhaojun Zhu, Ke Yang and Yuxin Wang ,


University of Electronic Science and Technology of China
EXECUTIVE EDITORIAL OFFICE
Four Critical Steps to Deploying 5G Private Networks 685 Canton Street, Norwood, MA 02062
Tel: (781) 769-9750
Jessy Cavazos, Keysight Technologies FAX: (781) 769-5037
e-mail: mwj@mwjournal.com
EUROPEAN EDITORIAL OFFICE
16 Sussex Street, London SW1V 4RW, England
Tel: Editorial: +44 207 596 8730 Sales: +44 207 596 8740
Microwave Journal (USPS 396-250) (ISSN 0192-6225) is published monthly by Horizon House Publications Inc., 685 Canton St., FAX: +44 207 596 8749
Norwood, MA 02062. Periodicals postage paid at Norwood, MA 02062 and additional mailing offices.
SUBSCRIPTION SERVICES
Send subscription inquiries and address changes to:
Photocopy Rights: Permission to photocopy for internal or personal use, or the internal or personal use of specific clients, Tel: (978) 671-0446
is granted by Microwave Journal for users through Copyright Clearance Center provided that the base fee of $5.00 per e-mail: mwj@e-circ.net
copy of the article, plus $1.00 per page, is paid directly to the Copyright Clearance Center, 222 Rosewood Drive, Danvers,
MA 01923 USA (978) 750-8400. For government and/or educational classroom use, the Copyright Clearance Center should
be contacted. The rate for this use is 0.03 cents per page. Please specify ISSN 0192-6225 Microwave Journal International.
Microwave Journal can also be purchased on 35 mm film from University Microfilms, Periodic Entry Department, 300 N. Zeeb
Rd., Ann Arbor, MI 48106 (313) 761-4700. Reprints: For PDF reprints, contact Barbara Walsh at (781) 769-9750.

POSTMASTER: Send address corrections to Microwave Journal, PO Box 1028, Lowell, MA 01853 or e-mail mwj@e-circ.net.
com. Subscription information: (978) 671-0446. This journal is issued without charge upon written request to qualified persons
working in the RF & microwave industry. Other subscriptions are: domestic, $120.00 per year, two-year subscriptions, $185.00; www.mwjournal.com
foreign, $200.00 per year, two-year subscriptions, $370.00; back issues (if available) and single copies, $10.00 domestic and
$20.00 foreign. Claims for missing issues must be filed within 90 days of date of issue for complimentary replacement.
Printed in the USA
©2021 by Horizon House Publications Inc.
Posted under Canadian international publications mail agreement #PM40612608
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
12 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
5G Solutions
RF Components for the Next Generation
Whether you’re building the future of enhanced mobile broadband or designing applications for IoT and mission-critical communications,
Pasternack offers the most extensive portfolio of in-stock and ready-to-ship 5G RF components and cable assemblies. Pasternack is
ready to support 5G innovation, testing, and deployments, through an expansive product offering, product support, and a commitment to
same-day shipping.

Pastnernack offers thousands of active, passive, interconnect, and antenna products for global sub-6 GHz and mmWave frequency bands
as well as technical service and application engineers are standing by to answer.

In-Stock and Shipped Same-Day

USA & Canada +1 (866) 727-8376


International +1 (949) 261-1920
pasternack.com

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
ONLINE
Visit us
@ mwjournal.com

How Vayyar 4D
Imaging Radar
article Systems Are Enabling
New Automotive
Safety Applications
mwjournal.com/vayyar

LEARNING
Maryam Rofougaran,
co-founder and CEO of Movandi,
describes the company’s strategy
CENTER to improve the coverage and
speed the deployment of mmWave
The Basics of Modern Spectrum networks for 5G and how Movandi
Analysis is unique in the industry.
Sponsored by: SAF 11/04
ATURED

eBook eBook

Advances in Radar and


5G OTA Testing Guide Components
October 2021 September 2021

S P O N S O R E D B Y
S P O N S O R E D B Y It’s who we are
It’s what we do

Jessen Wehrwein, vice


president of marketing at Quantic
Electronics, talks about the
company’s strategy in acquiring a
number of RF companies this year
and the synergy of putting them
under one umbrella.
mwjournal.com/ebooks
F

White Papers
Addressing Cross-Fabric Thermal Challenges
of 3D-IC Design with Celsius Thermal Solver Join Us Online
Follow us
Discrete RF Power Transistor, IMFET, or @Pathindle
MMIC Amplifier, Which is Best? @MWJGary
@MWJEditor
Join us at the RF and
Testing Embedded Microcontrollers Using Microwave Community
Multiple Types of Modular Instruments
Become a fan at
facebook.com/
microwavejournal
Outdoor Surveillance:
A Powerful Trend for a Safer Society

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
14 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Introducing the world’s
smallest high-frequency
wirewound chip inductor!

Actual Size
(Tiny, isn’t it?)

Once again, Coilcraft leads the way with another


major size reduction in High-Q wirewound chip inductors

Measuring just 0.47 x 0.28 mm, with an devices, and LTE or 5G IoT networks.
ultra-low height of 0.35 mm, our new The 016008C Series is available in 36
016008C Series Ceramic Chip Inductors offer carefully selected inductance values ranging
up to 40% higher Q than all thin film types: from 0.45 nH to 24 nH, with lower DCR
up to 62 at 2.4 GHz. than all thin film counterparts.
High Q helps minimize insertion loss in Find out why this small part is such
RF antenna impedance matching circuits, a big deal. Download the datasheet
making the 016008C ideal for high-frequency and order your free samples today at
applications such as cell phones, wearable www.coilcraft.com.

WWW.COILCRAFT.COM
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
M M W A V E F I LT E R S

LTCC Meets 5G
The World’s Widest Selection

• Band pass filters optimized for n257, n258, n260


and n261 5G bands
• Low pass filters with passbands up to 30.5 GHz
• High pass filters fco from 28 to 36 GHz
• Rejection up to 40 dB
• Proprietary material systems and distributed topologies
• Pick-and-place standard case styles

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
NOV/DEC
Call for Paper Deadlines

12/7 12/20
IMS2022 German Microwave
Conference 2022

11/29- 11/30-
12/2 San Diego, Calif.
https://milcom2021.milcom.org/
12/2 Washington D.C.
58.crows.org

6-9 ONLINE
https://bcicts.org/
7-9 San Francisco,
Calif.

ONLINE
+

www.semiconwest.org

11-15 San Francisco, Calif.

https://ieee-iedm.org/
17-19 ONLINE
https://imarc2021.org/

12/14
mmWave Sensors and
ONLINE Communications Technologies
PANEL for ADAS/Autonomous Vehicles
www.mwjournal.com

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
MWJOURNAL.COM  NOVEMBER 2021 17
For reprints please contact the Publisher.
ComingEvents

C A L L F O R PA P E R S
IMS2022
December 7, 2021
J A N U A RY 2 0 2 2
CES 2022
German Microwave January 5–8 • Las Vegas, Nev. + Online
Conference 2022 www.ces.tech
December 20, 2021 IEEE Radio & Wireless Week
WAMICON 2022 January 16–19 • Las Vegas, Nev.
February 7, 2022 www.radiowirelessweek.org

IEEE International Symposium 98th ARFTG Microwave Measurement


on Phased Array Systems and Symposium
Technology January 17–18 • Las Vegas, Nev.
March 12, 2022 www.arftg.org

mwjournal.com Mobile Deployable Communications 2022


January 26–27 • London, U.K.
www.smi-online.co.uk/defence/uk/conference/
mobile-deployable-communications

F E B R U A RY 2 0 2 2
NOVEMBER 2021 European Microwave Week (EuMW)
Satellite Connectivity Summit February 13–18 • London, U.K.
November 16–17 • Bremen, Germany www.eumw2021.com
www.satelliteconnectivitysummit.com MWC Barcelona 2022
Space Tech Expo Europe February 28–March 3 • Barcelona, Spain
November 16–18 • Bremen, Germany www.mwcbarcelona.com
www.spacetechexpo.eu
Asia Pacific Microwave Conference (APMC)
November 28–December 1 • Online
www.apmc2021.org
Military Communications Conference
(MILCOM) MARCH 2022
November 29–December 2 • San Diego, Calif. IEEE Aerospace Conference
https://milcom2021.milcom.org/ March 5–12 • Big Sky, Mont.
58th Annual AOC International Symposium https://aeroconf.org/
and Convention GOMACTech 2022
November 30–December 2 • Washington, D.C. March 21–24 • Miami, Fla.
58.crows.org www.gomactech.net
SATELLITE 2022
March 21–24 • Washington, D.C.
https://2022.satshow.com/

DECEMBER 2021
2021 IEEE BCICTS
December 6–9 • Online
https://bcicts.org/ APRIL 2022
ExpoElectronica 2022
SEMICON West 2021 April 12–14 • Moscow, Russia
December 7–9 • San Francisco, Calif. + Online https://expoelectronica.ru/home
www.semiconwest.org
SusTech 2022
IEEE IEDM 2021 April 21–23 • Online
December 11–15 • San Francisco, Calif. https://ieee-sustech.org/
https://ieee-iedm.org/
IEEE MTT-S International Microwave and RF
Conference (IMaRC)
December 17–19 • Online
https://imarc2021.org/

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
18 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Analog Devices’ highly integrated mixed-signal front-ends (MxFE®)
meet the demands of today’s most challenging high-speed, ultra-
wideband RF signal generation and transmit / receive applications
AD9081: MxFE® with four 16-bit, 12 GSPS RF DAC cores and four 12-bit, 4 GSPS RF ADC cores
AD9082: MxFE® with four 16-bit, 12 GSPS RF DAC cores and two 12-bit, 6 GSPS RF ADC cores
Featuring eight transmit lanes and eight receive lanes that support 24.75 Gbps/lane JESD204C or 15.5 Gbps/lane JESD204B
standards, the devices are well suited for applications requiring both wideband ADCs and DACs to process signals that have wide
instantaneous bandwidth. They are available in 15 mm x 15 mm BGA packages.

Key applications include:


• Wireless communications infrastructure • DOCSIS 3.1 and 4.0 CMTS
• Microwave point-to-point, E-band and 5G mmWave • Phased array radar and electronic warfare
• Broadband communications systems • Electronic test and measurement systems

Richardson RFPD’s new


RF Design Hub features the latest
industry news, events, white
papers, design tools and product richardsonrfpd.com/rfdesign
releases for RF design engineers.

Your Global Source for RF, Wireless, IoT & Power Technologies
Content is copyright protected
www.richardsonrfpd.com and provided
| 800.737.6937 for personal use only - not for reproduction or retransmission.
| 630.262.6800
For reprints please contact the Publisher.
When high-volume waveguide component testing looks like a high hurdle, Eravant gives you a leg up.
Proxi-Flange™ waveguide segments make contactless waveguide connections a reality. Wave-Glide™
fixturing systems make contactless waveguide connections fast, easy, accurate, and repeatable.
Both product families are compatible with Eravant’s frequency extender systems, as well as all other
frequency extenders commonly used today.

Stop worrying about how to test waveguide components and start harnessing Eravant’s long
history of producing and testng high-quality microwave and millimeter-wave components.

PROXI-FLANGE™
Contactless Waveguide Connections
Fast and Easy Component Testing
Accurate and Repeatable Test Results
Reduced Test System Maintenance
Frequency Coverage from 18 to 220 GHz

WAVE-GLIDE™
Effortless Connection of Components & amp; Test Systems
Configurable For a Wide Range of Components
Compatible with All Frequency Extenders
Supports Automated and Semi-Automated Testng

W
w
Content is copyright protected and provided for personal use only - not for reproduction or retransmission. T:

For reprints please contact the Publisher.


F O R M E R LY S A G E M I L L I M E T E R

PROXI-FLANGE™ & WAVE-GLIDE™


PATENT-PENDING | REPEATABLE RESULTS | 18 to 220 GHz

SAGE Millimeter is now Eravant, a change that renews our commitment to the millimeterwave
industry. Since 2011, we have been delivering quality products and energizing the customer
experience to meet the needs of RF engineers working on the technology of the future.

Adapters • Amplifiers • Antenna Feeds • Antennas • Attenuators • Bias Tees • Cable Assemblies • Corner Reflectors •
WWW.ERAVANT.COM
Couplers • DC Blocks • Detectors • Ferrite Devices • Filters • Frequency Converters • Frequency Multipliers • Limiters • Magic
www.eravant.com 501 Amapola Avenue Torrance, CA 90501 Tees • Mixers • Noise Sources • Oscillators • Phase Shifters • Power Dividers • Radar Sensors • Subassemblies • Switches •
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
T: 424-757-0168 F: 424-757-0188 support@eravant.com Termination Loads • Test Equipment • Test Hardware & Accessories • TX/RX Modules • Uni-Guide™ • Waveguide Sections

For reprints please contact the Publisher.


Cover Feature
Invited Paper

RF GaN on Silicon:
The Best of Two Worlds
Ismail Nasr
Infineon Technologies, Neubiberg, Germany

W
hile the world con- The RF power amplifiers (PA) 5G REQUIREMENTS
tinues to strive for deployed in modern 5G radio ar- The surge of digital social media,
higher speed con- chitectures play a major role in data hungry video calls and severe
nections paired with meeting the apparently contradic- internet usage on mobile devices
low latency and high reliability, the tory needs for ever-higher per- are increasing the demand for high
energy consumption for informa- formance and lower cost. While performance 5G radio networks
tion communications technology LDMOS technology dominated the to provide sufficient coverage and
continues to soar. These market re- RF PAs for radio access networks in quality of service. This trend inten-
quirements not only position 5G for previous cellular standards, this is sified during the COVID pandemic
many critical applications, they also changing with the implementation and, consequently, operators are
set constraints on energy efficiency of 5G. GaN, with superior RF char- pushing for a sub-6 GHz 5G roll-out
and performance. 5G network per- acteristics and significantly lower as an efficient way to cope with this
formance targets impose a new power consumption, is a contender. exponentially growing data con-
set of requirements for the under- There is one caveat to this storyline, sumption. The push for higher data
lying semiconductor components, however: GaN on SiC, which is pre- rates has, however, a huge impact
increasing the demand for highly dominantly being used for new 5G on the global energy bill, where it
reliable RF front-end solutions, with active antenna radios, remains one is expected that information and
improved energy efficiency, larger of the most expensive RF semicon- communication technology will
bandwidth, higher operating fre- ductor technologies because of its grow to 21 percent of global energy
quency and smaller footprint. As the non-mainstream semiconductor consumption.1
number of semiconductor devices in processing. This limits its potential From an RF radio perspective,
base station radios increases drasti- for large economy of scale. GaN on new 5G features translate into
cally, driven by the trend toward Si, in contrast, combines the best more challenging RF characteristics:
massive MIMO (mMIMO) systems, of both worlds: competitive perfor- Higher carrier frequencies to 7 GHz,
the pressure on mobile network op- mance paired with large economies instantaneous bandwidth greater
erators to profitably deploy scarce of scale, enabled by its integration than 400 MHz, higher order modu-
CAPEX and OPEX resources is even into standard semiconductor pro- lation, increased channel numbers
more severe. Hence, limiting equip- cess flows. In this article, we ex- and mMIMO antenna configuration
ment cost and power consumption plain how advances in GaN on Si are a few.2 Furthermore, as radios
is of paramount importance for the positions the technology as a very become more complex, the need to
installation and operation of an ef- strong contender for the RF PAs in keep weight and power consump-
ficient 5G network. 5G radios. tion to a minimum was never more
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
22 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
RLC has the exact solution
you’re looking for.
RLC Electronics manufactures a complete range Control options are DC voltages as low as 5V, TTL,
of RF switches including coaxial in the frequency BCD, RS232, and RS422. All switches have
range from DC to 65 GHz and rectangular or excellent repeatability and lifetimes in excess of
double ridge waveguide. The operating modes on one million operations. Many types are QPL listed
all designs are failsafe, latching and manual. per MIL-DTL-3928.

■ SPDT to SP12T ■ Low Insertion Loss


■ Transfer ■ High Power
■ Low VSWR ■ Low Passive Intermodulation
■ High Isolation ■ Surface Mount Options

For more detailed information on coaxial and waveguide switches, visit our web site.

RLC ELECTRONICS, INC.


83 Radio Circle, Mount Kisco, New York 10549 • Tel: 914.241.1334 • Fax: 914.241.1753
E-mail: sales@rlcelectronics.com • www.rlcelectronics.com
ISO 9001:2000 CERTIFIED
RLC is your complete microwave component source...
Switches, Filters, Power Dividers, Terminations, Attenuators, DC Blocks, Bias Tees & Detectors.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
CoverFeature
important, with both factors de- of 5G and pave the way to future pared to Si-based technologies.
manding higher energy efficiency to generations. Initial attempts to grow GaN on Si
save the costs of energy and cool- In this context, GaN has estab- carriers did not make it to the mar-
ing equipment. The RF PA stages lished itself as the leading high- ket because of limited performance
remain mission-critical devices in 5G power RF PA technology for 5G and unfavorable cost. This is chang-
mMIMO radios, the last active block mMIMO radios because of its supe- ing. In this article, we describe a
before air transmission, where up rior RF performance. However, cur- new GaN on Si technology running
to 50 percent of the base station’s rent implementations are cost pro- on an eight-inch process that meets
energy in consumed.3 Modern hibitive: GaN grown on expensive all technical requirements and offers
semiconductor technologies for RF SiC wafers in III/V fabs with expen- commercially attractive economics.
PAs need to meet certain harsh pre- sive lithography, resulting in extraor-
requisites to fulfill the requirements dinarily high production cost com- RF PA TECHNOLOGIES
LDMOS — LDMOS FETs (see
Figure 1) were introduced in the
TM late 1960s to early 1970s to increase
RapiTrim the breakdown voltage of power
MOSFETs.4 The performance, rug-
The Future of gedness and ease-of-use of the
laterally diffused structure5,6 sur-
Resistor Trimming passed that of Si bipolar transistors,
and LDMOS became the dominant
RF power technology in the 1990s.
The RapiTrim Family of Over the past 30 years, LDMOS
has been the standard technology
Resistor Trimmers. for the high-power transmit stages in
wireless infrastructure, achieving ex-
Turnkey soluƟons for all cellent performance to 3 GHz. With
trimming needs, from the inherent cost advantage from
standard component and fabricating the devices on eight-inch
Si substrates and fully compatible
circuit trim to acƟve trim with standard Si process lines, LD-
scenarios with custom MOS has been difficult to supplant in
fixturing. the wireless base station market until
the advent of GaN HEMTs.
GaN on SiC — Arising from DAR-
PA programs of the early 2000s,7,8
which followed the successful GaAs
All RapiTrim systems offer: MMIC programs of the 1970s and
1980s,9 GaN RF devices (see Fig-
DXF auto-import to speed job creaƟon. ure 2) were developed to meet the
Modern user interface. demand for the higher power, wider
bandwidth and higher frequency re-
Real-Ɵme high speed measurement.
quirements of military applications,
Turnkey installaƟon. such as radar.
Custom sequence programmability. Compared to LDMOS, GaN has
the inherent advantages of a higher
p
Flying probes, probe cards or custom critical E-field and maximum carrier
probing soluƟons. density in the channel, which trans-
Manual load, stack loader or custom lates into higher power density with a
automaƟon. higher impedance for a given output
power and a lower decline in efficien-
and so much more ... cy versus frequency. The attributes
that make GaN attractive for military
applications make GaN attractive for
wireless infrastructure,10 specifically
Ease of use and rapid job creaƟon mean high-power density—typically 5x that
producƟvity from day one, for years to come. of an LDMOS transistor—combined
with low parasitic capacitance, which
enables the device to support wider
modulation bandwidths.
www.ppisystems.com The market trend toward higher
frequency also favors GaN transis-
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
24 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
BNC | The Nuts and
Bolts (and Qubits) of
Quantum Compu�ng
NEW Course
AnaPico Inc.
of Switzerland
We make
the difference

World Class RF & Microwave Test Instruments

PHASE NOISE ANALYZER – from 1 MHz to 50 / 65 GHz


• Input power range: -15 to +20 dBm • Phase noise and amplitude noise measurement
• Analysis range: 0.01 Hz to 100 MHz • Absolute and addi�ve noise measurement
• Transient analysis (16 ns �me resolu�on) • CW and pulsed signals (50 ns pulse width)
• Time stability analysis (Allan devia�on)
• VCO characteriza�on

Berkeley Nucleonics

For US Customers: For Non-US Customers:


Call: 800-234-7858 Call: +41 44 440 00 50
Email: rfsales@berkeleynucleonics.com Email: rfsales@anapico.com
Visit: h�ps://�nyurl.com/u9wdx2w Visit: www.anapico.com
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
CoverFeature
Ground the performance
Gate Source Polycide Grounded Source
Runner Runner Gate Field Plate Drain
G
gap
Field-Plate between
S D LDMOS and GaN
Source
GaN Epi 2 DEG is not as signifi-
cant. To address
W
SiO2-2
Substrate SiC or Si the high cost of
GaN on SiC, GaN
SiO2-1 grown on Si sub-
N+ N-Drift N+ strates has been
X-Well P-Well  Fig. 2 GaN HEMT device functional pursued since the
cross-section.
early 2000s. The
P- epi main challenges
P+ Sinker
for performance and reliability relate to the difficulty
growing high-quality GaN on Si substrates, due to lat-

P+ Substrate(=Backside Source) 90
80
70

Peak PAE (%)


60
 Fig. 1 LDMOS device functional cross-section. 50 CMOS
40 SiGe
tors, which maintain higher peak efficiency as the power 30 GaN
and frequency scale. As shown in Figure 3, GaN-based 20 GaAs
PAs are exceeding 80 percent efficiency, even above 2 10 LDMOS
GHz. These efficiency advantages are increasingly im- 0
portant for 5G and future communication systems. 20 25 30 35 40 45 50 55 60
GaN on Si — Cost has always been a major factor Psat (dBm)
limiting the adoption of GaN in cost-sensitive applica-
tions like wireless infrastructure. This is especially true  Fig. 3 Psat vs. PAE vs. PA technology, measured over 2 to
for applications at 2 GHz and lower frequencies where 6 GHz.11

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
26 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Has Amplifier Performance or
Delivery Stalled Your Program?

Noise Figure In Select Frequency Bands


5.00

4.5dB
4.50

4.0dB
4.00

3.50
Noise Figure (dB)

3.00
2.8dB 2.8dB
2.5dB
2.50

2.00
1.8dB
1.5dB
1.50
1.3dB
1.2dB
1.00 0.9dB 1.2dB
0.7dB 0.7dB
0.5dB
0.50
0.35dB
0.00
0 2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 44 46 48 50
Frequency (GHz)

Visit us in Booth 423 at


the IMS 2013. B&Z is the TECHNOLOGIES
Innovating to Excel
preferred source for high
perfomance amplifiers. www.bnztech.com
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
CoverFeature
for RF power that can reach its potential; after many
90
years of development, GaN on Si is ready to become
80 mainstream. The most important criteria determining
70
maturity—performance, thermal resistance, reliability
and cost—are discussed in the following paragraphs.
Drain Efficiency (%)

60 RF Performance — One of the most important


50 performance parameters driving the replacement of
LDMOS is RF efficiency. Figure 4 shows 2.7 GHz load-
40 pull measurements of a packaged transistor with 5.8
30 mm gate periphery and biased at 28 V. At 3 dB com-
pression (P3db), indicated by the circles, the peak drain
20
efficiencies are approximately 85 percent and the peak
10 output power density is more than 5.5 W/mm, perfor-
0.5 1.0 1.5 2.0 2.5 3.0 3.5 4.0 4.5 5.0 5.5 6.0
mance on par with GaN on SiC. The contours show
Pout (W/mm)
fairly constant efficiency from deep back-off to near
saturation—making the device technology suitable for
 Fig. 4 Load-pull drain efficiency vs. Pout for packaged 5.8
Doherty PA applications.
mm GaN on Si transistor.
Thermal Resistance — One of the fundamental dif-
tice mismatch. A huge amount of research and devel- ferences between GaN on Si and GaN on SiC is the
opment during the last 10 years, especially for power thermal resistance, reflecting the difference in thermal
conversion applications, yielded much improved EPI conductivity of Si and SiC substrates. GaN on SiC has
quality and, subsequently, the release of many GaN on better thermal conductivity. However, through wafer
Si products, even for industrial applications.12 thinning and device layout, the same junction tempera-
ture can be achieved with a GaN on Si transistor biased
STATE OF GAN ON SI at 32 V as a GaN on SiC device operated at 48 V. By
Despite this progress, several challenges were over- extension, assuming similar failure mechanisms, a GaN
come to demonstrate GaN on Si performance on par on Si device operating at a lower voltage will achieve
with GaN on SiC, as well as good reliability. Through the same reliability as a GaN on SiC device.
this work, Infineon developed a GaN on Si technology Reliability — Device failure and drift are two con-

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
28 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
CoverFeature
1.E+12
1.E+11 0
1.E+10
1.E+09
1.E+08 –5
1.E+07 25°C
MTTF (h)

1.E+06 Electromigration
1.E+05 100°C
1.E+04 –10

∆Idq (%)
1.E+03
1.E+02
1.E+01 HTOL Hard Fail –15
1.E+00
1.E−01
0 50 100 150 200 250 300 350 400
–20
T (°C)

 Fig. 5 GaN on Si MTTF. –25


siderations when assessing device reliability. The mean 10
101 102 103 104 105 106 107
time to failure (MTTF) is determined by the failure Years
Time (s)
mechanisms, which depend on device temperature
(see Figure 5). At lower temperatures, the MTTF of
the GaN on Si transistor is limited by electromigration.  Fig. 6 GaN on Si Idg drift vs. time, 25°C and 100°C.

However, electromigration is independent of the intrin- 10 mA/mm and Vds = 28 V. Extrapolating the measure-
sic GaN transistor, determined by the metallization and ments, after 10 years the Idq drift will be less than 25 per-
layout of the device. The MTTF due to electromigration cent. Figure 7 shows the degradation in output power
can be extended by changing the layout. The Infineon versus time of a 20 mm packaged transistor undergoing
GaN on Si device uses the same copper metallization a high temperature reverse bias (HTRB) stress test. The
commonly used for Si processes, which has high robust- device is biased at Vgs = -15 V, Vds = 100 V and the tem-
ness to electromigration and achieves an MTTF of 108 perature is 150°C. The output power degrades less than
hours at a temperature of 150°C. 8 percent through 1000 hours of HTRB stress.
Assessing the drift of the technology, Figure 6 shows Cost — The cost per area of a GaN on SiC device is
the Idq drift at 25°C and 100°C with the device biased at driven by the SiC substrate and the cost of processing

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
30 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
mmW Solutions. Enabling a new world

ICs for Flat Panel


No modules or
Electronically LNA
external LNAs
required
Steered Antennas
ZERO-CAL®
for LEO/MEO/GEO eliminates most
array calibration
SATCOM Systems
Low DC power
anokiwave.com/SATCOM
AESA solution

Ku and K/Ka-Band
Deployed on multiple
Silicon Beamformer ICs
aero/ground SATCOM
platforms

Fully released and


production ready

mmW Intelligent Array mmW Algorithms


Silicon ICs IC SolutionsTM to AntennasTM
www.anokiwave.com/satcom
Content is copyright | innovation@anokiwave.com
protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
CoverFeature
typically small wafers in a III/V fab. MMICs is a longstanding trend, so sion duplex (FDD) and time-division
By comparison, the Infineon GaN the cost per area of a volume Si fab duplex (TDD) modes.
on Si technology runs on eight-inch remains an important differentiator. A trend for the RF power per an-
wafers in a standard Si fab, since it tenna element in active antenna sys-
is compatible with other silicon wa- GAN ON SI PA MODULES tems (AAS) is increasing the nomi-
fer production. The GaN on Si wafer The key performance parameters nal linear output power of the PAM
production runs on modern, eight- for a wireless infrastructure PA mod- from 3 to 8 W, possibly to 12 W and
inch Si production equipment, tak- ule (PAM) comprise the power-add- higher. The frequency and antenna
ing advantage of Si’s inherent in- ed efficiency (PAE) at the nominal array scaling impose a size restric-
tegration, performance, yield and RF output power, the dynamic peak tion on the PAM so it fits within the
supply chain infrastructure. RF in- output power and the ability to lin- element spacing on the RF printed
tegration leading to more complex earize the PA in both frequency-divi- circuit board (PCB) to minimize the
system cost. Power GaN technol-
ogy supports this compact form fac-
tor because it can withstand higher
junction temperatures.
To assess the capabilities of Infi-
neon’s GaN on Si technology, a sin-
gle-stage Doherty amplifier PAM on
a multi-layer organic laminate sub-
strate was designed to have an av-
erage modulated linear power of 39
dBm in the 3.4 to 3.6 GHz band (see
Figure 8). In a Doherty design, the
Norden Millimeter Develops input signal is split between “main”
and “peaking” amplifiers, and the
State of the Art RF Systems amplifier outputs are recombined
and Sub-assemblies for Military, with a 90-degree phase shift in one
path. Biased at 28 V and with a sin-
Aerospace, and Satcom Applications. gle-tone input signal, the gain and
drain efficiency (DE) of the PAM ver-
sus output power were measured at
Contact Sales to Learn More. room temperature (see Figure 9). At

–2

–4
∆Pout (%)

–6

–8

–10

–12
www.NordenGroup.com 0 168 500 1000
HTRB Time (h)
(530) 642-9123
Sales@NordenGroup.com  Fig. 7 GaN on Si Pout drift vs. HTRB
time.

Peak Peak
Bias

Main

Main
Bias

 Fig. 8 Single-stage Doherty PA block


diagram.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
32 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
COMMUNICATION
CO

5G Technology –
600 MHz & 3500 MHz PIM Test Analyzers
For future 5G technology Rosenberger introduces Rosenberger rack analyzers are designed to make
low-PIM components as well as PIM test solutions PIM tests in production or test lab environments as
for all applications – new rack and portable desk- modular, precise, and efficient as possible.
top analyzers, and band filter units for 600 MHz &
Due to the cost-effective broadband design up to
3500 MHz measurements.
11 different band filters can be added to the broad-
The portable desktop analyzer offers high flexibility band base unit. A fast band selection is possible via
for measurements in production lines, R&D and test an optional switch matrix.
labs.

www.rosenberger.com/pia

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
CoverFeature
39 dBm output, 10.5 dB power gain the single-tone DE. The single-tone modulated AM-AM dependency
was achieved, including the 3 dB DE was 52 to 54 percent. The per- with and without DPD, showing the
splitter, combiner and other passive formance of the GaN on Si PAM is DPD yields excellent linear output
losses. A maximum output power of comparable to the performance re- characteristics. The capability of
47.5 dBm was measured. ported for GaN on SiC.13-15 DPD to linearize the PAM reflects
Using a clipped and filtered, The dynamic peak power of the low device nonlinearity and low
modulated 5G NR waveform with PAM with a modulated signal and circuit and device memory effects.
7.5 dB PAR, the nominal RF operat- using digital predistortion (DPD) Ease of linearization using com-
ing power of 39 dBm is predicted, was measured at 3.6 GHz using a mercially available DPD engines is
with the first peak in DE expected spectrum analyzer (see Figure 10). an important characteristic of the
near this point, to ensure minimal A peak power of 47.5 dBm was device technology and amplifier
deviation of the modulated DE from measured. The figure compares the design.
The fielded application for this
PAM is in FDD and TDD base sta-
tions. With the versatility of the
3GPP’s 5G standards, the time dia-
gram of a transmitted signal can be
rather complex and irregular, with
single symbol transmission pos-
sible. Thermal, charge trapping
and video bandwidth determine
the dynamic response of the PAM,
which manifests in varying output
power and error vector magnitude
along the symbol sequence within a
14
13
12

Gain (dB)
11
10 3.4 GHz
9 3.5 GHz
3.6 GHz
8 3.7 GHz
7 3.8 GHz
6
25 30 35 40 45 50
Pout (dBm)
(a)

70
60
50
DE (%)

40 3.4 GHz
3.5 GHz
30 3.6 GHz
3.7 GHz
20 3.8 GHz
10
25 30 35 40 45 50
(b) Pout (dBm)

 Fig. 9 Measured gain (a) and DE (b)


vs. input power of a single-stage Doherty
PA.

3
2
1 Pout = 38.9 dBm
Gain (dB)

0
–1
–2
3.6 GHz
–3
10 15 20 25 30 35 40 45
Pout (dBm)

 Fig. 10 Gain vs. Pout of the Doherty


PA with a 3.6 GHz modulated signal,
comparing the “raw” performance (blue)
with DPD linearization (red).

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
34 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Leader in Customer Value
VECTOR NETWORK ANALYZERS

Uncompromising performance regardless of application or budget.


Advanced software features on Windows or Linux OS without added
cost or licensing fees.
VALUE = Timely support from automation and applications engineers who
function as part of your team to help design and perform measurements.
Comprehensive VNA solution from Copper Mountain Technologies.

Sign up for a free instrument trial today and experience value firsthand.

CobaltFx Frequency Extension System

Modular frequency extension with CobaltFx up to 110 GHz or


third-party extenders up to 330 GHz. Configure your test system
in the bands you need for a cost-effective mmWave solution.
Learn about the scalable CobaltFx solution with the QR code.

www.coppermountaintech.com

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
CoverFeature
transmitted sub-frame. To illustrate, SCS and 7.5 dB PAR. energy efficiency and 3) passive
Figure 11 plots the power spectrum heat removal from the radio system.
of the first symbol of a transmitted TRENDS AND CHALLENGES While discrete module solutions
sequence, showing performance in As the RF transmit power increas- could provide better heat man-
FDD, mixed and TDD modes using es, heat management becomes agement through lower packaging
DPD without the long-term memory more important. With mMIMO density, they can create BOM and
model. Vc refers to the clamping AAS, there are several thermal man- PCB-area bottlenecks in larger AAS,
voltage or off-stage gate bias. The agement considerations: 1) system requiring significant design opti-
TDD mode measurements used the overheating leading to component mization by the system integrator.
following modulated signal: 3GPPD performance degradation and re- Control over die thickness, use of
TM3.1a with a 1 × 20 MHz channel, duced long-term reliability, 2) high- proper die attach techniques and
5G NR OFDM 256-QAM, 60 kHz er operating cost because of lower high-quality soldering of the PAM
onto the PCB are key to removing
heat from the PAM. Maintaining
near constant output power over
temperature requires a smaller de-
The New Wave is Approaching sign margin and yields higher PAE.
Infineon’s GaN on Si PAM products
have a -0.02 dB/°C power gain co-
efficient, which is comparable to
GaN on SiC and LDMOS PAs.
Wider instantaneous bandwidth
and use of frequency bands above
5 GHz are two additional market
trends leading to more integrated
PAM solutions on GaN. Infineon’s
GaN on Si technology has the ca-
pability for MMIC integration, which
offers substantial benefits, not only
for meeting the output power speci-
fications, but also overcoming per-
formance limitations from the para-
sitic effects of cascading discrete
components, transistor parasitics
and bond wires, which typically
Solutions for 5G & mmWave Applications result in reduced bandwidth and
poorer energy efficiency.
KRYTAR specializes in the design and manufacturing of ultra-broadband, high-
performance microwave components and test equipment. Our products cover DC SUMMARY
to 110 GHz and are the ultimate solution for the emerging designs and test and This article discussed the devel-
measurement applications for 5G and mmWave. The broadband design expertise opment of an RF GaN on Si tech-
at KRYTAR has created unique new designs, several of which are patented. nology for wireless infrastructure
KRYTAR has applied these designs to consistently introduce technologically that improves the cost-performance
advanced products with superior electrical performance and ruggedness. value of GaN. After many years of
o Directional Couplers to 110 GHz GaN on Si development, the tech-
nology has matured to deliver its
o Power Dividers potential, providing efficiency on
o 3 dB 90 Degree Hybrid Couplers par with GaN on SiC at a lower cost
o 3 dB 180 Degree Hybrid Couplers
FDD: 38.3 dBm
o Beamforming TDD: Vc = Vg, 39 dBm
TDD: Vc = Off, 39.3 dBm
20
10
Response (dB)

0
–10
–20
–30
–40
–50
3.44 3.46 3.48 3.50 3.52 3.54 3.56
www.krytar.com Frequency (GHz)

1288 Anvilwood Avenue • Sunnyvale, CA 94089


 Fig. 11 Measured Doherty PA
Toll FREE: +1.877.734.5999 • FAX: +1.408.734.3017 • E-mail: sales@krytar.com spectrum in FDD and TDD modes using
DPD without the long-term memory model.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
36 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
IOT DEVICES

INDUSTRIAL AUTOMATION

GPS + LOCATION SERVICES

DESIGNED TO the
communication
experience

From 5G cellular equipment and networks to smart home and consumer level electronics,
KYOCERA AVX components continue to outperform and maintain a strong & reliable
connection, keeping you at the forefront of technology.

ǐǐǐDŽǒLjƼƾNjƺƺǏǑƼLjdž
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
CoverFeature
based on Si wafer processing. This article has shown conductors-for-RF-Applications-WBGS-RF-1240.html.
GaN on Si can meet the efficiency, linearization and 9. E. Cohen, “The MIMIC Program - A Retrospective,” Microwave
Magazine, June 2012, pp. 77–88. https://doi.org/10.1109/
power density requirements of 5G wireless communi- MMM.2012.2189989.
cation systems. We believe this is the start of a longer 10. B. Green, K. Moore, D. Hill, M. CdeBaca and J. Schultz, “GaN RF
journey, where further industry developments will push Device Technology and Applications, Present and Future,” IEEE
the capabilities of GaN on Si to higher frequencies and Bipolar/BiCMOS Circuits and Technology Meeting (BCTM), 2013,
pp. 101–106, https://ieeexplore.ieee.org/document/6798154.
higher power levels, potentially expanding applications 11. H. Wang, T.-Y. Huang, N. S. Mannem, J.Lee, E. Garay, D. Munzer,
beyond wireless infrastructure. Ed. Liu, Y. Liu, B. Lin, M. Eleraky, H. Jalili, J. Park, S. Li, F. Wang, A.
S. Ahmed, C. Snyder, S. Lee, H. T. Nguyen and M. E. Duffy Smith,
References “Power Amplifiers Performance Survey 2000-Present,” Georgia
1. N. Jones, “How to Stop Data Centres from Gobbling Up Tech Electronics and Micro-System Lab (GEMS), https://gems.
the World’s Electricity,” Nature 561, 2018, pp. 163–166, doi. ece.gatech.edu/PA_survey.html.
org/10.1038/d41586-018-06610-y. 12. T. Detzel, A. Charles, G. Deboy, O. Haeberlen and T. McDonald,
2. 3GPP, Release 16, www.3gpp.org/release-16. “The Commercialization of GaN Power Devices: Value Proposi-
3. “5G Power White Paper,” Huawei Technologies Co. Ltd., tion, Manufacturing, and Reliability,” Compound Semiconductor
https://carrier.huawei.com/~/media/CNBG/Downloads/ Week (CSW), 2019, pp. 1–1, https://ieeexplore.ieee.org/docu-
Spotlight/5g/5G-Power-White-Paper-en.pdf. ment/8819303.
4. Y. Tarui, Y. Hayashi and T. Sekigawa, “Diffusion Selfaligned MOST; 13. S. Sakata et al., “A Fully-Integrated GaN Doherty Power Ampli-
A New Approach for High Speed Device (1.Electrotechnical fier Module with a Compact Frequency-Dependent Compensa-
Lab.)” https://doi.org/10.7567/SSDM.1969.4–1. tion Circuit for 5G massive MIMO Base Stations,” IEEE/MTT-S
5. A. Wood, C. Dragon and W. Burger, “High Performance Silicon LD- International Microwave Symposium (IMS), 2020, pp. 711–714,
MOS Technology for 2 GHz RF Power Amplifier Applications,” IEEE https://ieeexplore.ieee.org/document/9223897.
International Electron Devices Meeting (IEDM), 1996, pp. 87–90. 14. K. Moore et al., “High Performance 150 mm RF GaN Technology
6. H. F. F. Jos, “Novel LDMOS Structure for 2 GHz High Power Bas- with Low Memory Effects,” 2020 IEEE BiCMOS and Compound
estation Application,” European Microwave Conference, 1998, Semiconductor Integrated Circuits and Technology Symposium
pp. 739–744. (BCICTS), 2020, pp. 1–4, https://ieeexplore.ieee.org/docu-
7. M. Rosker, “The Wide and the Narrow: DARPA/MTO Programs ment/9392951.
for RF Applications in Wide Bandgap and Antimonide-based 15. P. Saad, R. Hou, R. Hellberg and B. Berglund, “An 80 W Power
Semiconductors,” IEEE Compound Semiconductor Integrat- Amplifier with 50% Efficiency at 8 dB Power Back-off over 2.6-
ed Circuit Symposium, 2005, pp. 4, https://doi.org/10.1109/ 3.8 GHz,” 2019 IEEE MTT-S International Microwave Symposium
CSICS.2005.1531739. (IMS), 2019, pp. 1328-1330, https://ieeexplore.ieee.org/docu-
8. “Wide Band Gap Semiconductors for RF Applications,” Federal ment/8701113.
Grants, https://www.federalgrants.com/Wide-Band-Gap-Semi-

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
38 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
Most Valuable Product

Rubidium™ Signal Generators


Redefine Industry Standards for
Spectral Purity and
Stability
Alexander Chenakin, Suresh Ojha and Sadashiv Phadnis
Anritsu Company, Morgan Hill, Calif.

Anritsu’s new Rubidium signal generators address today’s market demands for high performance microwave
signal sources through 20 to 43.5 GHz. With innovation and quality as their driving principles, the Rubidium
signal generators challenge traditional performance expectations with atomic-grade frequency stability and super
clean phase noise of –140 dBc/Hz at 10 kHz offset from the 10 GHz carrier.

S
ignal generators are the salt of the earth; modern
YIG
2-20 GHz technologies could not exist without them.1,2 To ad-
dress today’s market requirements, Anritsu Company
has introduced the Rubidium series, a new generation of
xN
microwave signal generators based on an innovative technol-
Direct Analog
ogy3 that provides a combination of wide frequency coverage,
Converter fine resolution and high output power coupled with low phase
noise and atomic-grade stability. The synthesizer core is based
Direct Analog on a proprietary 2 to 20 GHz YIG oscillator that is locked to an
GPS DDS
Distribution internal reference extracted and distributed by direct analog
means as illustrated in Figure 1. The YIG native frequency cov-
Rb OCXO OCXO DRO
erage is further extended with a frequency multiplier and fre-
quency divider (followed by a high-power amplifier, amplitude
1 PPS control and harmonic filtering) to achieve 9 kHz to 20 GHz or
10 MHz
43.5 GHz coverage. The YIG output signal is down-converted
by a direct analog converter that eliminates any frequency di-
100 MHz
vider and, therefore, phase noise degradation within the phase
1.6 GHz lock loop. A switched frequency multiplier is inserted into the
loop that (a) reduces the number of frequencies generated by
the direct analog distributer and (b) also provides additional
Fig. 1 A simplified block diagram of Rubidium™ synthesizer core. residual PLL noise suppression at low frequencies.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
40 MWJOURNAL.COM
MWJOURNAL.COM NOVEMBER
NOVEMBER2021
2021
For reprints please contact the Publisher.
simulation case study

IoT calls for fast


communication
between sensors
Developing the 5G mobile network may not be the only step
to a fully functioning Internet of Things, but it is an important
one — and it comes with substantial performance requirements.
Simulation ensures optimized designs of 5G-compatible
technology, like this phased array antenna.

learn more comsol.blog/5G

The COMSOL Multiphysics® software is used for simulating designs, devices,


and processes in all fields of engineering, manufacturing, and scientific research.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
MostValuableProduct

–60
Typical Phase Noise (dBc/Hz)

–80

–100

–120

–140

–160

–180
10 100 1K 10 K 100 K 1M 10 M 100 M
Frequency Offset (Hz)

Fig. 2 Rubidium Typical Phase Noise at 10 GHz. Fig. 3 In-field power calibration with a USB power sensor.

As a result, the presented architec- slight frequency variations. Furthermore, stream that can be used to adjust the
ture provides essentially a noiseless the crystal material itself evaporates instrument’s internal time base to syn-
PLL mechanism, meaning it translates with time, eventually leading to frequen- chronize it with any worldwide frequency
synthesizer’s reference noise with no cy aging. Hence, introducing an atomic standard offered by global navigation
added phase noise degradation over standard radically improves the internal systems. This adjustment is performed
20logN fundamentals. A three-source time base stability—not in multiples, but with just a mouse click, thus, eliminating
combined reference is utilized to provide by several orders of magnitude. a need for sending the signal generator
the lowest possible phase noise at any IN-FIELD CALIBRATION for a factory calibration. Another valu-
given frequency offset. Furthermore, the able feature of this signal generator is
Precise and stable frequency and
combined reference is disciplined by a that it allows connecting a USB power
output levels are essential in a micro-
rubidium atomic clock that introduces a sensor (see Figure 3). The power sensor
wave signal generator. Hence, tradi-
much higher degree of stability com- enables measuring the power directly at
tional signal generators require periodic
pared to a conventional OCXO-based the device-under-test plane, therefore,
calibration. This represents a certain
reference. The rubidium clock operation taking into account any loss introduced
challenge. Whether the calibration lab is
is based on fundamental constants by external cables or other devices.
across the street or across the country,
rather than physical dimensions and, Furthermore, the precision power sensor
sending an instrument out for calibra-
hence, is extremely stable. Various allows calibrating the instrument output
tion during a critical time is an expen-
reference frequencies are available for power (in certain limits) as needed
sive and time-consuming proposition.
instrument synchronization including a without sending to the factory.
To reduce the total cost-of-ownership,
high frequency 1.6 GHz output for the Overall, the new Rubidium technol-
the Rubidium signal generators offer
highest fidelity. ogy offers superior performance in
a built-in calibration routine to adjust
The architecture of Rubidium signal comparison with traditional instruments.
its internal time base as well as output
generators delivers high performance in With excellent spectral purity and signal
power on the spot. One of the primary
respect to spectral purity and stability. stability, the Rubidium series is an ideal
tasks when calibrating a signal source
Phase noise is always a key specifica- signal source for design and manufac-
is to set its time base that ultimately
tion for signal generators. The Rubidium turing test of components and systems
defines the instrument’s frequency ac-
signal generators offer four noise grades for a wide variety of applications—in-
curacy. Fortunately, the Rubidium signal
exhibiting exceptional -140 dBc/Hz cluding wireless communications,
generators come with a rubidium time
at 10 GHz and 10 kHz offset with the aerospace and defense and consumer
base that is considered to be a frequen-
premium noise option as depicted in electronics.
cy standard itself. Thus, in most practical
Figure 2. Another important aspect is
scenarios, no frequency calibration is References
frequency stability. Conventional signal
required at all. This is very important 1. A. Chenakin, “Frequency Synthesis: Current
generators normally rely on 10 MHz
in some sensitive applications such as Status and Future Projections,” Microwave Jour-
ovenized crystal oscillators (OCXO)
aerospace and defense. nal, Vol. 60, Ed. 4, April 2017, pp. 22–36.
showing relatively stable performance.
The Rubidium signal generators in- 2. A. Chenakin, S. Ojha and N. Shtin, “An Over-
Nonetheless, an OCXO oscillation view of Today’s Microwave Signal Generators
clude a built-in global navigation system
frequency depends on the crystal Market and Technologies,” Anritsu Technical Bul-
receiver that receives a signal extracted
mechanical resonance or—in other letin, No. 94, March 2019, pp. 44–47.
from an on-board satellite high precision
words—on crystal dimensions. Obviously, 3. A. Chenakin, “Fractional-N Frequency Synthe-
atomic source circling the earth. The
with a temperature change, the crystal sizer with Reduced Spurious and Low Phase
output of the receiver is a 1 pps pulse
dimensions change too, resulting in Noise,” US Patent Office, patent pending.

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
42 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
JT
co
CM
co
th
w
st
lif
to
fo
go
m
te
ou
th
fa
on

Dream ON
Y
M
m
CM
pa
M
ca
in
te
CEO Chris Marki Combines Creativity, Innovation co
and Hard Work to Deliver Performance Shattering Products su
is
in an Environment that Promotes Engineering Excellence fin
co
An Interview with CEO Chris Marki of Marki Microwave by JT Konstanturos
pl
in
JTK: Please tell me how Marki big to solve the industry’s toughest on an old concept. Marki Microwave
th
Microwave came to be. technical problems. is one such example. My father
in
CM: My parents founded Marki in 1991, started in the field in 1971 and the
JTK: Can you tell me what is new cl
funded only by their own savings. It technologies available to him during
in RF today? bu
was an incredible risk, but my dad, as his career are fundamentally different
fo
he tells the story today, “never had a CM: Actually, very little is new in RF than the ones we use today. But the
pr
doubt” we would succeed. My dad, anymore. Almost everything that we physics are the same and the basic
in
who immigrated to the United States see today is a variation on a very old circuit concepts are identical. My dad zo
during the Hungarian Revolution, had and well understood theme. This is not is retired now, but whenever I show te
become a world class mixer designer a criticism of our industry, but more him a new idea or cool innovation, he be
by this point in his career, so he was of a recognition of the maturity of the instantly recognizes the underlying cu
very confident that he would be able field. RF technology dates to World physics and novelty. Nothing is new—
to deliver best in class performance… War II when the British used radar to only repurposed and repackaged JT
he was right. 30 years later, Marki help with the Battle of Britain. Most of using modern manufacturing and p
Microwave still believes in dreaming what you see in RF is a modern spin technology.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission. CM
For reprints please contact the Publisher.
ADVERTORIAL
JTK: When did you join the up innovation and mostly ignore Stevie Ray Vaughan or Steve Vai play the
company? end markets. I believe that the most guitar. They were doing things with six
successful products require a “key strings that felt magical. I have always
CM: I joined in 2007. I had just
technical insight”, to steal a phrase wanted to “bottle lightening” like that,
completed a PhD in optics and realized
I learned in the book How Google and I see a lot of similarities between
that my dad had the best job in the
Works. My entire career in inventing and the act of product design and the act of
world – invent stuff, build stuff and sell
innovating products has involved ignoring musical expression. It wouldn’t surprise
stuff. Coming out of grad school, that
specific markets or platforms and instead me if both of those came from the same
lifestyle was very appealing compared
focusing on key technical challenges that part of the brain. I have always wanted to
to constantly chasing research funding
face all our customers. This approach express my own technical ability through
for questionably good ideas. My first
guarantees that we are always focused inventive and unique ideas, and in that
goal was to not break anything and
on cutting edge technology and not on way, I consider my designs to be like a new
my second was to find new and better
wayward marketing projections. To do song or melody. The good news is that
techniques to design and manufacture
this, you must constantly antagonize inventive creativity and the desire to write
our products. We have had a good run of your existing capabilities and search for “unique music” is incredibly useful if you
things and are about to move into a new new ideas to help solve hard problems. want to make differentiated technology.
factory that is six times bigger than the
There is a reward in my field for people
one I started at in 2007. JTK: Marki Microwave is
who can come up with clever solutions to
investing in quantum computing,
Your company states it is 100% problems, and a musical or artistic sense
is that correct?
Made in America. What does that is correlated, in my opinion. Music is also
CM: Mainstream adoption of quantum central to my design practice. In fact, I
mean to you?
computing is not an if but a when. It is associate certain bands and songs with
CM: We aren’t “Made in America” for any truly changing the way we look at solving specific Marki Microwave designs – I
particular ideological reason. We are complex problems, but standardization must have listened to Chris Cornell’s final
Made in America because that is how we is far off. Our interest in quantum solo album 100 times while designing
can manage to build the best hardware is to understand how we fit into the the circuits that eventually became the
in the world. Our designs and assembly ecosystem now and into the future, and MM1-0626 mixer.
techniques are highly differentiated and then develop products and solutions that
complex, and we carefully choose our fit that particular space. I only recently JTK: Are you hiring?
suppliers and partners. Nothing we do began to appreciate that most of the big CM: You bet. We are looking for the
is a commodity, so it is extremely rare to players in QC were already avid Marki best and the brightest talent who are
find something inside Marki that actually customers, and many of the companies not afraid to challenge the status quo.
could be offshored. Beyond this, if you with headline grabbing announcements Some of the biggest advantages of
place your reputation on delivering best often use Marki hardware. My job is to working with a company our size is that
in class performance for your products, listen to these incredible engineers and we are small enough for you to have
then it is important that the inventors and scientists, learn about their challenges, visibility and growth potential but large
innovators on your technical team have and position our product pipeline (to enough to provide the most up to date
close access to the people who actually borrow a phrase from our company equipment and the expertise to support
build your parts. It would be impossible motto) “to shatter performance barriers.” career growth. Most importantly, we
for me to innovate on many of our
have been around long enough to
products if I had to fabricate the assembly JTK: How would you describe the
have earned an industry reputation for
in a distant country with a different time Marki Microwave culture?
building cutting edge products you can’t
zone and language. The life blood of our CM: Innovative and creative – both traits find anywhere else.
technology is in the packaging, so it must
are in Marki Microwave’s DNA. There is
a strong artistic gene in my family. My
be done domestically if we wish it to stay To view Marki Microwave’s
cutting edge. father was a master jeweler and painter products and job openings, visit
before he became an engineer. My w w w. m a rk i m i c r o w a v e . c o m .
JTK: What markets are your mother was an outstanding violin player. For sales information, contact
products designed for? I also have that creative instinct. When I sales@markimicrowave.com
CM: I am big believer in bottom- was younger, I would watch in awe to see or (408) 778-9952.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
OCTAVE BAND LOW NOISE AMPLIFIERS
Model No. Freq (GHz) Gain (dB) MIN Noise Figure (dB) Power -out @ P1-dB 3rd Order ICP VSWR
CA01-2110 0.5-1.0 28 1.0 MAX, 0.7 TYP +10 MIN +20 dBm 2.0:1
CA12-2110 1.0-2.0 30 1.0 MAX, 0.7 TYP +10 MIN +20 dBm 2.0:1
CA24-2111 2.0-4.0 29 1.1 MAX, 0.95 TYP +10 MIN +20 dBm 2.0:1
CA48-2111 4.0-8.0 29 1.3 MAX, 1.0 TYP +10 MIN +20 dBm 2.0:1
CA812-3111 8.0-12.0 27 1.6 MAX, 1.4 TYP +10 MIN +20 dBm 2.0:1
CA1218-4111 12.0-18.0 25 1.9 MAX, 1.7 TYP +10 MIN +20 dBm 2.0:1
CA1826-2110 18.0-26.5 32 3.0 MAX, 2.5 TYP +10 MIN +20 dBm 2.0:1
NARROW BAND LOW NOISE AND MEDIUM POWER AMPLIFIERS
CA01-2111 0.4 - 0.5 28 0.6 MAX, 0.4 TYP +10 MIN +20 dBm 2.0:1
CA01-2113 0.8 - 1.0 28 0.6 MAX, 0.4 TYP +10 MIN +20 dBm 2.0:1
CA12-3117 1.2 - 1.6 25 0.6 MAX, 0.4 TYP +10 MIN +20 dBm 2.0:1
CA23-3111 2.2 - 2.4 30 0.6 MAX, 0.45 TYP +10 MIN +20 dBm 2.0:1
CA23-3116 2.7 - 2.9 29 0.7 MAX, 0.5 TYP +10 MIN +20 dBm 2.0:1
CA34-2110 3.7 - 4.2 28 1.0 MAX, 0.5 TYP +10 MIN +20 dBm 2.0:1
CA56-3110 5.4 - 5.9 40 1.0 MAX, 0.5 TYP +10 MIN +20 dBm 2.0:1
CA78-4110 7.25 - 7.75 32 1.2 MAX, 1.0 TYP +10 MIN +20 dBm 2.0:1
CA910-3110 9.0 - 10.6 25 1.4 MAX, 1.2 TYP +10 MIN +20 dBm 2.0:1
CA1315-3110 13.75 - 15.4 25 1.6 MAX, 1.4 TYP +10 MIN +20 dBm 2.0:1
CA12-3114 1.35 - 1.85 30 4.0 MAX, 3.0 TYP +33 MIN +41 dBm 2.0:1
CA34-6116 3.1 - 3.5 40 4.5 MAX, 3.5 TYP +35 MIN +43 dBm 2.0:1
CA56-5114 5.9 - 6.4 30 5.0 MAX, 4.0 TYP +30 MIN +40 dBm 2.0:1
CA812-6115 8.0 - 12.0 30 4.5 MAX, 3.5 TYP +30 MIN +40 dBm 2.0:1
CA812-6116 8.0 - 12.0 30 5.0 MAX, 4.0 TYP +33 MIN +41 dBm 2.0:1
CA1213-7110 12.2 - 13.25 28 6.0 MAX, 5.5 TYP +33 MIN +42 dBm 2.0:1
CA1415-7110 14.0 - 15.0 30 5.0 MAX, 4.0 TYP +30 MIN +40 dBm 2.0:1
CA1722-4110 17.0 - 22.0 25 3.5 MAX, 2.8 TYP +21 MIN +31 dBm 2.0:1
ULTRA-BROADBAND & MULTI-OCTAVE BAND AMPLIFIERS
Model No. Freq (GHz) Gain (dB) MIN Noise Figure (dB) Power -out @ P1-dB 3rd Order ICP VSWR
CA0102-3111 0.1-2.0 28 1.6 Max, 1.2 TYP +10 MIN +20 dBm 2.0:1
CA0106-3111 0.1-6.0 28 1.9 Max, 1.5 TYP +10 MIN +20 dBm 2.0:1
CA0108-3110 0.1-8.0 26 2.2 Max, 1.8 TYP +10 MIN +20 dBm 2.0:1
CA0108-4112 0.1-8.0 32 3.0 MAX, 1.8 TYP +22 MIN +32 dBm 2.0:1
CA02-3112 0.5-2.0 36 4.5 MAX, 2.5 TYP +30 MIN +40 dBm 2.0:1
CA26-3110 2.0-6.0 26 2.0 MAX, 1.5 TYP +10 MIN +20 dBm 2.0:1
CA26-4114 2.0-6.0 22 5.0 MAX, 3.5 TYP +30 MIN +40 dBm 2.0:1
CA618-4112 6.0-18.0 25 5.0 MAX, 3.5 TYP +23 MIN +33 dBm 2.0:1
CA618-6114 6.0-18.0 35 5.0 MAX, 3.5 TYP +30 MIN +40 dBm 2.0:1
CA218-4116 2.0-18.0 30 3.5 MAX, 2.8 TYP +10 MIN +20 dBm 2.0:1
CA218-4110 2.0-18.0 30 5.0 MAX, 3.5 TYP +20 MIN +30 dBm 2.0:1
CA218-4112 2.0-18.0 29 5.0 MAX, 3.5 TYP +24 MIN +34 dBm 2.0:1
LIMITING AMPLIFIERS
Model No. Freq (GHz) Input Dynamic Range Output Power Range Psat Power Flatness dB VSWR
CLA24-4001 2.0 - 4.0 -28 to +10 dBm +7 to +11 dBm +/- 1.5 MAX 2.0:1
CLA26-8001 2.0 - 6.0 -50 to +20 dBm +14 to +18 dBm +/- 1.5 MAX 2.0:1
CLA712-5001 7.0 - 12.4 -21 to +10 dBm +14 to +19 dBm +/- 1.5 MAX 2.0:1
CLA618-1201 6.0 - 18.0 -50 to +20 dBm +14 to +19 dBm +/- 1.5 MAX 2.0:1
AMPLIFIERS WITH INTEGRATED GAIN ATTENUATION
Model No. Freq (GHz) Gain (dB) MIN Noise Figure (dB) Power -out @ P1-dB Gain Attenuation Range VSWR
CA001-2511A 0.025-0.150 21 5.0 MAX, 3.5 TYP +12 MIN 30 dB MIN 2.0:1
CA05-3110A 0.5-5.5 23 2.5 MAX, 1.5 TYP +18 MIN 20 dB MIN 2.0:1
CA56-3110A 5.85-6.425 28 2.5 MAX, 1.5 TYP +16 MIN 22 dB MIN 1.8:1
CA612-4110A 6.0-12.0 24 2.5 MAX, 1.5 TYP +12 MIN 15 dB MIN 1.9:1
CA1315-4110A 13.75-15.4 25 2.2 MAX, 1.6 TYP +16 MIN 20 dB MIN 1.8:1
CA1518-4110A 15.0-18.0 30 3.0 MAX, 2.0 TYP +18 MIN 20 dB MIN 1.85:1
LOW FREQUENCY AMPLIFIERS
Model No. Freq (GHz) Gain (dB) MIN Noise Figure dB Power -out @ P1-dB 3rd Order ICP VSWR
CA001-2110 0.01-0.10 18 4.0 MAX, 2.2 TYP +10 MIN +20 dBm 2.0:1
CA001-2211 0.04-0.15 24 3.5 MAX, 2.2 TYP +13 MIN +23 dBm 2.0:1
CA001-2215 0.04-0.15 23 4.0 MAX, 2.2 TYP +23 MIN +33 dBm 2.0:1
CA001-3113 0.01-1.0 28 4.0 MAX, 2.8 TYP +17 MIN +27 dBm 2.0:1
CA002-3114 0.01-2.0 27 4.0 MAX, 2.8 TYP +20 MIN +30 dBm 2.0:1
CA003-3116 0.01-3.0 18 4.0 MAX, 2.8 TYP +25 MIN +35 dBm 2.0:1
CA004-3112 0.01-4.0 32 4.0 MAX, 2.8 TYP +15 MIN +25 dBm 2.0:1
CIAO Wireless can easily modify any of its standard models to meet your "exact" requirements at the Catalog Pricing.
Visit our web site at www.ciaowireless.com for our complete product offering.

Ciao Wireless,
Content is copyright protected Inc. 4 0for
and provided 0 0 personal
V i a P e s cuse
a d oonly
r, C a-mnot
a r i lfor
l o , reproduction
C A 9 3 0 1 2 or retransmission.
Tel (805) 389-3224 Fax please
For reprints (805) contact
389-3629 sales@ciaowireless.com
the Publisher.
DefenseNews
Cliff Drubin, Associate Technical Editor

DoD Taking Measures to Protect Nuclear MESA Radar Sets Sights on Airborne
Weapons, Space Assets Battlespace

T he Defense Department (DoD) relies on


nuclear-armed bombers, submarines and
intercontinental ballistic missiles, as well as
space-based sensors, to provide a strategic deterrence
umbrella for the homeland and to protect deployed
W edgetail. Peace Eagle. Peace Eye. Known
by different names, the 737 Airborne Early
Warning & Control (AEW&C) system pro-
vides a long-range picture of the battlespace with its
enhanced Airborne Moving Target Indication (AMTI)
forces, allies and partners. capability.
However, sensitive microelectronics used in these as- Perched on top of the AEW&C system is the Northrop
sets could be vulnerable to high levels of ionizing radia- Grumman designed and built multi-role electronically
tion caused by several factors, including cosmic rays in scanned array (MESA) sensor. The MESA radar elec-
outer space, severe solar storms and an electromagnet- tronically scans the skies around the Boeing 737-based
ic pulse caused by a high altitude nuclear detonation. aircraft, providing the warfighter with an unrestricted
“To protect against these threats, the DoD has de- 360-degree view.
veloped techniques to protect microelectronics used Near-peer adversaries have been developing sophis-
in satellites, spacecraft, the nuclear triad and the triad’s ticated advancements in platforms and system capa-
command and control center,” said Rich Ryan, director bilities that threaten the warfighters’ advantage in the
for international programs, nuclear forensics, resiliency battlespace. The MESA radar for the AEW&C system
and survivability in the office of the deputy assistant provides critical domain awareness for warfighters and
secretary of defense for nuclear matters. This protec- allows them to see farther and make accelerated and
tion, known as hardening, can consist of manufacturing informed decisions to meet mission objectives.
chips on insulated material, redundant circuits, altering MESA provides the ability to dynamically adjust to
the design of circuits and placing a shield over the mi- each unique or emerging tactical situation. The radar
croelectronics. Each of the methods used undergoes can extend its detection range without having to fly
rigorous radiation testing in military and government closer to a threat situation. By targeting its energy to
laboratories to ensure they work in hazardous condi- the threat, the sensor can nearly double its detection
tions, he said. range in the emphasis location, all while maintaining a
In the past, full background of the entire battlespace. Compared to
there was no other surveillance radars, MESA also has higher update
central reposi- rates for enhanced tracking, bringing battle manage-
tory for identify- ment to the edge of the radar surveillance envelope.
ing and access- The system enables tracking of airborne and mari-
ing parts that time targets simultaneously, including the ability to re-
have been cer- visit high profile targets at rapid rates. At the same time,
tified as radia- MESA provides
tion hardened. a fully integrat-
On September ed, long-range
Satellite (NASA Photo) 30, the DoD Identification,
opened a parts Friend or Foe
library to serve the department and other agencies with (IFF) capability
requirements for radiation hardened parts, including to maintain con-
NASA and the Department of Energy. The cloud-based tinuous surveil-
library is hosted by Nimbis Services in Oro Valley, Ariz. lance of the op-
Known as the Trusted Silicon Stratus Distributed erational area.
Transition Environment, the authority to operate this li- MESA Radar (Source: Northrop Grum- Modern jam-
brary was issued by the Strategic Radiation Hardened man) ming threats
Electronics Council; the Air Force Research Laboratory can jeopardize
at Wright Patterson Air Force Base, Ohio; and the Naval the warfighters’ understanding of the environment.
Surface Warfare Center Crane Division in Indiana. “The With MESA’s multiple channels and independent re-
authorization comes as a clarion call to improve supply ceivers, the sensor uses modern electronic protection
chain visibility across the nuclear enterprise. Establish- techniques to adapt to jamming and electronic attack
ing this microelectronics library is key to improving the threats, while simultaneously maintaining situational
ability to analyze key parts, their sources and to facilitate awareness. No matter the threat, it ensures the war-
government re-use of intellectual property throughout fighter retains a constant view of the battlespace.
the DoD,” Ryan said.

For More Visit mwjournal.com for more defense news.


Information

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
MWJOURNAL.COM  NOVEMBER 2021 47
For reprints please contact the Publisher.
DefenseNews

TPY-4 Radar Setting New Standard in tal, software-


defined sensor
Airspace Threat Detection architecture, al-

L
lowing users to
ockheed Martin’s TPY-4 has received its of- maintain ongo-
ficial U.S. Government nomenclature—AN/ ing surveillance
TPY-4(V)1—officially marking the radar’s ma- throughout the
turity and its ability to deliver fully digital technology, mission.
therefore setting a new standard for the future of radars. That is be-
TPY-4 offers multi-mission capabilities, such as early cause TPY-4 ra-
warning, situational awareness, tactical ballistic missile dar users are not
surveillance and air defense. It also integrates the latest locked by the
mature commercial technologies to create a revolution- system’s hard-
ary radar architecture. ware. Users can
The first TPY-4 is well ahead of any competition and transmit and re-
already in production to be unveiled by the end of this ceive digitally,
year. The radar’s production sub-assemblies are passing allowing for
environmental and performance tests, attributed to the more enhanced
foundation built and validated under Lockheed Martin’s target identifi-
investment and the commonality with the U.S. Army’s TPY-4 (Source: Lockheed Martin) cation and clas-
Sentinel A4 radar. The radar’s test results continue to sur- sification. Earlier
pass model predictions, as validated by open air testing. radars may have some level of digitization, but Lock-
TPY-4 is an internationally available, transportable, heed Martin’s software-defined TPY-4 radar is digital
multi-mission radar that can operate in contested RF at every element and across the entire architecture.
environments and provide the warfighter an ability to Users do not have to account for downtime for time-
detect and track threats better than any previous radar consuming actions, like hardware upgrades or manual
available today. It accomplishes this with a fully digi- data transfers.

Y u
u
M k
flfl

A
( 2™)

u
>
>K
>

> fifififi
To learn more, visit: >
www.gelpak.com/lcs2
>E

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
48 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
26 TO 86 GHZ

mmWave
Components
400+ Models and Counting

• In-house design and manufacturing capability


• Industry-leading quality
• Supply chain security—no EOL target through
the life of your system

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
CommercialMarket
Cliff Drubin, Associate Technical Editor

The Last Drone Standing: First Responder and $40K for its six-rotor drone with a hydrogen fuel
UAS Endurance Challenge system, long endurance and lifting capabilities. Team
Autonomous Robotics Competition Club, from Penn-

P icture this: A person is lost in the desert. Lo-


cal first responders initiate a search and res-
cue operation. As they conduct the search,
they’re faced with a problem: loss of broadband signal.
This isn’t a made-up scenario. First responders often
sylvania State University, took third place and received
$20K for its multirotor, gas-electric hybrid drone. Team
Endure Air also contributed to the UAS competition
with its single-rotor helicopter drone.

work in conditions where communication networks are


weak or not available. One possible solution is to use Global 5G Connections Are Growing
drones to deploy broadband networks, making signals Rapidly
available anywhere. But today’s drones often can’t pro-
vide adequate support to first responders. The equip-
ment is too heavy for drones to carry for very long.
To help solve the largest challenges facing the first
responder community, NIST often turns to private com-
panies, academic researchers, hobbyists and others via
S ubscriber adoption of 5G has increased sig-
nificantly, as global wireless 5G connections
for the second quarter of 2021 reached 429
million, according to data from Omdia, said 5G Ameri-
cas.
open innovation prize challenges. This time, the First Chris Pearson, president of 5G Americas said, “5G is
Responder Unmanned Aircraft System (UAS) Endur- progressing at a very rapid pace. Yet, the pace of sub-
ance Challenge addressed the obstacle of extending scriber uptake is only the beginning. 5G will be founda-
the flight time for drones that carry heavy payloads. The tional for a new era of technology innovation through-
objective for challenge participants was to design, build out the world.”
and fly a UAS that could carry a 10-pound (4.5-kg) com- According to Omdia, the world added 124 million
munications device to deploy broadband coverage for 5G connections between Q1 and Q2 of 2021, increas-
as long as possible to transfer critical data files to the first ing 41 percent from 305 million to 429 million. 5G re-
responders. And as part of the challenge, participants mains on pace to triple the number of connections in
were tasked with overcoming difficult technical require- 2020 and is forecast to reach 692 million globally by the
ments including weight restrictions, vertical takeoff and end of the calendar year.
landing, an ignition kill system and an appropriate fuel Additionally, ten 5G commercial networks went live
system, all while ensuring cost-effectiveness. globally in Q2 2021, bringing the global total up to 182
Forty-three teams entered the competition, of which networks, according to data from TeleGeography. That
five final competitors spent a total of 14 months design- number is expected to reach 220 by the end of 2021
ing and building lightweight drones that go beyond and 323 by the end of 2023.
today’s technical capabilities by flying continuously for Forward projections for 5G and 4G LTE from Omdia
90 minutes or longer with heavy payloads. The prize remain healthy, with estimates of global 5G connections
challenge competitors built their systems with the in- reaching 4.7 billion in 2026. Of that, 512 million is ex-
tention of providing broadband service to boots-on- pected to come from North America and 277 million in
the-ground first responders when they lack network or Latin America and the Caribbean.
bandwidth. This includes servicing areas with a lack of In its first year of commercial availability in Latin
cellular network coverage or where cellular infrastruc- America and the Caribbean, 5G connections reached
ture has been compromised due to a natural disaster or 15,706. LTE remains the dominant wireless cellular
areas with limited backhaul connectivity, which links the technology in the sub-region with 454.8 million con-
main network to remote locations. nections, an addition of 71 million new LTE subscrip-
The competition’s top prize went to Team Advanced tions year over year, representing 18.4 percent annual
Aircraft Company (AAC), which received $100K for its six- growth.
rotor drone with propellers on each arm. AAC is a vet- According to Jose Otero, vice president of Caribbe-
eran-owned company based in Hampton, Va., that spe- an and Latin America for 5G Americas, “The ongoing
cializes in building American-made drones that enable geographic expansion of enhanced mobile broadband
longer flights through their hybrid-electric propulsion networks together with the wider availability of hand-
system. The company builds UAS devices that are quick sets supporting this technology is driving 4G adop-
to set up and easy to use for its customers, including tion in markets such as Brazil, Colombia and Mexico.
members of the public safety community. Unlike some However, at the other end of the spectrum, 2G and 3G
other prize challenge competitors, AAC’s drone is not a continue to lose subscribers as operators start planning
prototype but a product it currently is selling. their disconnection and prepare for the impending
The other top teams in the competition included mass-market demand of 5G services, expected to start
Team Intelligent Energy, which received second place in 2024.”
For More
Information Visit mwjournal.com for more commercial market news.

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
MWJOURNAL.COM  NOVEMBER 2021 51
For reprints please contact the Publisher.
CommercialMarket
shipped over 25 mil-
Challenges of Integrating Antennas into lion units through 2020.
IoT Devices These wideband anten- The antenna
nas work on all frequen-

B y 2025, 7.2 billion antennas will be shipped


for IoT devices—nearly threefold of what
it is today. With new product launches and
evolution of existing devices requiring multiple radios,
smaller form factors and greater device breadth, OEMs
cies between 698 and
10,500 MHz and are
tuned purely through the
matching network. This
technology shifts the fo-
is less viewed
as a standalone
component, but as
one that functions
are increasingly challenged both at the design phase cus of antenna integra-
and when getting their products to market. A new white tion from the antenna as part of a broader
paper from ABI Research highlights the challenges cre- component itself to the
ated by the small, yet critical, antenna component and comparatively simple system.
the real-life impact it has on all IoT product design and matching network,
certification processes. which can significantly
Increasingly, antenna vendors are required to work reduce complexity, antenna selection and integration
more collaboratively with their customers to limit the time for IoT devices. Ignion’s technology addresses the
potential pitfalls of the process. The antenna is less and critical concerns of OEMs regarding RF design in IoT
less seen as a standalone component, but as one that products.
functions as part of a broader system. Offering software IoT designs are evolving to incorporate more radios,
and services on top of the antenna component itself to miniaturize devices and to reduce power consump-
presents significant emerging opportunities for tradi- tion. There are a huge number of OEMs operating in
tional component vendors, as RF engineering gains the space, with many getting to large volumes while
complexity from expanding network standards and ac- many others experiment with smaller-scale products.
cessible radio spectrum. Simplifying the job of RF design in these conditions be-
One antenna vendor taking a different approach to comes critical, meaning there are significant opportu-
its incumbent competitors is Ignion, a Spanish company nities for antenna vendors willing to modify how they
responsible for creating the Virtual Antenna, which has interact with customers.

SGX1000
Signal Generators

HIGH-PERFORMANCE SIGNAL GENERATION


3, 6, and 18 GHz models
Easy-to-use modern interface
Compact form factor

Phone: +1.973.386.9696
www.boonton.com

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
52 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
One
Transceiver,
Endless Radio
Applications
Our industry-leading, software-defined radio
solutions—including the new ADRV9002—deliver
scalability, simplicity, and flexibility across all military
communication applications to get you to market faster.

End-to-End Wide Signal Low


Agile
Signal Chain Bandwidth Power

Get to market faster at


analog.com/radioverse

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
Around the Circuit cone Engineering’s profitability is comparable to that of
the Elastomeric Material Solutions business unit.
Barbara Walsh, Multimedia Staff Editor
COLLABORATIONS
MERGERS & ACQUISITIONS Rohde & Schwarz and Vector Informatik are col-
Maury Microwave Inc., a pioneering leader in RF calibra- laborating on closed-loop scenario testing of auto-
tion, measurement and modeling solutions backed by motive radar sensors for advanced driver assistance
Artemis Capital Partners, announced that it has com- systems (ADAS) and autonomous driving. Coupling
pleted the acquisition of dBm Corp. Inc. dBm expands the DYNA4 virtual test drive simulation platform from
Maury’s test and measurement technology portfolio into Vector with the latest Rohde & Schwarz radar moving
the emulation market. They complement and strengthen object stimulation system enables powerful verifica-
Maury’s heritage in mission-critical defense and commer- tion of safety-critical ADAS functions. These include
cial satellite communications programs of record. dBm emergency braking in an integrated hardware-in-the-
will continue to operate from its existing headquarters in loop (HiL) environment. HiL testing is a technique used
Oakland, N.J., as a division of Maury and continue to be to accelerate the development of complex real-time
led by its founding team of Dale Sydnor (president), Bill embedded systems such as ADAS in situations where
Pastor (vice president of Engineering) and Mike Cagney using a fully assembled vehicle is not possible or too
(vice president of Sales & Marketing). costly, time-consuming or dangerous. Instead, the op-
eration and behavior of supporting systems are elec-
dB Control, an international defense electronics manu- tronically simulated.
facturer and subsidiary of HEICO Corp., has acquired
100 percent of Paciwave Inc. for cash paid at closing. Apple collaborated with Ansys to launch the first-of-
The acquisition will add specialized RF and microwave its-kind RF safety testing simulation solution for Apple’s
components—as well as integrated microwave subsys- MagSafe module technology developers. The novel
tems—to dB Control’s existing product line for military technology eliminates the need for physical prototypes
organizations, major defense contractors and commer- and expensive RF safety certification software—reduc-
cial OEMs. These new products will be designed and ing costs while simultaneously accelerating the certifica-
manufactured specifically for defense and complex elec- tion process for developers MagSafe chargers use mag-
tronics applications that require PIN diode switches, PIN nets in newer iPhone models to connect to accessories
attenuators, PIN limiters, switching assemblies and inte- that also have magnets built inside, including chargers,
grated subsystems. Sunnyvale, Calif.-based Paciwave is a cases and docks. Apple’s MFi Program offers develop-
specialized designer and manufacturer of RF and micro- ers the technical specifications and resources for creat-
wave components and integrated assemblies. ing MagSafe accessories that communicate with Apple
devices using MFi technologies and components.
Antares Defence Systems announced the addition of
Scimitar Technology Ltd. to their stable of defence and COMSovereign Holding Corp. announced that its In-
security related companies. This acquisition will devel- novation Digital LLC unit and IQ-Analog entered into
op their presence beyond RF subsystems into tactical a strategic development alliance targeted at “beyond
situational awareness equipment for use in utilities, first 5G” wireless communication. As part of the strategic
responders, police, training and military environments. alliance, IQ-Analog will incorporate Innovation Digital’s
Building on the fantastic work already completed over patented digital signal processing algorithms and archi-
the last five years they wish departing CEO Caius tectures into its next generation of application specific
Hawkins the best of luck with his new ventures. Tech- integrated circuits designed for future commercial and
nical Director Ross Nicholls is staying on in the same military markets.
role, continuing the growth of the product suite and
they look forward to developing their customer offering NEW STARTS
rapidly during the coming year. Ferrite Microwave Technologies launched a new web-
site with a special focus on circulators, isolators and
Rogers Corp. has announced its acquisition of Silicone other high-power components. The new website offers
Engineering Ltd., a leading European manufacturer of visitors fresh content, new product data and the lat-
silicone material solutions based in Lancashire, UK. Sili- est information about FMT’s products and capabilities.
cone Engineering expands Rogers’ existing advanced With a new and improved look, FMT’s world-class com-
silicones platform and provides Rogers a European ponents have individual pages for device applications,
Center of Excellence to service customers requiring technical data and renderings for each component.
premium silicone solutions for applications in the EV/ This includes dedicated pages for: circulators, isolators,
HEV, Industrial, Medical and other markets. Rogers’ ex- loads, duplexers, windows, phase shifters and much
pects the transaction to be accretive to 2022 earnings more. Ferrite has a design tool library of 1400+ devices,
per share. Trailing twelve-month revenues for Silicone and this expansive line of high-power microwave com-
Engineering were approximately £30 million, and Sili- ponents is now on full display.

For More
Information For up-to-date news briefs, visit mwjournal.com

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
54 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Amazingly Low Phase Noise
SAW VCO’s Features:
| Very Low Post Thermal Drift Patented Technology

| Small Size Surface Mount


Frequency Tuning Voltage DC Bias VDC PhaseNoise @ 10 kHz
Model
[MHz] [VDC] I @ [Max.] (dBc/Hz) [Typ.]

HFSO2000-5 2000 0.5 - 12 +5 VDC @ 100 mA -137

HFSO2000-5L 2000 0.5 - 12 +5 VDC @ 100 mA -133

HFSO1600-5 1600 0.5 - 12 +5 VDC @ 100 mA -137

HFSO1600-5L 1600 0.5 - 12 +5 VDC @ 100 mA -133

HFSO1500-5 1500 0.5 - 12 +5 VDC @ 100 mA -140

HFSO1200-5 1200 0.5 - 12 +5 VDC @ 100 mA -142

HFSO1000-5 1000 0.5 - 12 +5 VDC @ 35 mA -141

HFSO1000-5H 1000 0.5 - 12 +5 VDC @ 35 mA -144

HFSO1000-5L 1000 0.5 - 12 +5 VDC @ 35 mA -137

MSO1000-3 1000 0.5 - 14 +3 VDC @ 35 mA -138

HFSO800-5 800 0.5 - 12 +5 VDC @ 20 mA -146

HFSO800-5H 800 0.5 - 12 +5 VDC @ 20 mA -150

HFSO800-5L 800 0.5 - 12 +5 VDC @ 20 mA -142

Package dimension varies by model. ( 0.3” x 0.3” to 0.75” x 0.75” )


For extended temperature range ( -40º to 85 ºC ), call us!

Talk To Us About Your Custom Requirements.


Phone: (973) 881-8800 | Fax: (973) 881-8361
E-mail: sales@synergymwave.com | Web: www.synergymwave.com
Mail: 201 McLean Boulevard, Paterson, NJ 07504
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
follow-on orders from a government contractor to pro-
vide Ku- and Ka-Band block upconverters as compo-
Around the Circuit nents of complex satcom transportable terminals in
support of the U.S. Army.
ACHIEVEMENTS
Movandi released test drive results showing how 5G Smiths Interconnect announced a six-year contract to
mmWave can deliver exceptional performance in a mov- deliver a highly integrated multi-channel subsystem
ing automobile when Movandi BeamXR powered smart to support General Atomics Aeronautical Systems’
repeater with Movandi BeamX cloud software control, Lynx® Multi-Mode Radar in ground and maritime mis-
machine learning (ML) and artificial intelligence (AI) are sions. The Lynx radar pinpoints time-sensitive targets
combined. This landmark test in a moving vehicle dem- and produces high-resolution photographic imagery
onstrated the ability of these high frequencies and cloud on a wide field-of-view coverage for manned and un-
intelligence to deliver high quality of service and multi- manned aircraft systems. Smiths Interconnect’s sub-
gigabit per second downlink speeds in difficult mobile system contributes to the accuracy of the Ground/
environments. Movandi mounted a prototype mmWave Dismount Moving Target Indicator technology and en-
repeater behind the windshield in the car and measured ables the Lynx radar system to distinguish slow mov-
downlink and uplink speeds on a smartphone with and ing targets from stationary clutter. Smiths Interconnect’s
without the repeater. It was conducted at speeds up to extensive experience in the design and manufacture of
28 MPH using Verizon’s 28 GHz ultra-wideband network highly integrated subsystems was instrumental in the
over a 3-mile (5-km) route along DeAnza Boulevard, one choice of the supplier for the Lynx system.
of the busiest corridors in Silicon Valley near Apple’s cor-
porate headquarters in Cupertino, Calif. Julia Computing has been awarded funding by the
U.S Department of Defense Advanced Research
OneWeb confirmed the next successful launch of 34 Projects Agency (DARPA) to bring Julia’s advanced AI
satellites by Arianespace from the Baikonur Cosmo- and ML capabilities to the field of fully digital phased
drome. The launch follows the successful completion of array systems. Funding was awarded as part of DARPA’s
OneWeb’s ‘Five to 50’ mission and highlights the mo- Tensors for Reprogrammable Intelligent Array Demon-
mentum of the business as it prepares to both intro- strations (TRIAD) program. This project is a further step
duce commercial service and focus on scaling to global in Julia Computing’s extensive ML research program.
service. This latest successful launch brings OneWeb’s Julia Computing is partnering with RF expert Profes-
total in-orbit constellation to 288 satellites. These will sor Miguel Bazdresch at the Rochester Institute of Tech-
form part of OneWeb’s 648 LEO satellite fleet that will nology to demonstrate these capabilities on purpose-
deliver high speed, low latency global connectivity. Lift- built phased array testbeds, constructed from low-cost
off occurred on August 21 at 11:13 BST. OneWeb’s sat- MIMO software-defined radios and NVIDIA GPUs.
ellites separated from the rocket and were dispensed in
nine batches over a period of 3 hours 45 minutes with Comtech Telecommunications Corp. announced that
signal acquisition on all 34 satellites confirmed. during its first quarter of fiscal 2022, it was awarded
$4.6 million of funding from the U.S. Army to provide
ongoing system refurbishments, sustainment servic-
CONTRACTS es and baseband equipment. This most recent order
Amentum, a contractor to U.S. federal and allied gov- continues its sustainment of the U.S. Army’s family of
ernments, has been awarded a $90 million contract ground satellite terminals including providing spare
to support the Program Executive Office Integrated parts, repairs, upgrades, refurbishments, logistics and
Warfare Systems Above Water Sensors (PEO IWS 2.0) engineering services and training. Comtech Telecom-
and the Naval Surface Warfare Center, Crane Divi- munications Corp. is a leading global provider of next-
sion (NSWC Crane) with systems engineering services. generation 911 emergency systems and secure wireless
Amentum was awarded the contract under the Depart- communications technologies to commercial and gov-
ment of Defense Information Analysis Center’s (DoD ernment customers around the world.
IAC) multiple-award contract (MAC) vehicle. These
DoD IAC MAC task orders are awarded by the U.S. Air PEOPLE
Force’s 774th Enterprise Sourcing Squadron to develop
and create new knowledge for the enhancement of the Mercury Systems Inc. announced that Mitch Stevison
Defense Technical Information Center repository and has joined the company as executive vice president
the R&D and S&T community. and chief growth officer, effective immediately. Report-
ing to Mark Aslett, Mercury’s president and chief execu-
Citadel Defense has been awarded a sole-source con- tive officer, Dr. Stevison will drive and align the com-
tract for $6 million from a classified U.S. Department of pany’s growth strategy across the enterprise to achieve
Defense customer to build and deploy an AI-powered its growth objectives. Dr. Stevison, a 20-year U.S. Army
counter-drone solution. The system will be deployed veteran, brings to Mercury more than 16 years of global
at sensitive government locations and effectively op- experience in the aerospace and defense industry. He
erated by non-specialist military personnel and first comes to Mercury from Raytheon Missiles & Defense,
responders. The solution is designed to autonomously where he served as vice president of Strategy.
detect, classify, track, and defeat unwanted unmanned
aerial systems using state-of-the-art AI, ML and sensor Infinite Electronics Inc. has appointed Matthias Nor-
fusion. Mission Microwave Technologies has received weg to the position of senior vice president of
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
56 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
Around the Circuit
Corporate Development. In this role,
Norweg will lead Infinite’s global
mergers and acquisitions, including
target identification, due diligence,
deal negotiation and working with the
broader Infinite team on integration
planning. Norweg comes to Infinite
with 20 years of experience in corporate development,
investment banking and venture capital. Immediately
prior to Infinite, he served as senior vice president of
Corporate Development and treasurer at Synchronoss
Technologies.

Gowanda Electronics announced that the company’s


CEO, Donald McElheny, retired at the end of August
2021 after 24 years with the company. McElheny joined
Gowanda Electronics in 1997 as New Product Devel-
opment manager. Initially he oversaw the exploration
and development of new electronic components for
Gowanda but he took on increasing responsibility in
subsequent years, being named COO in 2006. McEl-
heny became CEO in 2011.

Modelithics Inc. welcomed Dr. Ali Boudiaf to the com-


pany as director of Lab Operations. Dr. Boudiaf comes
to Modelithics with 30 years of experience in the RF,
microwave and mmWave engineering
arena. In his new role at Modelithics,
his responsibilities include managing
all aspects of lab operations as well as
advancing Modelithics microwave
and mmWave test system capabili-
mwjournal.com ties. Prior to joining Modelithics, Dr.
S Ali Boudiaf
Boudiaf was the CEO and co-founder
of Smart MedTech. He also previously worked at ERZIA
Technologies as director of Amplifier Systems. Dr.
Boudiaf’s prior experience also includes positions with
Maury Microwave, Focus Microwaves, Agilent Technol-
ogies and ATN Microwave.

REP APPOINTMENTS
M y m
AGC Multi Material America Inc. announced that effec-
tive immediately, they will be partnering with Tritek Cir-
cuit Products. Tritek will become the West Coast distribu-
tor for AGC Multi Material America’s digital laminate and
prepreg materials. Tritek Circuit Products is a customer
centric, full-service distributor to the PCB fabricators in
the region. Tritek is in its 30th year of doing business with
the same mission of bringing world-class products and
expert level technical service to their customers. AGC
Multi Material America Inc. develops and manufactures
high speed digital and RF/microwave materials for the
automotive, telecommunications and internet infrastruc-
ture, enterprise and military/aerospace markets.
J
Arralis Technologies Ltd., an advanced mmWave com-
munications solution provider, has signed a technol-
ogy, sales and distribution agreement with TXMission,
an advanced new space and airborne communications
systems provider. The companies will jointly develop a
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
58 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Next-Generation RF Solutions
for Mission Critical Systems
The Industry’s Most Reliable, High-Performance GaN & GaAs Solutions

Frequency PSAT PAE Gain Part


Description
Range (GHz) (dBm) (%) (dB) Number
17.5 dBm Low Noise Amplifier DC-40 20 – 10.5 CMD242K4
10 Watt GaN Amplifier 2-20 40 22 13 QPA2962
150 Watt GaN Amplifier 2.9-3.5 52 58 28 QPA3070
100 Watt GaN Amplifier 5-6 50 47 22 QPA2309
50 Watt GaN Amplifier 5-6 48 49 23 QPA2310
12 dBm Low Noise Amplifier 6-18 15 – 27 CMD328K3
Low Insertion Loss SPDT Switch 8-12 – – 1.2 QPC2040

Qorvo® offers customers the most advanced combination of power and performance with its industry leading GaN
power amplifiers and its new portfolio of high-performance GaAs MMICs that cover the entire RF signal chain.
Qorvo’s RF solutions set the standard for reliability, efficiency and design flexibility, and is a trusted and preferred
supplier to the DoD and leading defense contractors around the globe. As the industry’s only MRL 10 GaN supplier,
customers can depend on Qorvo solutions to support mission critical applications that operate in the harshest
environments on land, sea, air and space. At Qorvo we deliver RF and mmWave products to Connect, Protect and
Power RF systems All Around You®.
TM

To learn more, visit qorvo.com or connect with our distribution partner RFMW at www.rfmw.com/qorvoradar/43

© 02-2021 Qorvo US, Inc. | QORVO, ALL AROUND YOU and CONNECT, PROTECT AND POWER are trademarks of Qorvo US, Inc.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
Around the Circuit
suite of communication products for smallsat, HAPS,
UAV and airborne applications that require high per-
formance and extremely low size, weight and power.
Development will initially focus on a range of advanced
Ka-Band transceivers with integrated gigabit software-
defined radios, satellite terminals, phased array anten-
nas and network management systems.

MCS Test, a consultant and supplier of EMC, wireless,


avionics, RF & microwave and mobile radio test equip-
ment, is now an authorized distributor for Keysight
Technologies in the U.K.

RFMW and CML Microcircuits Inc. announced the ex-


3 pansion of their already-successful business relation-
ship. Their existing distribution agreement has now
been widened to include global marketing and sales of
the CML product portfolio. This partnership is poised
to build on the success of CML’s new SµRF range of
high frequency, high bandwidth ICs targeting RF and
mmWave markets. The SµRF range is a perfect fit for
the RFMW distribution product line and presents an
exciting opportunity to distribute and promote these
fifififi products on a global scale.

SV Microwave announced that they have signed a dis-


tribution agreement with Master Electronics Inc. who is
currently stocking several of their top-selling products.
Master Electronics Inc. is based in Phoenix, Ariz., and is a
global authorized distributor of electronic components.
Master Electronics thrives on collaborating with its cus-
tomers and suppliers to design creative solutions to their
purchasing, supply chain and business challenges.

PLACES
pSemi® Corp., a Murata company focused on semicon-
ductor integration, announced the opening of a branch
office in Taipei City, Taiwan. Murata established its pres-
ence in Taiwan more than 40 years ago, taking into con-
sideration the nation’s semiconductor manufacturing
ecosystem, geographic proximity to other Asian coun-
tries and talent pool. Sharing space with Murata, pSemi’s
Taiwan office is located at Rm. 1503, 15F., No. 88, Section
2, Zhongxiao E. Road, Taipei, 10050. It is in close proxim-
O ity to Murata Electronics’ factory in Taichung, enabling
pSemi to leverage Murata’s manufacturing footprint and
to facilitate joint IC and module development.

UL, the global safety science leader, announced that it will


open expanded electromagnetic compatibility (EMC) and
wireless laboratory in Carugate, Italy. The enhanced facil-
ity will feature an end-to-end service solution for EMC and
wireless testing for a wide range of industries, including
consumer electronics, information technology equipment,
telecommunications, medical, industrial, lighting and small
and large appliances. UL’s EMC-Wireless laboratory ex-
pansion will allow for an increase in testing capabilities for
wireless professional appliances, connected industrial de-
vices as well as connected consumer medical and in vitro
diagnostic devices. It will also increase testing capacity and
speed, thanks to multiple equipment and facility upgrades.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
60 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
MWJPerspective

The RF GaN Device Market:


A Roller-Coaster Ride
Poshun Chiu and Ezgi Dogmus
Yole Développement (Yole), Lyon-Villeurbanne, France

I
n as little as five years, analysts at Yole Développe- back their billion-dollar investments, 5G/LTE telecoms
ment (Yole) predict the already mighty RF GaN device applications are already providing a return on the in-
market will mushroom from some $900 million to $2.4 vestment. At least for now.
billion (see Figure 1). Three decades of investment
from defense organizations around the world has placed BASE STATION ADOPTION
this high power density, high efficiency material firmly on China’s Huawei started using GaN RF devices in
the compound semiconductor map, with GaN devices its 4G LTE remote radio heads (RRH) in 2014. The
routinely used in military radar and electronic warfare. As telecom behemoth had decided to trade low-cost
defense agencies look to commercial applications to pay Si LDMOS for GaN’s high power density and wide

 Fig. 1 GaN RF device market evolution from 2020 to 2030. Source: GaN RF Market: Applications, Players, Technology, and
Substrates 2021 report, Yole Développement, 2021

62 Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
THE FUTURE OF COMMUNICATION
For those who have noticed slow video
streaming or download rates for their
large digital files on their smartphone or
other mobile communications device
working on 4G LTE, 5G is the answer. It
operates within many of the frequency
ranges as 3G and 4G LTE, but it provides
much-needed bandwidth for growing
numbers of worldwide wireless users and
their applications. 5G can be
implemented in low-band, mid-band or
high-band millimeter-wave 24 GHz up to
54 GHz.

Waveguide transmission lines not only handle more power with much 57%
less loss at millimeter-wave frequencies than coaxial cables, but 5G Network technology
when interconnected to waveguide horn antennas they can combine for is projected to cover 57%
the high gain, typically 25 dBi or more at mid-band for even "standard-gain" of the market worldwide
waveguide horn antennas, and the outstanding directivity needed to maintain
by 2025.
line-of-sight (LOS) links at millimeter wave frequencies. Moreover,
waveguide horns are also physically small enough to be total unobtrusive
within the many indoor 5G infrastructure setups.
3 BILLION
When 5G links require even more gain, top component suppliers such By 2025, there is
as Impulse Technologies are ready with high-gain waveguide horn projected to be 3 billion
antennas from innovative developers such as Anteral and RF Spin. With 5G mobile subscriptions.
waveguide horn antennas, millimeter waves will be the future of 5G.

Anteral releases a High Performance Diplexer for 5G E-band Backhaul Systems with point-to-point radio
links at 71/76 GHz and 81/86 GHz and can deliver up to 10 Gbps in a dense radio environment. The
technology used makes it less sensitive to manufacturing tolerances, which is perfect for mass production.
Its fabrication robustness makes this diplexer ideal for industrial applications. Anteral also provides a Dual
Polarized Lens Horn Antenna for 5G & 6G (E & D-Band) Backhaul Systems ideal for High-Gain Lens Horn
Antennas.
RF Spin offers the QRH50E antenna, which is an enhanced version of the broadband bestseller that helps
hundreds of the world’s leading players develop, test, and deploy 5G networks. This is an advanced
antenna with exceptional technical parameters and excellent design in aluminum alloy with a frequency
range of 5 GHz to 50 GHz. As part of their ongoing efforts to provide cutting-edge tools for the
development, testing and implementation of advanced 5G networks, RF Spin launches a pyramidal horn
antenna (H-A33) with a frequency range of 22 GHz to 33 GHz.

Impulse Technologies will provide you with the most innovative components and the latest technology to
keep you up to speed. To learn more please contact mleone@impulse-tech.com.

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
OR MWJPerspective
D F

S
EN
PA
G
CE
INE
ER
E

NEW

 Fig. 2 Historic GaN RF device revenue and forecast growth. Source: Compound
Semiconductor Quarterly Market monitor, Q3 2021, Yole Développement

ERZ-LNA-0100-4000-45-5

Trust Our Proven  Fig. 3 Global GaN RF device suppliers. Source: GaN RF Market: Applications,
Players, Technology, and Substrates 2021 report, Yole Développement, 2021
Legacy for Your Next
bandwidth and other OEMs fol- are moving to six-inch GaN on SiC
NewSpace Mission lowed. Today, the power of GaN is wafer production in a bid to reduce
being harnessed by the 5G infra- the technology’s high price tag.
ERZIA
structure market. Tried-and-tested In 2020, NXP, the Netherlands-
GaN on SiC technology is widely based semiconductor manufactur-
“space-qualified” devices that used in 5G sub-6 GHz RRH base er and key LDMOS player, opened
stations and is expected to main- a six-inch GaN on SiC facility in Ari-
tain its stronghold for some time. zona, signaling strong customer in-
Wideband amplifier—just one of In part due to the monumental terest in GaN RF devices for a cost-
170+ COTS amplifiers that have 5G network sharing deal between effective price. This year, longtime
operators in China, the technol- GaN on SiC partners, Sumitomo
ogy is also being adopted in 5G Electric Device Innovations (SEDI)
sub-6 GHz active antenna systems of Japan and U.S. semiconductor
(AASs). To deploy more efficient manufacturer, II-VI, opened a six-
antenna types, the Chinese opera- inch fab in New Jersey to churn
F r tors ditched cheaper LDMOS tran- out power transistors for 5G base
rz a. / r du / na/464
sistors for higher performing GaN stations at a more cost-competitive
on SiC devices in these sub-6 GHz price. As NXP and SEDI/II-VI ramp
AAS deployments, a decision that up production, U.S. semiconductor
kick-started GaN adoption else- makers Wolfspeed, Qorvo and oth-
where. Today, other OEMs have re- er fabs are acting to transition to
WE
W TAKE YOU FURTHER alized the value that GaN can bring six-inch GaN on SiC production—
to 5G wireless infrastructure and clear signs the technology is set to

64 Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
PRECISION RF
MICROWAVE/MILLIMETER WAVE APPLICATIONS
18 GHz to 110 GHz • PRECISION & PERFORMANCE • BOARD LAUNCH, DESIGN SERVICES

PUSH-ON THREADED GANGED

Cable-to-Board Board-to-Board Cable-to-Board Cable-to-Board Board-to-Board

Quick Attach, Blind Mate Robust, Superior Repeatability Quick Attach, High Density

For more information visit samtec.com/PrecisionRF


Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
LOW LEAKAGE MWJPerspective
LEVEL LIMITERS capture market share from LDMOS n77 to n79 C-Band, as an alterna-
(Leakage Level as low as --- dBm) (see Figure 2). tive to mmWave and the traditional
... - ʴ GHz sub-6 GHz cellular bands.
INTERNATIONAL TENSIONS What might happen next? If
But what about the ongoing more OEMs around the world de-
trade conflict between the U.S. mand GaN PAs, more foundries will
and China? How is this affecting fabricate the technology and the
the GaN RF device market? Like momentum will build. If GaN PAs
the U.S., the Chinese government are widely adopted for mmWave
is determined to make the most front-ends in handsets, the tech-
of its GaN investments and grow nology’s success is likely sealed.
commercial 5G applications. While
U.S. sanctions have stymied China’s SIC OR SI?
progress, the restrictions have also Along the way, the rivalry be-
pushed the government to en- tween GaN on SiC and GaN on Si
courage development of a home- wafers will play out. With cheap,
grown, internal GaN ecosystem. large diameter Si substrates, GaN
At the beginning of the ten- on Si presents a bright opportuni-
sions, Huawei and ZTE stockpiled ty for OEMS to develop relatively
devices so they could continue to cheap GaN-based devices and sys-
. Maximum Input Power W CW, build base stations and other net- tems built on the foundation of an
W Peak work infrastructure. Since, many established, scalable wafer supply.
. Options for Leakage Levels organizations up and down the Keen to explore this opportunity,
--- dBm supply chain have been funneling MACOM and STMicroelectronics
- ʱ dBm investments into GaN. Examples joined forces to develop a GaN
dBm include wafer manufacturers and on Si platform in 2018. Infineon is
+ ʱ dBm
. Removable connectors for
foundries SICC and HiWafer, inte- also developing GaN on Si for RF
circuit board assembly grated device manufacturers (IDM) applications. Earlier this year, U.S.
. Ideal for LNA Protection CETC and Dynax and Huawei’s own defense giant Raytheon and Global
design house, HiSilicon (see Figure Foundries announced a collabora-
3). Importantly for China, industry tion to transfer Raytheon’s GaN on
feedback indicates that tier one CMOS process to Global Found-
suppliers are now producing qual- ries for commercialization.
ity systems with Chinese compo- While these are significant in-
nents. Given this, the rest of the dustry developments and GaN on
world is monitoring China’s prog- Si may deliver the cheaper PAs
ress very closely. that high volume, cost-sensitive 5G
handsets will demand, the tech-
GAN HANDSET PAS? nology does not benefit from the
There’s more to come for GaN. billion-dollar defense investments
In the longer term, 5G handsets awarded to GaN on SiC. Conse-
could also harbor many opportuni- quently, the GaN on Si supply chain
Notes: ties for the GaN RF device market. is not yet developed, and technol-
. DC Supply required: +ʱV, ʱmA Typ.
.. Typical and nominal leakage levels
Today, GaAs is the leading power ogy development spans from epi-
for input up to W CW. amplifier (PA) technology in smart- taxy to modules. Still, the pros and
. Threshold level is the input power phones, such as the iPhone 13, and cons of GaN on Si and GaN on SiC
level when output power is dB will retain its dominance particularly are becoming clearer for the indus-
compressed.
in sub-6 GHz handsets. But indus- try; for now, the technology choice
Other Products: Detectors, Limiters, try trends are changing. The move depends on the OEM’s strategy
Amplifiers, Switches, Comb Generators, to large bandwidth, higher power and view of the trade-offs.
Impulse Generators, Multipliers,
Integrated Subassemblies density and efficiency and greater
integration, to save space in the TO THE SKIES
Please call for Detailed Brochures
handset’s RF front-end, mean GaN Satellite communication (sat-
is beginning to appeal to OEMs, com) offers another promising av-
especially for the mmWave bands. enue for GaN. While destined to
Indeed, at least one major semi- be a niche, low volume market,
conductor player is qualifying 5G satcom has been attracting many
155 Baytech Drive, San Jose, CA 95134 handset PAs designed with GaN for industry players keen to apply GaN
Tel: (408) 941-8399 . Fax: (408) 941-8388
Email: Info@herotek.com several OEMs. In the coming years, to applications such as very small
Website: www.herotek.com the industry may see the first adop- aperture terminal (VSAT) satellite
Visa/Mastercard Accepted tion of a GaN PA in luxury phones broadband. Here, GaN PAs from
that use the increasingly popular Qorvo, Wolfspeed and others have
66
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Software for
Simulating 5G
mmWave and
MIMO Systems
Remcom’s unique ray tracing capability
simulates the detailed multipath of large
MIMO arrays while overcoming the limitations
of traditional ray tracing methods.

s 3D ray tracing valid up to 100 GHz


s Simulate massive MIMO arrays
s MIMO beamforming, spatial multiplexing,
and diversity techniques

s Throughput and capacity for MIMO systems


s Diffuse scattering
s High-performance computing
Beamforming with massive MIMO (top) shows
significant improvement to throughput over
links between single antennas (bottom) Learn more at www.remcom.com/5g-mimo

+1.888.7.REMCOM (US/CAN) | +1.814.861.1299 | www.remcom.com

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
MWJPerspective
been replacing traveling wave tube lar scenarios are playing out in Asia
amplifiers, improving reliability and and Europe, where organizations
lowering the system costs of trans- such as Thales, SAAB and BAE
mit-receive ground stations. Myri- Systems are all working on GaN
ad large-scale projects have been technologies that will also feed the
springing up across the U.S. and commercial telecoms markets.
Europe, driving the development
of solid-state GaN PAs for VSAT MANY QUESTIONS
and other applications. While the future of the GaN RF
In the future, GaN’s radiation device market is bright, the level of
hardness and its high power effi- success hinges on yet-to-be deter-
ciency and power density will see mined dynamics. For GaN on SiC,
the technology increasingly used the smooth transition to six-inch
in satellites. Key industry players wafers will be important for its near-
including Canada’s Norsat Interna- term success. As NXP, SEDI/II-VI and
tional, the European Space Agency other players make the transition,
and GaN device manufacturers will the production of quality wafers
UMS, Qorvo and OMMIC are all in- proceed as planned? If not, will this
vestigating GaN for satellites. dampen GaN on SiC’s adoption by
5G? Meanwhile will handset manu-
STALWART DEFENSE facturers opt for GaN on Si PAs in
Space aside, the reliable defense their 5G devices? What happens
market will be a strong supporter if these industry players abandon
of GaN for many years. Billion- both GaN options and retain GaAs
dollar projects from U.S. govern- or adopt alternative technologies,
ment agencies and prime contrac- such as SOI, which offer sufficient
tors such as Northrop Grumman, performance? What will be the im-
Lockheed and Raytheon, as well pact of the deepening tensions be-
as defense semiconductor sup- tween the U.S. and China?
pliers Wolfspeed and Qorvo, will Only time will reveal how the
continue to fund technology devel- RF GaN roller-coaster ride ends.
opment and insertion in programs. Throughout the ride, Yole Dével-
These efforts will require a secure oppement will scrutinize market
and robust supply chain that will developments, analyze the strate-
benefit the commercial telecom gic questions and share our view of
and other markets to follow. Simi- the likely outcomes.

ONLINE PANEL SER


SERIES
December 14:
Radar Sensors and Communications Technologies
for ADAS/Autonomous Vehicles

January 19:
Additive Manufacturing and 3D Printing
Technologies for RF/Microwave Applications

February 16:
New 5G Beamsteering Antenna Arrays and
Repeaters for mmWave Deployments

Organized by:
Register Now at mwjournal.com/onlinepanels

68 Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
TechnicalFeature

The Open RAN System


Architecture and mMIMO
Volker Aue
Xilinx, Dresden, Germany

O
pen radio access networks (O-RAN) For O-RAN networks to succeed and
are transforming mobile networks. become accepted, the standardization of
O-RAN is about the disaggrega- interfaces and proven interoperability are
tion of the traditional RAN system the keys to success. 3GPP has investigated
into the radio unit (RU), distributed unit (DU) different functional split options between
and centralized unit (CU) components and the CU and DU (see Figure 1).2 The O-RAN
their hardware and software platforms.1 O- Alliance has chosen 3GPP split option 2
RAN fosters innovation by involving more for the interface between the CU and DU
manufacturers in the development of the and split option 7 as the DU to RU inter-
RAN infrastructure, enabling new entrants to face. The centralization of the packet data
compete and disrupt the market if they can convergence protocol (PDCP) layer enables
offer a competitive edge. Ideally, the O-RAN scaling with the user plane traffic load. The
standards will create a broad RAN supplier O-RAN Alliance has chosen the so-called
ecosystem, where operators can pick and split option 2-2, enabling the separation
choose components from different suppliers of the U-plane from the other planes, while
and not be bound to a single company. The having a centralized radio resource control-
disaggregation of hardware and software ler and radio resource manager. For the
enables virtualization, meaning large parts interface between DU and RU, the O-RAN
of the network functions become virtualized Alliance has chosen an intra-physical layer
and can be run on commercial off-the-shelf (PHY) split, i.e., between the low PHY and
hardware or general purpose processors. high PHY.
Virtualization also enables “cloudification,” The high-level functional partitioning
where many functions are hosted by multi- into the CU, DU and RU is shown in Fig-
ple servers, typically bundled in one or more ure 2. The link between the RU and DU is
data centers. referred to as the fronthaul, and the link

RRC PDCP High- Low- High- Low- High- Low- RF


RLC RLC MAC MAC PHY PHY

Data Option Option Option Option Option Option Option Option


1 2 3 4 5 6 7 8

High- Low- High- Low- High- Low- RF


RRC PDCP
RLC RLC MAC MAC PHY PHY

Data

 Fig. 1 Functional split between the CU and DU.


Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
70 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
TechnicalFeature
between the CU selecting the fronthaul interface,
CU DU RU the following aspects must be con-
RLC MAC High Low Radio
and DU is referred
RRC
SDAP PHY PHY to as the midhaul. sidered:
PDCP Due to the vari- Transport Bandwidth — Refer-
Midhaul Fronthaul ring to Figure 1, the required data
< 50 km < 30 km ous control loops
< 2 ms < 160 μs within the system, rate reduces from the right (the op-
different latencies tion 8 interface between the PHY
 Fig. 2 Network function partitioning into the CU, DU and RU. can be tolerated. and RF) to the left. The chosen
The most critical split provides a good compromise
Scrambling interface is the between flexibility and algorithmic
Modulation fronthaul, which differentiation, with a modest de-
typically tolerates mand on the data rate.
O-DU Layer Mapping
latencies up to 160 Architecture Split — The split
Precoding
(Bypass Mode Possible) µs. If a point-to- must reflect the intention of an O-
RE Mapping point connection RAN architecture: vendor neutral
is used to connect hardware and software. The radio’s
IQ Compression O-RU Category A O-RU Category B
the RU to the DU, performance is defined not only
O-RAN FH
Non-precoding a distance be-
Precoding O-RAN by the radio hardware, but also by
IQ Decompression
O-RAN radio unit. tween the RU and
radio unit. Precoding the way the signals are processed.
Precoding Precoding in radio in radio is supported.
is not supported. DU of up to 30 km To be accepted by the market, an
Allows support for
Digital
Beamforming can be supported.
modulation O-RAN system must deliver com-
As this article’s
compression. parable performance to conven-
iFFT and CP
Addition focus is the RU, the tional single-vendor systems. The
Digital and Analog Digital and Analog
O-RU
Digital to Analog beamforming discussion is more
beamforming
architectural split enables innova-
Analog
optional. on the O-RAN
optional. tion and stimulates differentiation
Beamforming fronthaul interface and, if possible, should not stipu-
and the corre- late certain processing algorithms
 Fig. 3 O-RAN architecture. Source: O-RAN sponding architec-
Fronthaul or preclude alternative processing
Working Group.3 tural split. When techniques. The O-RAN Alliance
has chosen an interface that de-
fines the radio hardware with clear-
ly defined and understandable pro-
Wi l cessing functions tightly controlled
by the DU and its software.
Interoperability — Interoper-
ability between different vendor
systems is key for O-RAN to be ad-
opted by the market. Therefore, the
architectural split must provide an
interface easily understood by any
implementer, clearly described with
no room for interpretation and rig-
orously tested for interoperability.
The O-RAN Alliance has defined
an interface referred to as the 7.2x
split.3 In the 7.2x split, the O-RAN
fronthaul interface resides between
the resource element mapping in
the DU and the time-frequency con-
version in the RU, i.e., the inverse
FFT (iFFT) and cyclic prefix (CP) ad-
dition in the downlink and CP re-
moval and FFT computation in the
uplink, respectively (see Figure 3).
The dotted processing blocks in the
figure are not mandatory for all RU
categories. Precoding for certain
RU categories can be done within
the RU, in which case precoding in
the DU is bypassed. For mMIMO
radios, the interface foresees digi-
tal beamforming on the RU side.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
72 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Taking High Reliability
Even Higher
As innovation in satellite communication advances, the need for low-SWaP
devices for building both ground- and flight-capable hardware evolves
with it. Discover our full line of high reliability microwave components
designed for use in satellite communications.

Product Type Key Features


• Power Dividers/Splitters • Small size, lightweight and frequency stable at -55°C to 125°C
• Directional Couplers • Integrated shielding
• Bandpass Filters • Space heritage technology qualified
• Highly repeatable electrical performance

Learn more: www.rfmw.com/dielectric

Talk to us today to explore a range of catalog


and custom design options: sales@rfmw.com

knowlescapacitors.com
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
TechnicalFeature
Digital beamforming is omitted for data modeling language. Typical controlled radiating elements. This
conventional radios, which typically configuration data includes information is useful for the O-DU
have only a small number of trans- • Setting up the carrier (e.g., the to compute the beamforming
ceivers. Additionally, the O-RAN center frequency, bandwidth and weights used to form the desired
Alliance distinguishes between power) beams. The beamforming weights
category A and B type O-RUs. The • Mapping the antenna layers determine the direction and shape
category B architecture supports • Fully resetting the software of the of the beam. Especially in mMIMO
MIMO precoding in the O-RU; cat- RU systems, a different set of beam-
egory A does not. The category B • Updating the O-RU software, as forming weights is typically used
O-RU provides support for modu- the M-plane supports download- for every time transmission interval;
lation compression, a technique to ing entire software images. however, changes can occur as of-
reduce the fronthaul bandwidth by Typical parameters that can be ten as every OFDM symbol.
moving the modulation function to queried concerning the O-RU state As mentioned, the O-RAN Alli-
the O-RU. and general information are ance supports the vision of disag-
In addition to the user traffic han- • Physical structure of the antenna gregated hardware and software.
dled by the so-called U-plane of the radiating panel Therefore, it has defined the radio
fronthaul interface, O-RAN defines • Clock synchronization state (O-RU) to be directed by the O-DU,
three other planes: the M-plane • Fronthaul interface version and where the algorithms for channel
for handling management control information about supported C- estimation, weight computations
data, the C-plane for handling near and U-plane section types and and near real-time user schedul-
real-time control data and the S- extensions ing reside. The traffic associated
plane for handling synchronization • Boot state with the provision of beamforming
(frequency and time). The M-plane • O-RU alarms and performance weights can be substantial and may
is primarily used for configuring the counters, such as the number of be the same order of magnitude as
RU, reading out status information packets received AND number the user plane traffic. Hence, differ-
and handling errors and alarms.4 It of U-plane data packets received ent means of reducing the traffic
is entirely based on the NETCONF on time, late or corrupt. are defined in the O-RAN standard.
protocol, an XML-based protocol The radiating panel is modeled For a cellular network to work
to set and query the operation of a as a rectangular array of equally properly, the radio units must be
network device. It uses YANG as its distributed and independently synchronized with an accuracy of
±25 ppb in frequency and ±1.5 µs
in time. The O-RAN standard de-
fines several means of synchroniz-
ing the RUs to the network, with
the predominant method for syn-
chronization the IEEE 1588 proto-
col, also referred to as precision
time protocol (PTP). PTP is based
on measuring the time of arrival
of IP packets. However, since the
IP traffic may be subject to jitter,
a relatively long observation time
is needed to achieve the desired
frequency accuracy. Therefore, O-
RAN provides the option to make
use of SyncE, which uses the line
rate to convey the clock from the
source (e.g., the O-DU or a switch)
to the O-RU. IEEE 1588 has addi-
tionally defined hardware functions
built into switches and routers that
enable adjusting time stamps due
to latencies introduced by those
network functions. Since not all net-
work elements may be equipped
with such a function, the latencies
 may be difficult to estimate.
 In some cases, the packet delay
 jitter introduced by the network
may not be tolerable. O-RAN pro-
 vides another methodology, to
synchronize the O-RUs using GPS.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
74 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
TechnicalFeature
fundamental sharing architectures
GPS Antenna
exist: If each operator uses its own
GPS
Splitter O-DU, the O-RU must act as two
independent RUs to the DU. If not,
Time Server only the O-RU and the O-DU are
RU
shared between operators. MO-
100 Gbps
IEEE 1588 eCPRI RAN is transparent to the RU. Such
+ IEEE 1588 an architecture brings restrictions,
+ syncE RU
(Optional) such as time-division duplex (TDD)
Switch 100 Gbps
downlink and uplink transmission
0 to 30 km
CU DU
0 to 160 μs
periods aligned over both opera-
RU tors’ networks, which must be care-
100 Gbps fully handled to avoid conflicts.
Transmission Site
RADIO ARCHITECTURE FOR
MMIMO
 Fig. 4 System using GPS at the RU.
The O-RAN fronthaul interface
In this case, the O-RU has a built- natively, it may be equipped with supports both conventional radios,
in GPS receiver to synchronize the its own GPS receiver. As an alterna- with two or four transceivers, or
O-RU to the accurate GPS clock and tive to GPS or as a fallback in the mMIMO radios. MIMO is a means
time (see Figure 4). Multiple RUs at case of GPS synchronization failure, to increase the capacity of a mo-
a site receive the GPS signal from a the O-DU may provide frequency bile network by using the spatial
common active antenna, and each and time synchronization to the O- domain, where the “multiple input,
O-RU has a GPS receiver. The O- RUs. multiple output” refers to the radio
RUs are connected to an O-DU that In some cases, cross-licensing channel. Signals sent by multiple
may be located at the site or up to agreements allow operators to use transmitters are received by mul-
30 km away, e.g., in a data center, the spectrum of another operator to tiple receivers. Assuming propaga-
and the O-DU receives its time syn- offer a joint service. This is referred tion conditions permit, advanced
chronization through the network to as multi-operator RAN (MORAN). channel coding methods and signal
from an external time server. Alter- From the O-RU perspective, two processing algorithms enable sepa-
rating the transmit signals from the
receive signals. mMIMO applies
MULTIPLIED CRYSTAL OSCILLATOR when the number of single anten-
(MXO SERIES) na terminals (i.e., number of users)
transmitting at a given frequency
and time is much less than the num-
ber of base station antennas receiv-
ing. TDD, reference sequences and
Radar Systems feedback from the terminals to the
Instruments base station help apply the same
Test Equipment principles to the downlink.
Reference Oscillator In general, the more transceiv-
ers in a mMIMO system, the more
Phase Coherent Systems users can be served over the same
communication channel, assum-
ing the propagation characteristics
support user discrimination. The
3GPP standards provide up to 256
-170.7 transceivers. However, as the cost
dBc/Hz and power consumption increase
• 100 MHz to 12 GHz, Fixed with the number of transceivers,
• OCXO & Integrated Multipliers practical configurations for a mMI-
• Noise Floors to -190 dBc/Hz MO base station range between
500 MHz • Excellent Spectral Purity 16 transmitters and 16 receivers
501-26838 Rev. A
• Very Low Jitter Option (16T16R) and 64 transmitters and
• Phase Lock Options 64 receivers (64T64R).
• Multiple Output Options The antennas in a mMIMO sys-
• Easily Customized tem are arranged in an array, where
each antenna may consist of a
For more info contact us at: subarray of antenna elements. A
Wenzel Associates, Inc P: 512.835.2038
2215 Kramer Lane, Austin, Texas 78758 E: sales@wenzel.com typical arrangement for a 64T64R
panel is shown in Figure 5, a 12
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
76 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Cuming Microwave
engineered materials from PPG

Telecom and 5G applications


Telecommunications is a rapidly changing industry; the constant march toward higher
frequencies, greater bandwidths, and faster data rates quickly makes yesterday’s
engineering solutions obsolete. As the RF spectrum gets more crowded, one must
manage unwanted EM noise with the careful application of RF absorbing materials.

At PPG, engineers at our Cuming Microwave business have a breadth of experience with the
issues of noise suppression, resonance elimination, passive intermodulation problems, and
antenna sidelobe suppression. We can recommend the correct absorber material for your
application and help you quickly solve your RF noise problems.

Products:
• Absorbers and paint
• Thin, cavity resonance absorbers
• Low-loss dielectric materials
• Anechoic chambers and other test environments
• Conductive materials
• Custom formulations and configurations available

264 Bodwell Street


Avon, MA, USA
Tel: 508-521-6700
cmcsales@ppg.com
cumingmicrowave.com
Cuming Microwave is an ISO 9001:2015 manufacturer.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
TechnicalFeature
Transceiver Array Boundary
Subarray
#1

#2
dv Antenna
RDN Far Field Region
. . Array
. .
. .
#K

Transceiver Unit Transceiver Array Boundary Composite Antenna Transmitted Radiation


Array Direction
Connector TAB(n)
(Reception Opposite)

 Fig. 6 AAS radiated and conducted points of reference. Source: 3GPP.5

gain factor. a linear array is the product of the


To enable comparison with single element pattern and the
dh
(a) conventional radio architectures, array factor (AF), assuming all an-
which have a remote radio head tenna elements are the same kind,
and a separate passive antenna, point in the same direction and are
the 3GPP has defined a reference excited with equal power. The AF
radio architecture for mMIMO. This is the far-field radiation pattern of
reference architecture defines two an array of isotropic radiators. Pro-
pp
reference points for conducted and vided no coupling occurs between
np
radiated measurements,5 assuming radiating elements, a linear array
a transceiver unit array connected with 12 rows and eight columns
to a composite antenna (see Fig- and an element spacing of half-
de ure 6). The transceiver unit array wavelength (λ/2) will have an AF
contains the transmitters and re- of 96 (i.e., 19.8 dB). Coupling be-
ceivers, generates the modulated tween the elements will reduce the
transmit signals and performs re- AF and minimizing coupling can
ceiver combining and demodu- only be achieved by proper design;
Subarray lation. The composite antenna however, it becomes increasingly
(b)
consists of the radio distribution difficult when the element spacing
 Fig. 5 Typical mMIMO antenna: full network and the antenna array, the de is less than a wavelength, par-
array (a) and subarray (b).
interface between the transceiver ticularly less than λ/2.
× 8 antenna array (see Figure 5a) unit array and the composite an- Sidelobes are another important
comprising 32 polarized subarrays. tenna is called the transceiver array characteristic of antenna arrays. The
Each subarray is made of six anten- boundary (TAB). The two points of sidelobe level (SLL) is the maximum
na elements, where three antenna reference defined by 3GPP are the power from a sidelobe normalized
elements radiate with a negative TAB for conducted measurements by the strength of the main lobe.
polarization, indicated by “np,” and the far-field region for radiated Alternatively, the inverse value, i.e.,
and the other three antenna ele- measurements, also referred to as the ratio between the strength in
ments with a positive polarization, over-the-air measurements. the direction of the main lobe and
indicated by “pp” (see Figure 5b). The RF output power of the the maximum sidelobe level, is
With conventional, passive base transmitter limits both data rates often used and referred to as the
station antennas, antenna gain is an and coverage. The output power sidelobe level suppression (SLS).
important factor. The antenna gain typically refers to the power com- In a mMIMO antenna, the actual
is defined as the ratio between the bined from all transceivers, which beam pattern depends on the
maximum radiated power at a spe- is the conducted power measured subarray radiation pattern and the
cific angle and the power radiated at the TAB. The corresponding amplitude and phase relationships
by a hypothetical antenna trans- measurement of radiated power is between the subarrays, which are
mitting the same total power iso- called the effective isotropic radiat- defined by the beamforming vec-
tropically, i.e., equally distributed ed power (EIRP), which includes the tor applied at the beamformer. The
over the full sphere. It is assumed gain of the composite antenna. For beamforming vector yielding the
that the hypothetical antenna radi- example, a radio panel that pro- pattern with the lowest sidelobe
ates all the power without any loss vides 200 W (53 dBm) of RF power levels typically uses different phase
between its antenna port and free at the TAB and feeds an antenna and amplitude values. For maxi-
space. For the same power at the array with 25 dBi gain at boresight mum output power, all the ampli-
antenna feed, the radiated power will have an overall power mea- tudes need to be identical. To com-
of a directional antenna at bore- sured at boresight of 78 dBm EIRP. pare the radiation performance of
sight will be greater than the corre- From antenna array theory, the different mMIMO active antenna
sponding isotropic antenna by the far-field antenna array pattern of units (AAU), only phase variations
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
78 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Leading in Innovation
FRF40 2.92 mm (40 GHz) • Low VSWR: DC – 27.0 GHz....1.10:1
27.0 – 40.0 GHz...1.15:1
Field Replaceable Connectors • Temp Range -55ºC to +105ºC

• 2.92 mm Interface
Connector: FRF40-001
• Rear Socket for 12 mil .625” .550” Tested as back-to-back pair
pins

S21 (dB)
0.0
• NEW Rear Socket for FRF40-001 FRF40-002 -0.2
9 mil pins available now
-0.4

• Standard 2 & 4 Hole -0.6


Flanges .500” .500” 1.4 -0.8

• 40 GHz Bandwidth 1.3

S11 (VSWR)
1.2
FRF40-003 FRF40-004
1.1
1.0
0 Frequency (GHz) 20 40
.375”

FRF40-001 FRF40-005

ELFT40 2.92 mm (40 GHz)


Edge Launch Drop-in Replacement Connector
Connector: ELFT40-002
• 2.92 mm Interface Test Board: 020-020-1Fn 1” Microstrip Board

• 1.15:1 VSWR Max 0.0


-3.0
• Top Ground Only
-6.0
• 40 GHz Bandwidth
S21 (dB)
S11 (VSWR)

• Board Design Support


Available
1.4
• Test Boards Available 1.2

• Samples with Data 1.0


0 Frequency (GHz) 20 40
Available
• No Soldering Required
• Optimized for 5-10 mil
Substrate

3D models for simulation available at no charge


to assist customers in their own development efforts.

Signal Microwave, LLC


Tempe, Arizona
info@signalmicrowave.com Image made using COMSOL
www.signalmicrowave.com Multiphysics® software
and provided courtesy
(480) 322-4992 of COMSOL.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
TechnicalFeature

–10 Analog
RU Control Digital Front-End
Gain (dBi)

Transceiver 1ST Subarray


–20
M-Plane
Analog
Digital Front-End
–30 Beam- Transceiver
Ethernet 2ND Subarray
Fronthaul former
.. ..
–40 Interface
IEEE 1588 . .
–200 –100 0 100 200
Analog
Horizontal Angle (°) Clock Digital Front-End
Sync Transceiver N Subarray
TH

 Fig. 7 Typical azimuth antenna GPS


(Optional) PRACH
pattern for a user beam at 0°. Low PHY &
Fronthaul Data
Digital Analog Radiation Panel
Distribution
are allowed in the beamforming and Control
& Aggregation Front-End Front-End
vector; the amplitudes are forced Radio Unit Boards
Transceivers
to be the same. To illustrate, Fig-
ure 7 shows a typical beam pattern  Fig. 8 Typical RU architecture for a mMIMO AAU.
with SLLs less than -16 dB.
the overall well-being of the unit. as an alternative clock.
O-RAN MMIMO The O-RU controller measures the The beamformer connects to
ARCHITECTURES power consumption, temperature, NTx transmitters and NRx receivers.
The RU in a mMIMO AAU can output power and relative ampli- If NTx = NRx = N, which is usually
be separated into its functions (see tude and phase accuracies for both the case, the beamformer is said
Figure 8). Some are only required receive (Rx) and transmit (Tx) to let to be connected to N transceivers,
once, while others, like the trans- the DU exploit channel reciproci- with all transceivers identical. Each
ceivers, are used multiple times. ties. It hosts multiple event coun- transceiver consists of the digital
Each transceiver serves a single po- ters and reports statistics, warnings transceiver and an analog front-end.
larization of a radiation panel sub- and errors through the M-plane to For each layer, the beamformer per-
array. Among the functions used either the O-DU or directly to the forms two matrix multiplications for
only once is the fronthaul interface management system. The O-RAN each subcarrier (SC). During trans-
connecting the O-RU to the O-DU, Alliance has standardized this as mit, the vector of resource blocks
the beamformer, clock synchroniza- the service management and or- contained in each radio layer is
tion, management and control. chestration framework. S-plane multiplied by the Tx beamforming
The fronthaul uses an Ethernet packets following the IEEE 1588 matrix to yield a vector of SCs for
interface and is separated into PTP protocol are interpreted inde- each transceiver. Likewise, during
the C-, U-, S- and M-planes. The pendently. PTP information is used receive, each vector of SCs received
M-plane interpreter and manager to synchronize the O-RU clock to from every transceiver is multiplied
connects to the O-RU control- the network. As noted earlier, a by the Rx beamformer to yield the
ler, which sets up and oversees built-in GPS receiver can be used SC vector for all layers. Figure 9

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
80 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
TechnicalFeature
fier. The uplink SUMMARY
signals from the This article has provided a tutori-
..
.
..
.
analog front-end al on the architecture of the O-RAN
are converted into and the approach to standardizing
CP
IFFT Addition DUC CFR DPD DAC the digital domain the interfaces between the RU, DU
using analog-to- and CU to achieve interoperability
CP
FFT Removal DDC ADC
digital converters and provide an environment for
and digitally down- new entrants and network innova-
PRACH converted to base- tion. mMIMO is one RAN imple-
SRS
Filtering band. With the mentation to improve data capac-
Low PHY Digital Front-End down-converted ity in areas with high mobile traffic,
signals, the CP is and the article discussed the func-
removed and the tional architecture of a mMIMO
 Fig. 9 Elements of a digital transceiver. signal is converted RAN meeting O-RAN standards.
to the frequency A future article will discuss the
shows the functions of each digital domain via the FFT. The OFDM mMIMO architecture and perfor-
transceiver, which contains the low symbols are then passed to the Rx mance parameters of the RU, apply-
PHY and digital front-end. The digi- beamformer. The low PHY also con- ing the concepts to the design of two
tal front-end encompasses front- tains special functions for physical AAUs: for the North American band
end functions like filtering, gain set- random access channel (PRACH) from 3.7 to 3.98 GHz and the Euro-
tings and linearization in the digital and sounding reference signal (SRS) pean band from 3.3 to 3.8 GHz.
domain, and the low PHY addresses filtering. The PRACH and SRS sig-
time-frequency conversion and nals are passed to the fronthaul References
OFDM signal generation. interface, and the O-DU post-pro- 1. O-RAN Alliance, web: www.o-ran.org/.
cesses the SRS and PRACH data. 2. 3GPP, RAN3 Technical Report
In the downlink direction, the TR38.803v1.1.0, Section 11, Figure
SCs from the beamformer contain- The analog front-end (AFE) con- 11.1.1-1, www.3gpp.org/ftp//Specs/ar-
ing data in the frequency domain nects to the radiating panel and con- chive/38_series/38.801/38801-100.zip
are transformed to the time domain tains analog components like power 3. O-RAN Fronthaul Working Group, “Con-
within the iFFT. The OFDM signal is amplifiers, filters, drivers and baluns trol, User and Synchronization Plane
Specification,” O-RAN, WG4.CUS.0-
formed by adding a CP and passed and may contain switches and circu- v05.00.
to the digital front-end for digital lators. The AFE amplifies the Tx and 4. O-RAN Fronthaul Working Group,
up-conversion, comprising filtering, Rx signals to and from the antennas. “Management Plane Specification,” O-
the frequency shift of the baseband It must provide sufficient dynamic RAN, WG4.MP.0-v05.00.
range for the Rx and Tx paths, iso- 5. 3GPP, TS 37.145-1 V16.5.0 (2020-12),
signal and digital-to-analog conver- “3rd Generation Partnership Project;
sion. For the downlink, the digital late the paths and manage any noise Technical Specification Group Radio Ac-
front-end includes crest factor re- introduced by the power amplifier cess Network; Active Antenna System
duction, which reduces the peak- stages. The radiating panel is de- (AAS) Base Station (BS) Conformance
to-average ratio of the OFDM sig- signed to provide the required gain Testing; Part 1: Conducted Confor-
mance Testing (Release 16),” Chapter
nal, and digital predistortion (DPD), for the mMIMO system and the hori- 4, 3GPP, December 2020.
which linearizes the power ampli- zontal and vertical steering.

Instruments Corporation

www.accelrf.com

Fully Integrated, Scalable, Turnkey


RF & DC Accelerated Life Test Systems
Accel-RF is the World Leader in
Compound Semiconductor Reliability Testing!

5G
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
82 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
TechnicalFeature

Embedded PCB Antennas for


IoT: Design and Implementation
Considerations
Kevin Hietpas
Pasternack

IoT modules are often defined by their small form factors and ability to transmit sensor data
to a centralized hub via a wireless connection. While the radio modulation scheme and IoT
protocol can vary, most IoT devices fall below the 6 GHz band. For these IoT applications there
is a need for small planar antenna structures implemented on a common PCB platform where
connection to the microcontroller unit (MCU) and processing unit of the node is accomplished
often via a push-on miniaturized coaxial interface. This article examines the various PCB antenna
implementations and their design considerations, with an additional discussion around the
packaging and placement of IoT nodes and how this can impact their connectivity.

THE MYRIAD OF IOT DEVICE often leverage cellular, Bluetooth and Wi-Fi

P
CONSIDERATIONS protocols as they are prolific and therefore
ower and size constraints often easy to integrate and scale with the native
cause IoT nodes to run off either system for monitoring and control. Often,
a simple coin-cell battery or small multi-protocol IoT platforms incorporate
form factor energy storage device a more niche IoT protocol (e.g., ZigBee,
for an extended period (on the order of LoRa, Sigfox, etc.) as well as a Bluetooth
years). To accomplish this, a low energy- low energy (BLE), Wi-Fi or cellular module
consuming protocol must be employed with to incorporate the benefits of both. A de-
different “classes” and/or “power modes” vice that leverages Wi-Fi can more readily
to enable different levels of energy savings. connect to the cloud, allowing for control/
For instance, a LoRa device has various cur- configuration as well as firmware upgrades
rent modes (i.e., transmit, receive, synthe- from any remote location.
sizer, standby, idle and sleep) to enable the A node that includes both BLE and a
sensor node to extend its operating lifetime, sub-GHz low-power wide-area network so-
enabling minimal maintenance and repair. lutions can provide Bluetooth beacons for a
The frequency of uplink/downlinks are real-time location system while also remote-
also particularly relevant for battery sav- ly controlling a simple mechanism such as
ings—scheduled uplinks and downlinks industrial lighting from a distant location.
enable less latency, a higher throughput Still, there are the major considerations of
and greater reliability while asynchronous, test and certification that come with Blue-
ad-hoc transmissions can extend range and tooth and cellular devices. Both protocols
battery life at the expense of data rate and require extensive testing and proof before
payload size. they can come with the required “stamp of
Extensive energy harvesting techniques approval” for release.
have often been leveraged for IoT devices,
allowing for a relatively unlimited battery life WHY CARE ABOUT THE ANTENNA?
without human intervention. This, however, In the massive landscape of IoT sensor
does increase node cost and complexity. technologies, protocols, vendor-specific
Regardless of the industry, IoT devices SoCs and development boards, often over-
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
84 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
TechnicalFeature
looked is the antenna, link budget PCB antennas are particularly ing process allowing for a smaller
and integrity of the wireless link effective due to their balance be- device form factor.
between nodes. Typically defined tween gain and size. The character- Beyond real estate consider-
by an omni-directional radiation istic low profile of the PCB antenna ations, antenna design and place-
pattern with a specific vertical/hori- allows it to be readily configured ment can come with significant link
zontal beamwidth, most IoT devic- within a case as opposed to jutting integrity considerations—radiation
es use either a whip, PCB or chip out like the classic whip antenna. quality can be directly impacted
antenna. In cases where specific Unlike the chip antenna, PCB an- by neighboring electronic compo-
fringe devices must be connected, tennas can operate over relatively nents, metallic enclosure hardware
directional antennas (e.g. Yagi) can large bandwidths with relatively and of course, environmental inter-
be used to extend range. Each an- high gain. A trace antenna can ference. Factors such as transmit/re-
tenna type has its respective ben- even be embedded into a system- ceive antenna gain, free space path
efits and considerations. level board during the manufactur- loss at a specific carrier frequency,
loss of the antenna feed, transmit-
ter and receiver losses and miscel-
laneous environmental losses must
be considered when attempting to
expand the link budget. Regard-
less of the environment, however,
radiation pattern, gain, VSWR and
bandwidth are parameters prelimi-
narily evaluated in the first steps of
development and optimization of a
wireless connection.

UNDERSTANDING COMMON
TRACE ANTENNA DESIGNS
PCB antenna structures can be
monopole, dipole, slot, patch and
printed inverted-F (PIFA). There is a
plethora of books, application notes
and blogs dedicated solely to the
design of each with extensive equa-
tions; the following is intended as an
overview of the trace patterns used
and their practical considerations.
Dipole and Monopole Antennas
A dipole antenna comprises two
quarter wavelength (λ/4) long me-
tallic strips with a total electrical
length requirement of a half wave-
length (λ/2) at the carrier frequency
for maximum response (see Figure
1). Much of the trace antenna lit-
erature is devoted to the design of
antennas in the 2.4 GHz ISM band
due to the use of conventional pro-
tocols such as Bluetooth and Wi-
Fi. At 2.4 GHz, the length of the
dipole antenna is approximately 6
cm, which could be unreasonably
large for some applications. The
omni-directional radiation pattern
has a concentration of electro-
magnetic energy perpendicular to
its length (z-axis) with a null in the
middle (along the z-axis). This often
requires it to be placed in a vertical
orientation for maximum horizontal
coverage—a serious consideration
for designers intending to use a di-
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
86 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
TechnicalFeature
pole (or monopole) antenna in an plane acting as the other quarter results in edge diffraction of the radi-
IoT device. wavelength arm. Analogous to a ated wave causing electromagnetic
The addition of a ground plane mirror, the ground plane generates a energy to move radially outward
eliminates one arm of the dipole, “virtual image” of the radiation pat- from the edge and leak behind the
resulting in a structure that consists tern that is equal (same current flow ground plane.1
of a singular quarter wavelength direction and phase) to the one gen- Ideally, with a perceptually in-
conductive element with the ground erated by the conductive strip. For finite ground plane, the gain of a
the ground plane to quarter wavelength monopole an-
function optimally, tenna should be twice that of a half
it must be consid- wavelength dipole due to reflection
erably larger than a from the ground plane radiating ex-
half wavelength. A clusively toward the area above it.
 Fig. 1 This 2.4 GHz dipole trace antenna achieves 2 dBi gain. finite ground plane This results in a somewhat “lifted”
pattern (see Figure 2). Once again,
a null is created along the length
Stock (z-axis) of the conductive quarter
Available wavelength element revealing an
ideal vertical orientation.
Bent Monopole Antennas
a a s R l abl
H
H as Tu a u ds
v Bent monopole variants such
as the L-shaped (or tilted whip
R /M wav H h
H /UH
w A l a s
and inverted L), planar inverted-F
H -Q/L w ESR/ESL EIA a a s (PIFA) and meandered inverted-
F (MIFA) structures leverage the
same ground plane and conductive
1 arm methodology with varying pat-
• Low ESR/ESL terns of the conductive arm. The
• TC = NPO • High Power Capacitors Case Sizes: bend in the trace allows for a more
• Modeling Data Available • Up to kV compact size as well as decreasing
H -Q L w ESR a a s • High Current the null in the radiation pattern. In
• TC = NP / P9 these designs, however, the anten-
• Values: . pF – 1 , pF na trace typically must not run too
• RoHS or Tin/Lead Termination close to ground as this can cause
Ava labl N -Ma T a s the antenna to act as a transmission
• Case Sizes: , 1111 line without any radiation.2
•Q>1 , us Ass bl s Of these variants, the PIFA is the
• Low ESR/ESL most common due to its small PCB
• TC = NPO / P9 area and wide bandwidth perfor-
• RoHS or Tin/Lead Termination
• Modeling Data Available mance. As shown in Figure 3, it has
Ava labl N -Ma T a s a fold in the main resonant line that
introduces a capacitance canceled
B adba d out by the shorting feed point at the
end of line. Impedance matching
with the antenna feed is obtained
by adjusting the lengths of A, B and
C, where the dimensions of A and
1 1
C contribute to the quarter wave-
• 1 kHz – GHz
• Insertion Loss < 1 db length element and B is adjusted
• 1 nF – 1 nF
• Available in Tin or Gold Terminations • High Operating Voltage • High Reliability
• Modeling Data Available • High Operating Current • High Q
Typical Applications • Extended Capacitance • Ultra-Low ESR
• Signal Integrity • Optoelectronics • Tighter Tolerances • Non-Magnetic
• High-Speed Data ROSA/TOSA
(Transmit / Receive Optical Subassemblies) Typical Applications
• SONETS (Synchronous Optical Networks) • Medical Electronics • Inductive Heating
• AM/FM Broadcast
A s a H Av a H Ml a yH al H M d al H T l u a s
• Unmatched Customer Service
• Modeling Available • Design kits in stock • Inventory programs
Call us today 631-425-0938 | sales@passiveplus.com | www.PassivePlus.com  Fig. 2 Monopole antenna pattern
showing ground plane effects.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
88 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
5G RF Components & Cable Assemblies
Whether you’re building the future of enhanced mobile broadband or designing applications for IoT
and mission-critical communications, Fairview Microwave offers the most extensive portfolio of
in-stock and ready-to-ship 5G RF components and cable assemblies. Fairview Microwave is
ready to support 5G innovation, testing, and deployments, through an expansive product
offering, product support, and a commitment to same-day shipping.

Fairview Microwave offers thousands of active, passive, interconnect,


and antenna products for global sub-6 GHz and mmWave
frequency bands as well as technical service and application
engineers are standing by to answer.

All 5G RF Components are in-stock and


available for immediate shipping!

The Right RF Parts


Right Away
fairviewmicrowave.com
+1 (800) 715-4396
+1 (972) 649-6678
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
TechnicalFeature

B C
Quarter-Wave

A
Copper Free

Shorting
Feed Point

Ground Plane
 Fig. 5 Planar conical dipole or bow
Matching RF In tie antenna.
Network

 Fig. 3 PIFA board layout.


 Fig. 4 This 800/900 MHz MIFA
for an impedance match. An exter- antenna achieves 2 dBi gain.
nal matching network can also be
included for additional tuning. nique. For example, the planar
The MIFA antenna (see Figure conical dipole antenna, also known
4) is like a helical or spiral anten- as a bow tie antenna (see Figure
na, as it increases the total length 5), behaves as a uniformly tapered
and accomplishes resonance with transmission line. When an RF signal
varying orientations of trace/wire is applied across the feed, currents
compressions. In a MIFA, horizon- flow radially outward and form an
tal and vertical lines form multiple enclosing magnetic field. However,
turns. Like the PIFA, tuning is ac- currents are abruptly terminated
complished by adjusting the ge- at the edge of the bow tie caus-  Fig. 6 Dipole antennas using bent
ometry within the meandered line. ing more reflections and a narrower conductive elements to save space.
This effectively reduces the size bandwidth than its 3D, biconical
of the antenna. However, it often counterpart. Still, a relatively con- savings. For monopole antennas,
comes with tradeoffs such as re- stant impedance and gain can be sufficient ground planes are criti-
ducing gain and therefore shorten- achieved over a massive frequency cal; a good quality/large ground
ing range, relying more on tuning range relative to other dipole anten- plane enables an improved VSWR
and therefore exhibiting a narrower nas. The antenna in Figure 5 exhib- and a closer correlation with theo-
bandwidth and increasing sensitiv- its a high gain (4.5 dBi) over a large retical antenna behavior. For planar
ity to interference from external bandwidth (4.9 to 5.9 GHz). antennas integrated onto the mod-
sources as well as native electrical Along the same line of reason- ule board, there is a keep out area
components on the board. ing found in bent monopole quar- around the antenna that requires
ter wavelength antennas, smaller the board to be free of copper
Dipole Antenna Variants form factors can be achieved by traces or ground fill. In multi-layer
Printed dipole antennas face a meandering the conductive arms designs, vias along the edge of the
major size disadvantage. At low fre- of the dipole elements (see Figure keep out area are necessary to con-
quencies this is especially relevant; 6). As previously mentioned, this nect ground planes.
here, a monopole antenna element optimizes the Q but can decrease Antenna tuning, or the minor
has the advantage that it can po- overall bandwidth and efficiency. adjustment in trace dimensions
tentially be placed on a corner of Therefore, the use of self-resonant or length of the conductive arms,
the board with the ground plane space-saving techniques such as must be done within the enclosure
serving both as the RF ground the spiraling or meandering of the and not in free space, as the enclo-
plane/return path of the antenna conductive arms comes with trad- sure and environment in which it
and ground for the native electrical eoffs that must be considered for functions affects its radiation per-
components of the IoT module. As the application. formance. Moreover, any changes
the operating frequency increas- in layout often lead to changes in
es, however, this becomes less of GENERAL PLANAR ANTENNA antenna performance and there-
a concern. Moreover, like bent CONSIDERATIONS fore must be tested.
monopole antenna structures, dif- Antennas with thicker trace widths
ferent dipole patterns can be em- Board Layout often have broader bandwidths, as
ployed to increase bandwidth and There are some general design can be seen with structures such as
decrease size. principles to consider to achieve the conical dipole antenna. Beyond
Tapering the dipole arms is a the most benefit from the low pro- trace thickness and length of the
known bandwidth enhancing tech- file form factor and potential space conductive elements, the relative
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
90 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
MilliBox
TM

MMWAVE ANTENNA TEST CHAMBERS

Modular construction 3D positioner 360° x 360°


Benchtop sized Resolution < 0.1°
Cost effective Laser-guided alignment
18GHz to 95GHz Tangle-free wiring
Far-field 70cm to 200cm Open framework software

Milliwave Silicon Solutions


millibox@milliwavess.com
+1 408 892 9595
millibox.org
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
TechnicalFeature
permittivity (εR) and thickness of the with series and shunt inductive and necessary for the application.
substrate material (e.g., FR4, flexible variable capacitive elements such Dipole antennas have found use
PCB (FPCB) and Teflon) also affect as MEMS switches. Other variations in a wide array of applications due
antenna performance. include single-stub or multiple-stub to their ability to accommodate a
tuners that provide broader band- MIMO implementation in LTE/5G
Impedance Matching width at the expense of space. systems with relatively straightfor-
Impedance mismatches cause These structures reduce the effects ward multi-band, dual-polarization
unnecessary reflections and signal of external factors such as changes designs (see Figure 7). An inte-
loss, so a lumped element matching in orientation as well as the effects grated microstrip balun is often
network such as a pi- or T-match at of obstacles and objects that are used to match the impedance of
the antenna feed allows for maxi- near the antenna that can cause the antenna and transmission line.
mum power transfer. More complex signal degradation while matching A differentially fed planar dipole
matching networks enable tuning transmission and reception bands antenna is also used with an inte-
grated Marchand balun to provide
11:48 a balanced transition between the
W antenna and its feed network. Du-
al-polarized planar dipole antennas
1:03
have been realized through the for-
mation of two identical elements of
varying orientations while exhibit-
10:05 ing low cross polarization.3
fifififi Enclosures
IoT devices and sensor nodes
often come in ruggedized enclo-
sures to prevent damage from en-
vironmental elements such as wind,
9:00
3:14 precipitation, UV damage, humidity
A and salt water. Enclosures that are
NEMA-rated and/or IP-rated can
fififififififi
be used: however, these do not
consider the effects that the various
parts will have on antenna radia-
4:09
4
tion patterns. Even a molded plas-
tic enclosure can cause RF losses
fififififififi
and should therefore be tested and
characterized prior to manufacture.
A polycarbonate casing will exhibit
5:00 different signal loss characteristics
N
than a thermoplastic casing and, of
v course, a stainless steel enclosure.
v Metallic components such as pads,
pins, tracks and ground planes can
cause the antenna to lose efficiency,
gain and bandwidth while metallic
ll casing components such as brack-
ets, padlock, screws/studs and even
an external pole mounting kit will
® cause signal degradation.
W In some applications, the casing
“Y itself is used to adjust the antenna
h
w h
L KF

.lp fu .c m/pcb
1-800-345-L KF
 Fig. 7 Dual-band 2.4/5 GHz
omnidirectional planar dipole antennas.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
92 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
TechnicalFeature
radiation pattern. For example, al- the-shelf (COTS ) PCB antenna, it is GSMA defines the over-the-air (OTA)
tering a dipole antenna radiation important to verify its performance testing of IoT devices using 3GPP
pattern can be accomplished via a both inside and outside of its en- communications (e.g., NB-IoT, LTE-
director or reflector strip. A direc- closure to ensure that the structure M and CAT1/CATbis) in its TS.51 re-
tor strip adjusts radiation elements does not negatively affect desired port.4 Tests and performance require-
within the antenna to change its performance. ments are defined for total radiated
frequency response while the re- power and total radiated sensitivity.
Certifications
flector strip, or metal part of the These parameters evaluate antenna
antenna casing, adjusts the an- IoT device certifications are an performance where poor OTA per-
tenna radiation pattern to fit an essential part of the design process formance would result in a high block
area of coverage and potentially from prototype to production. This error rate, as well as potential access
support ceiling/wall installations. is particularly true for Bluetooth and failure. When using the unlicensed
When leveraging a commercial off- cellular devices. For example, the spectrum however, the most critical
design consideration is typically con-
forming to FCC EIRP regulations.

CONCLUSION
PCB/trace antennas suit a wide
range of applications with narrow
single-band and multi-band op-
tions. The size and shape of the an-
tenna can be reduced using com-
mon bending/meandering tech-
niques however, this comes with
an array of efficiency and sensitivity
Temperature
T considerations.
A higher gain antenna will loosen
Variable
riabl Attenuators the constraints on the link budget,
wider traces often correspond to a
larger bandwidth. Still, these come
TVAs from the recognized Attenuation vs. Temperature (50 MHz)
at the cost of size, and in the world
0
leader in high reliability resistive of IoT the miniaturization of sensor
components offer: -1
nodes, ease of installation and scal-
• Two case sizes: ability are serious considerations.
0.150” x 0.125” x 0.018” (to 6 GHz) -2 A COTS antenna comes with the
benefits of a proven antenna structure
Attenuation Factor (dB)

0.075” x 0.065” x 0.018” (to 18 GHz) ETVA


-3
with verified performance, but this
• Three TCA values: -0.003, does not ensure that the antenna will
-0.007, and -0.009 dB/dB/°C TVA function within an enclosure of choice.
-4
• Enhanced slope ETVAs with a The basic structures of a simple
TCA value of -0.005 dB/dB/°C monopole (e.g., PIFA and inverted
-5
• Attenuation values from 1-10 dB S0706AT3B0BE5 L) and bent dipole are popular
S0706AT3B0BN7 choices. Nevertheless, these an-
• Solderable or wire bondable -6
-75 -25 25 75 125 tennas are only a few of the many
terminations Temperature (C) possibilities depending upon the
carrier frequency, operating band-
width, polarization, size and cost.
When the mission is critical, choose State of the Art. References
1. E. Holzman, “Essentials of RF and Micro-
wave Grounding,” Artech House, Nor-
State of the Art, Inc. wood, MA, 2006.
2. AN2731: Compact Planar Antennas for
RESiSTiVE PRoduCTS 2.4 GHz Communication, NXP Semicon-
ductor, Rev. 4, November 2020.
Made in the uSA. 3. Iswandi, M. N. Z. Akbar, A. K. D. Jaya
and E. S. Rahayu, “Modification of Multi
Band Printed Dipole Antenna for Indoor
Base Station of LTE Systems,” 10th In-
ternational Conference on Information
Technology and Electrical Engineering,
July 2018, pp. 551–555.
4. OTA Testing of IoT Device, GSMA, V. 2.0
Feb 2021, www.gsma.com/newsroom/
wp-content/uploads//TS.51-v2.0.pdf.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
94 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
2022 IEEE MTT-S 19–24 JUNE
INTERNATIONAL COLORADO CONVENTION CENTER
MICROWAVE Denver, Colorado
SYMPOSIUM

www.ims-ieee.org

IMS2022 is the centerpiece of Microwave


Week 2022, which includes the RFIC
Important Dates
Symposium (www.rfic-ieee.org) and the ARFTG Microwave
Measurement Conference (www.arftg.org). n 17 September 2021 (Friday)
PROPOSAL SUBMISSION DEADLINE For workshops, technical
The Peaks of Microwaves lectures, focus and special sessions, panel and rump sessions.
Join us as we explore the new Peaks of Microwaves including: Preliminary workshop and technical lecture proposals due 16 July.
● Radar, Phased Arrays, OTA test: the triumvirate of modern
microwave systems n 7 December 2021 (Tuesday)
● Microwaves for Tiny AI and IoT
PAPER SUBMISSION DEADLINE All submissions must be made
● Hardware for Intelligent Mobility, Automotive,
electronically.
and IIoT applications
● Microwaves and satellites for Space 2.0
n 2 February 2022 (Wednesday)
● 5G/6G Hardware: from components to system-on-chip
and RF to THz PAPER DISPOSITION Authors will be notified by email.
● Quantum RF Engineering
● Evolving RF/EM design strategies n 9 March 2022 (Wednesday)
Microwave Week provides a wide variety of technical and social FINAL MANUSCRIPT SUBMISSION DEADLINE
activities for attendees and exhibitors. Manuscript and copyright of accepted papers.
New This Year: IMS2022 Systems Forum n 6 April 2022 (Wednesday)
● “The Connected Futures Summit,” presenting current thinking on
next generation wireless technologies at mmWave and THz SLIDE PRESENTATIONS DEADLINE FOR ALL
frequencies, will include presentations, panels and a pavilion on AUTHORS AND PRESENTERS
the exhibition floor
● Focused sessions investigating the synergy between radar, n 19-24 June 2022
phased arrays, and OTA test and applications MICROWAVE WEEK IMS2022, RFIC 2022, ARFTG, and Exhibition
● Space 2.0 event highlighting advances in aerospace,
the Internet-of-Space and the MTT CubeSat competition

Something for Everyone


● Competitions for best Advanced Practices Paper
and Student Paper
● RF Bootcamp intended for students, engineers, and
managers new to microwave engineering disciplines
● Workshops and application seminars from our exhibitors,
explaining the technology behind their products
● Networking events for Amateur Radio (HAM) enthusiasts,
Women in Microwaves (WiM), and Young Professionals
● Guest hospitality suite

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
SPECIAL STUDENT PROGRAMS
IMS2022 will feature technical sessions, interactive forums, IMS2022 encourages student participation! Visit the
plenary and panel sessions, workshops and technical lectures, website for additional programs.
application seminars, historical exhibits, and the world’s largest
RF and microwave industry exhibition. Student Design Competition:
All eligible students or student teams are invited to consider taking
With more than 9000 participants and 800 industrial exhibits of part in the Student Design Competitions (SDCs) during IMS2022.
state-of-the-art microwave products, Microwave Week is the world’s This is the premier IMS event where you cantranslate theory into real
largest gathering of RF and microwave professionals. hardware and software designs.
Project Connect:
An exceptional group of undergraduate and first-year graduate
NEW FOR 2022! students from underrepresented groups will be invited to IMS2022
New! Systems Forum on a travel grant provided by NSF and IEEE through a competitive
The three-day Systems Forum will highlight the latest in telecommuni- application process.
cations, radar and space applications.
PhD Student Initiative:
New! Industrial Showcase Available for all first- and second-year PhD students worldwide.The
Papers with industrial authors can showcase their paper and answer initiative provides sponsorship to attend IMS2022.
questions about their company at the “Industrial Showcase” reception.
New! Industry-Supported Papers
Oral papers from industry-supported work may acknowledge industry
sponsorship and/or booth number. Interactive-Forum Poster papers from
industry-supported work may distribute approved coupons for prize at
associated booth.
New! Industry Paper Contest Prize
Full-page ad in IEEE Microwave Magazine and Microwave Journal.
New! Paper Demonstrations
Authors of Oral and Interactive-Forum Poster papers may request
time for live demonstrations in the Interactive Forum.
New! Interactive-Forum Poster Paper Previews
Interactive-Forum Poster papers and demonstrations will be previewed by
an oral-session chair for greater visibility.
New! Virtual Content
See virtual previews and tutorials and participate in live events.
New(ish)! Publication in MWCL
The 50 top-ranked papers, as determined by the Technical Paper
Review Committee, will be invited to submit the paper to IEEE
Microwave and Wireless Components Letters.

Technical Program Committee Members


Ronald Ginley, General Chair Larry Dunleavy/Joanne Mistler/David Ricketts, RF Boot Camp Chairs
Kate Remley/Dylan Williams, TPC Chairs Jasmin Grosinger/Alan Brannon, Panel Sessions Chairs
Osama Shana’a, RFIC Conference General Chair Alan Brannon, Focus/Special Sessions Chair
Jeff Jargon, ARFTG Conference General Chair Rob Horansky/Justus Brevic, Interactive-Forum Chairs
Peter Aaen/Zoya Popovic, Plenary Session Chairs Gregor Lasser/Jan Verspecht/Taylor Barton, Student Design Competition Chairs
Zoya Popovic/Michael Roberg/Scott Schafer, Workshop and Elsie Vega, Conference/Event Manager
091521

Technical Lecture Chairs


Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.

098-041 IMS2022_CFP_Proof#1_7.8x10.75_singlePages.indd 2
Technical Paper Submission
Authors are invited to submit technical papers describing original
work and/or advanced practices on RF, microwave, mmWave,
and THz theory and techniques. The deadline for submission is
7 December 2021.
Presentation Formats
1. Full-length (20 minute) oral papers report significant contribu-
tions, advancements, or applications.
2. Short (10 minute) oral papers report specific refinements Paper Review
or improvements in the state of the art. Papers are reviewed by IMS2022 Technical Program Subcommittees.
3. Interactive-Forum Poster Papers provide a conversational A double-blind review process will be used to ensure anonymity for
setting where authors may also display hardware and perform both authors and reviewers.
demonstrations. Notification
Paper Selection Criteria Authors will be notified of the decision by 2 February 2022 via
There are four selection criteria: Originality, Quantitative Content, email. For accepted papers, an electronic version of the final 3-4
Clarity and Interest to MTT-S Membership. page manuscript along with a copyright assignment to the IEEE
must be submitted by 9 March 2022. The Symposium proceedings
Page Limit will be recorded on electronic
For the initial submission deadline, the paper length should be media and archived in IEEE Xplore.
3 pages. An accepted paper may be 3 or 4 pages long.
IEEE T-MTT Special Issue
Student Paper Competition Authors of all papers presented at IMS2022 can submit an
Full-time-student lead authors are encouraged to submit papers expanded version of their papers to a special symposium issue
for the Student Paper Competition. First, second, and third prizes of the IEEE Transactions on Microwave Theory and Techniques.
will be awarded based on content and presentation.
Clearances
Industry and Advanced-Practice Paper It is the responsibility of the authors to acquire all required company
Competitions and government clearances and IEEE copyright forms.
Submissions from industrial authors are automatically included
in the Industry Competition. Advanced-Practice papers describe Health and Safety
innovative techniques in practical aspects of design, processing, Please refer to the conference website.
measurement or analysis that result in significant improvements in
performance and/or time to production. Prizes will be awarded.
Submission Instructions
1. All submissions must be in English.
2. Authors should adhere to the format provided in the template,
which can be downloaded from the conference website.
3. The initial submission should be in PDF format, and cannot
exceed 4 MB in size.
4. Authors should upload their paper by midnight Hawaii time on
7 December 2021.
Details at www.ims-ieee.org

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.

098-041 IMS2022_CFP_Proof#1_7.8x10.75_singlePages.indd 3
TECHNICAL AREAS:
Electromagnetic Field, Device and Circuit Techniques 2! Linearization and transmitter techniques for power amplifiers — Power amplifier
design, characterization, and behavioral modeling; linearization and pre-distortion techniques;
q Field analysis and guided waves — Novel guiding and radiating structures, new physical
phenomena in transmission lines and waveguides, and new analytical methods for solving
envelope-tracking, outphasing and Doherty transmitters for III-V and silicon technologies
guided-wave and radiation problems. 2@ Integrated transceivers, beamformers, imaging and phased-array chips and
modules — Design and characterization of complex III-V ICs, silicon ICs, heterogenous systems,
w Numerical techniques & CAD algorithms — Finite-difference, finite-element, integral
equation, and hybrid methods for RF, microwave, and THz applications. Simulation, modeling,
and related packaging in the RF to mm-wave including narrowband and wideband designs. In-
novative circuits and sub-systems for communications, radar, imaging, and sensing applications.
uncertainty quantification, and design optimization; circuit-, EM-, multi-physics-, and Integrated on-chip antennas and on-package antennas.
statistics-based, including surrogate modeling, space mapping, and model order reduction
techniques. 2# Millimeter-wave and terahertz integrated circuits and systems — Design and charac-
terization of active components including LNAs, PAs, and frequency conversion ICs in silicon and
e Instrumentation and measurement techniques — Theoretically supported and experimen-
tally demonstrated linear and nonlinear measurement techniques for devices and materials,
III-V processes and/or packaging in the upper mm-wave and THz regimes; innovative THz circuits
systems for communications, radar, imaging, and sensing applications. Demonstrations of on-
error correction, de-embedding, calibration, and novel instrumentation. chip antennas. Novel multi-feed antennas and antenna-electronics co-designs and co-integra-
r MHz-to-THz device modeling — Active and passive, linear and nonlinear device and structure
modeling (physical, empirical, and behavioral) including characterization, parameter extraction,
tions.

and validation. 2$ Microwave photonics and nanotechnology — Integrated devices and 1D-2D materi-
al-based technology. Multidisciplinary field studying the interaction between microwaves, THz
t Nonlinear circuit and system analysis, simulation, and design — Distortion, stability
and qualitative dynamics analysis; circuits and systems (C&S) simulation techniques and
waves, and optical waves for the generation, processing, control,
and distribution of microwave, mm-wave, and THz signals. Emerging RF applications
applications; behavioral modeling of nonlinear C&S (excluding PAs); and nonlinear C&S design of nanophotonics, nanoplasmonics, and nano-optomechanics; nanoscale metrology and
and implementations. imaging.
y MHz-To-THz interaction of materials and tissues — Electromagnetic field characterization
and interaction at molecular, cellular, tissue and living systems levels; MRI and microwave
2% HF/VHF/UHF Technologies and Applications — Advances in active and passive circuits,
components, and systems that operate in the HF, VHF, and UHF frequency ranges.
imaging; medical applications; microwave-enhanced chemistry.
Systems and Applications
Passive Components and Packaging
2^ Phased Arrays, MIMO and Beamformers — Technology advances combining theory and
u Transmission-line structures — Novel transmission-line structures and devices,
transmission-line equivalent circuits, artificial transmission lines and metamaterial
hardware implementation in the areas of phased-array antennas, integrated beamformers,
spatial power combining, retrodirective systems, built-in self-test techniques, broadband arrays,
structures, transmission-line applications for devices and systems. digital beamforming, and multi-beam systems. New beamforming, beam-tracking, and spatial
notching algorithms, signal processing, and demonstrations.
i Passive circuit elements — Couplers, dividers/combiners, hybrids, resonators, and
lumped-element approaches. 2& Radar and Imaging Systems — RF, millimeter-wave, and sub-THz radar and imaging systems,
automotive radars, sensors for intelligent vehicular highway systems, UWB and broadband radar,
o Planar passive filters and multiplexers — Planar passive filters and multiplexers including
lumped elements, theoretical filter and multiplexer synthesis methods. remote sensing, radiometers, passive and active imaging systems, radar detection techniques,
and related signal processing.
a Non-planar passive filters and multiplexers — Resonators, filters and multiplexers based
on dielectric, waveguide, coaxial, or other non-planar structures. 2* Wireless System Characterization and Architectures for 5G and Beyond —
RF, millimeter-wave, and sub-THz communication systems with hardware implementation for
s Active, tunable, and integrated filters — Integrated (on Si, LTCC, LCP, MCM-D, GaAs, etc.),
active, and tunable filters.
terrestrial, vehicular, satellite, and indoor applications, point-to-point links, backhaul and
fronthaul applications, radio-over-fiber links, cognitive and software-defined radios, MIMO,
d Microwave acoustic, ferrite, ferroelectric, phase-change, and MEMS
components — Surface and bulk acoustic wave devices including FBAR devices, bulk and thin-
massive MIMO, full-duplex technologies, simultaneous transmit and receive (STAR) systems,
shared and novel spectrum use, waveform design, modulation schemes, and channel modeling.
film ferrite components, ferroelectric-based devices, and phase-change devices and compo-
nents. RF microelectromechanical and micromachined components and subsystems. 2( Sensing and RFID Systems — Short range wireless and RFID sensors, gas and fluidic
sensors, passive and active tags from HF to millimeter-wave frequency, RFID systems including
f Packaging, MCMs, and 3D manufacturing techniques — Component and subsystem
packaging, assembly methods, inkjet printing, multi-chip modules, wafer stacking, 3D intercon-
wearables and ultra-low-power.

nect, and integrated cooling. Novel processes related to 3D printing or additive manufacturing 3) Wireless Power Transmission — Energy harvesting systems and applications, rectifiers,
circuits, self-biased systems, combined data and power transfer systems
techniques.
3! MHz-to-THz instrumentation for biological measurements and healthcare
applications — Devices, components, circuits and systems for biological measurements and
Active Devices characterizations; biomedical therapeutic and diagnostic applications; systems and instrumen-
tation for biomedical applications; wireless sensors and systems, and implantable and wearable
g Semiconductor devices and process characterization — RF, microwave, mm-wave, and
THz devices on III-V, silicon and other emerging technologies. MMIC and Si RFIC manufacturing,
devices for health monitoring and telemedicine.
reliability, failure analysis, yield, and cost. 3@ AI/ML for RF and mmWave — AI/ML algorithms, implementations, and demonstrations for
spectrum sensing, mobile edge networking, and MIMO and array beam operations and man-
h Low-noise amplifiers, variable-gain amplifiers and receivers — LNAs, VGAs, detectors,
receivers, integrated radiometers, cryogenic amplifiers and models, and characterization
agement; AL/ML algorithms for design and optimization of RF/mmWave components, circuits,
and systems; AL/ML algorithms for in-situ sensing, diagnostics, control, reconfiguration, and
methods for low-noise integrated circuits and components. optimization of MHz to THz communication and sensing circuits and systems.
j Signal generation, modulators, frequency conversion, and signal shaping ICs —
CW and pulsed oscillators in silicon and III-V processes including VCOs, DROs, YTOs, PLOs,
and frequency synthesizers, signal modulators, and frequency conversion ICs in silicon and III-V
Emerging Technologies
processes, such as IQ modulators, mixers, frequency multipliers/dividers, switches, and phase
shifters. 3# Innovative systems and applications — Emerging technologies and novel system concepts
for RF/microwave applications such as 6G, Internet of Things (IoT), Internet of Space (IoS),
k Mixed-signal and wireline ICs — High-speed mixed-signal components and subsystems for
transmission; equalization and clock-data recovery techniques for electrical backplanes and
wearable computing/communication systems, machine-to-machine (M2M) communication,
intelligent transportation, smart cities, smart environment, heterogeneous integration and 3D
electro-optical interfaces. High-speed mixed-signal components and subsystems, including ADC, ICs, silicon photonics and plasmonics.
DAC and DDS technologies.
3$ MHz-to-THz physical layer security — Devices, circuits, and systems for secured communi-
l High-power MHz, RF and microwave amplifiers — Advances in discrete and IC power
amplifier devices and design techniques based on III-V and LD-MOS devices, demonstrating
cation and sensing from MHz to THz, addressing general security vulnerability due to electro-
magnetic emissions, hardware and software co-design for physical layer security, advanced
improved power, efficiency, and linearity for HF, UHF, VHF, RF and microwave bands (< 26 GHz). devices and materials to enhance RF, mm-Wave, and THz physical layer security, trusted design,
Power-combining techniques for SSPA and vacuum electronics. fabrication, packaging, and validation for RF, mm-Wave, and THz electronics.
1( Compound semiconductor power amplifiers — Advances in IC power amplifier devices,
design techniques and power combining based on III-V and other compound semiconductor
3% Quantum devices, systems, and applications — Cryogenic RF devices, circuits, systems
and interfaces for quantum computing and sensing applications.
devices demonstrating improved power, efficiency, and linearity for millimeter-wave bands;
vacuum electronics for millimeter-wave.
2) Silicon power amplifiers — Advances in RFIC and digital power amplifier design and power
combining techniques based on silicon CMOS and SiGe processes, demonstrating improved
power, efficiency, and linearity for RF, millimeter-wave, and sub-THz bands.

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
Tell Your Boss You Want
to Speak at IMS!
19-24 June 2022 • Denver, Colorado

What’s in it What’s in it for


for you? your company?
Elevate your personal brand within the Increase your company’s visibility at IMS
RF and microwave community
Drive traffic to your company’s booth on
Enter the Industry Paper Competition the show floor
or showcase your work with a demo
Free promotion for the Industry Paper
Network with the best of the best at Competition winner’s company in the
exclusive speaker events such as the IEEE Microwave Magazine and
Speaker Breakfast Microwave Journal

Deadline for Submission: 7 December 2021


Contentmore
For is copyright protected and provided
information: for personal use only - not for reproduction or retransmission.
www.ims-ieee.org/industrybenefits
For reprints please contact the Publisher.
ApplicationNote

mmWave Beamforming in
Dynamic, Urban Environments
Kenneth M. O’Hara
Remcom Inc., State College, Pa.
In 5G and 6G, mmWaves bring the promise of new, high bandwidth frequency bands, supporting
many of the new concepts envisioned for future applications in wireless communications.
Propagation losses and fast fading due to increasingly mobile devices and short wavelengths
pose challenges for many of these new concepts. mmWave MIMO beamforming technology can
help solve some of these issues but must be able to adapt to dynamic channels as devices move
and signals interact with people and vehicles moving through a scene. To evaluate performance,
ray tracing simulations can predict the fast fading and Doppler spectra expected in an active
urban environment and estimate the impact a dynamic channel has on MIMO beamforming.

T
o support the demand for signifi- selection and/or channel sounding, and use
cantly higher data rates and an ever- of the adapted beam for data transmission
increasing number of connected us- yields a throughput that is degraded rela-
ers, wireless service providers have tive to that achievable in a static scene. This
begun using the large expanse of spectrum degradation can be particularly severe for
available in the mmWave band; however, high mobility scenarios and for scenes with
mmWave propagation presents unique chal- obstacles that can dynamically block a link,
lenges. Principal among these are increased as shadow fading is particularly sharp for
free-space path loss and greater attenuation mmWaves. For these reasons, an accurate
for diffracted beams when compared to the prediction of link performance in a mobile
sub-7 GHz bands. To mitigate the increased environment requires knowledge of the time
path loss, high gain antennas for one or dependent channel and an understanding
both ends of the link are employed. At the of relevant latencies.
base station, exceptionally high gains are Beyond the challenges associated with
attained with many-element phased arrays adaptive beamforming, the mmWave chan-
forming massive MIMO (mMIMO) links with nel is also a faster fading channel compared
users. The use of such high gain antennas, in to sub-7 GHz channels because of the short-
turn, demands that adaptive beam steering er wavelength. Thus, the coherence time
be used in mobile scenarios. This introduces is shorter, and the corresponding Doppler
challenges of its own. bandwidth is broader. For the orthogonal
frequency division multiplexing (OFDM)
ADAPTING TO A DYNAMIC CHANNEL waveforms used in 5G New Radio (NR), the
Since the channel is continuously chang- increased Doppler bandwidth results in in-
ing, latency between the measurement of terference between subcarriers yielding fur-
channel state information (CSI), e.g., beam ther degradation of throughput.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
100 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Low Phase Noise
Phase Locked Clock Translators
Up to 27.5 GHz Frequency Typical Phase Noise
Model Package
(Mhz) @10 kHz @100 kHz

VFCTS100-10 100 -156 -165

VFCTS105-10 105 -156 -165

!
Features New
VFCTS120-10 120 -156 -165

VFCTS125-10 125 -156 -165


- Cost Effective
- Eliminates Noisy Multipliers VFCTS128-10 128 -155 -160

- Patented Technology FCTS800-10-5 800 -144 -158

FCTS1000-10-5 1000 -141 -158

Applications FCTS1000-100-5 1000 -141 -158


- Scanning & Radar Systems FSA1000-100 1000 -145 -160
- High Frequency Network Clocking (A/D & D/A)
FXLNS-1000 1000 -149 -154
- Test & Measurement Equipment
- High Performance Frequency Converters KFCTS1000-10-5 1000 -141 -158
- Base Station Applications
KFCTS1000-100-5 1000 -141 -158
- Agile LO Frequency Synthesis
KFSA1000-100 1000 -145 -160

KFXLNS-1000 1000 -149 -154

FCTS2000-10-5 2000 -135 -158

FCTS2000-100-5 2000 -135 -158

KFCTS2000-100-5 2000 -135 -158

KSFLOD12800-12-1280 12800 -122 -123

KSFLOD25600-12-1280 25600 -118 -118

KSFLO27R5-100-12 27500 -88 -98

Talk To Us About Your Custom Requirements.


Phone: (973) 881-8800 | Fax: (973) 881-8361
E-mail: sales@synergymwave.com | Web: www.synergymwave.com
Content is copyright protected and provided
Mail: for personal
201 McLean use only Paterson,
Boulevard, - not for reproduction
NJ 07504or retransmission.
For reprints please contact the Publisher.
ApplicationNote
hybrid beamform- in the precoding matrix that can be
ing mMIMO base requested by the user equipment
stations operating (UE), i.e., the sedan receiving ser-
at 28 GHz. For an vice. One such beam produced by a
increased speed single panel is shown in the upper-
of 100 km/h, the left inset of Figure 1. The total RF
throughput was re- power output by the four-panel ar-
duced to 8 Gbps. ray is 27 dBm.
Whereas the field Having four digital baseband RF
trial was conducted
in a pristine envi- Front Windshield
–80
ronment, within the
context of our sim-
–100
ulations, a similar

Channel Gain (dB)


vehicle-to-network
–120
(V2N) interface is
deployed but in a
–140
dense urban en-
 Fig. 1 mmWave hybrid beamformer transmitting four data vironment and in
the presence of
–160
streams to a sedan driving in central Manhattan.
additional moving 0 1 2 3 4 5
CASE STUDY vehicles (see Figure 1). (a)
Time (s)
To illustrate the impairments due The sedan which receives the
to mobility, consider the use case of Rear Windshield
eMBB service is equipped with a –80
providing enhanced mobile broad- total of eight Rxs: two cross-polar-
band (eMBB) service to a moving ized Rxs at each of four locations –100

Channel Gain (dB)


vehicle in a dense urban environ- as shown in the upper right inset.
ment and in the presence of other Further, the sedan is equipped with –120
moving vehicles. Realistic predic- four baseband RF chains so that it
tions of the time-varying channels can decode four data streams from –140
are made using Remcom’s Wireless the four Rxs with the highest signal
InSite® propagation tool1 to per- strength. The 5G Node B (gNB) ra- –160
form ray tracing simulations over a dio base station is located at the top 0 1 2 3 4 5
series of densely-spaced time steps. center of Figure 1 and shown in de- (b) Time (s)
Simulations show that the vehicle- tail with exaggerated dimensions for
mounted receivers (Rx) detect fad- visualization in the upper-left inset. –80
Drivers Side
ing as the vehicle moves along its The gNB is a 28 GHz hybrid beam-
route, and illustrate an example former consisting of four digital –100
Channel Gain (dB)

of a Doppler spectrum that results baseband RF chains. Each drives a


from the motion of the vehicle re- 96-element phased array equipped –120
ceiving service and other vehicles with an analog beamformer. Each
around it. Next the degradation –140
96-element panel is a rectangular
in the signal-to-interference-plus- array that spans four elements in the –160
noise ratio (SINR) due to latency be- vertical direction and 24 in the hori-
tween measuring and using the CSI zontal dimension with λ/2 spacing. 0 1 2 3 4 5
for adaptive beamforming is con- The elements themselves are patch (c) Time (s)
sidered. Relative to the case where antennas with 6 dBi gain. Two pan-
Passenger Side
mobility is ignored, this latency els are co-located but with elements –80
alone provides a degradation of 5 having orthogonal polarizations at
to 8 dB in the SINR for latency pa- ±45 degrees relative to vertical. The
Channel Gain (dB)

–100
rameters suggested by the 5G NR second set of two panels are simi-
–120
standard.2-4 larly co-located but positioned ver-
The specific eMBB scenario con- tically above the first two-panel set. –140
sidered here is the hybrid beam- The four-panel assembly is angled
forming of four data streams to down by 10 degrees to increase –160
a mobile vehicle. This scenario is coverage to users at street level. 0 1 2 3 4 5
motivated by a recent 5G NR field Each panel is equipped with an Time (s)
(d)
trial5 where a data rate of 11 Gbps analog beamformer which enables
was demonstrated across four data beam steering over 32 angles in the
streams and 732 MHz of aggregat- horizontal direction evenly spaced
 Fig. 2 Receiver fading at the front
windshield (a), rear windshield (b), driver
ed bandwidth for a vehicle moving across a 120-degree span. These side (c) and passenger side (d) of the
at 30 km/h and communicating with 32 directed beams are configured moving sedan over 5.5 s.

102
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
ApplicationNote
chains, the gNB can simultaneously 8 element pairs between the mMI- the channel gains over the entire
transmit four data streams to the UE. MO gNB and the UE’s Rxs. Ray trac- route for each of the 384 mMIMO
The gNB does so by employing dig- ing is performed at each of a dense elements to four representative Rxs
ital beamforming using one beam series of time steps spaced by 2.5 on the UE:
from each panel selected from the ms over a time interval between t = Front Windshield (see Figure
precoding matrix. With these four 0 and 5.5 s. 2a). For most of the time, this Rx
analog-formed beams, the digital New mobility features currently does not have a strong path from
beamformer employs regularized- under development in the Wire- any mMIMO element, but typically
zero-forcing (RZF)6 to determine less InSite propagation tool facili- has a channel gain determined by
the optimum complex weight coef- tate simulation of the motion of the the sum of many diffuse scatter con-
ficients for transmitting each of the UE along with other vehicles in the tributions, which arrive from a mul-
four data streams to an individual scene so that any geometry that titude of directions. As a result, one
Rx on the UE, while simultaneously can affect the propagation channel observes very fast fading for much
minimizing interference to the other is accurately accounted for at each of the time with rapid fluctuations
three active Rxs not associated with time step. Ray path trajectories in channel gain. There is a period,
a given data stream. which connect a transmit-receive however, from t ≈ 0.5 to 1.0 s, over
The UE travels along Park Av- (Tx-Rx) element pair can include which the channel gain is relatively
enue at a constant speed of 14.75 up to six reflections, one diffraction large for the mMIMO elements of
m/s. Along the route, it overtakes a and attenuation by foliage. In addi- one polarization. This is due to a
bus moving at 7 m/s in the adjacent tion, diffuse scatter off the terrain, strong reflection off the slow-mov-
lane and is proximate to oncoming asphalt and nearby buildings is in- ing bus that the UE is gaining on
traffic, a car (14 m/s) and a bus (13 cluded. Linear interpolation of ray which exists over this period, allow-
m/s). Figure 1 depicts the scenario path parameters (e.g., path length, ing significant power to reach the
at an initial time, t = 0 s, where the path loss and phase shift) between Rx.
yellow dots which extend in front of time steps allows a reliable means Rear Windshield (see Figure
each moving vehicle denote way- to reconstruct ray path properties 2b). For this Rx, the characteristics
points at equal time intervals for the at arbitrary times. The parameters of fading for the first ≈ 3.5 s are no-
given route. for each ray path at an arbitrary tably different from the front wind-
time can then be used to calculate shield Rx, as the gain is significantly
DETERMINISTIC CHANNEL the complex path gain and, by co- increased and has a fading contri-
MODEL herently summing over all complex bution that fluctuates on a slower
Before considering the effects of path gains, the complex channel time scale. This is because a line-of-
latency on beamforming, first con- gain for each Tx-Rx element pair. sight (LOS) and one or more specu-
sider the general characteristics of lar rays are incident on this Rx over
the fading channels for the scenario FADING AND SHADOWING this period. Beyond 3.5 s they are
described above. Remcom’s Wire- These results are used to pre- shadowed by one or both buses.
less InSite is used to evaluate the dict channel fading for each Tx-Rx Driver and Passenger Side Rxs
propagation channels by perform- element pair as a function of time. (see Figures 2c and d). These Rxs
ing ray tracing for each of the 384 × Figure 2 shows the magnitude of show similar behavior to the rear

TAMAGAWA Design Filters


ELECTRONICS Opmize Performance
VIETNAM
SOLVE NOISE ISSUES | PIM HUNTING | HIGH QUALITY

h2ps://www.tmeleus.com
info@tmeleus.com

Address: No.7A, Plot No H‐1, Thang Long Industrial Park II, Di Su Ward, My Hao Town, Hung Yen Province, Viet Nam

104 Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
SIX DAYS THREE CONFERENCES ONE EXHIBITION

EUROPE’S PREMIER
MICROWAVE, RF, WIRELESS EXCEL, LONDON
13-18 FEBRUARY 2022
AND RADAR EVENT

DISCOUNTED
CONFERENCE RATES
Register NOW and SAVE
Discounted rates available up to and including
31st December 2021
Register online at: www.eumw2021.com
The Conferences (13th - 18th February 2022)
• European Microwave Integrated Circuits Conference (EuMIC)
14th - 15th February 2022
• European Microwave Conference (EuMC) 15th - 17th February 2022
• European Radar Conference (EuRAD) 16th - 18th February 2022
• Plus Workshops and Short Courses (13th - 18th February 2022)
• In addition, EuMW 2021 will include The Defence, Security and
Space Forum, The Automotive Forum and The Beyond 5G Forum

The FREE Exhibition (15th - 17th February 2022)


Register today to gain access to over 300 international
exhibitors and take the opportunity of face-to-
face interaction with those developing the future
of microwave technology. The exhibition also FREE
features exhibitor demonstrations, industrial
workshops and the annual European Microwave
EXHIBITION
Week Microwave Application Seminars
(MicroApps).
ENTRY

51
ST
2021
The 16th European Microwave The 51st European Microwave Conference
2021
Integrated Circuits Conference The 18th European Radar Conference

REGISTER NOW AT: WWW.EUMW2021.COM


Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
ApplicationNote
window Rx, as they also have a LOS the UE is moving almost directly
and strong multi-path components away from the gNB with a speed |v⃗|=
reaching the Rxs for the initial por- 14.75 m/s, the expected frequency
tion of the route. The passenger shift for the LOS contribution is close
side Rx is abruptly shadowed at t ≈ to the maximum shift ∆fmax=|v⃗|/λ =
2.5 s, at which point the UE is over- 1.38 kHz. The observed shift, with a
taking the bus on its passenger’s magnitude of 1.35 kHz, is reduced
side. because the velocity of the UE is
All Rxs. For all Rxs, a slow fade not directly away from the gNB,
is observed for short to long times- and the reflected rays also contrib-
cales due to free-space path loss as ute with somewhat reduced shifts.
the UE recedes from the gNB. The negative sign of the shift is ex-
pected since the UE is moving away
DOPPLER SHIFTS and therefore receives a LOS sig-
Another quantity of interest is nal lower in frequency than that of
the distribution of frequency shifts the carrier. This dominant peak sits
that arise due to the Doppler ef- on top of a broad pedestal, which
fect, as such shifts introduce inter- extends from -∆fmax to +∆fmax and
(sub)-carrier-interference (ICI) in an results from diffuse scatter contribu-
OFDM waveform and thereby de- tions to the PSD, which arrive from
grade the communication link. The all directions relative to the velocity,
power spectral density (PSD) of the v⃗, of the UE.
Doppler frequency shifts relative to Finally, the isolated peak hav-
the carrier is given by the PSD of the ing a frequency shift of +3.45 kHz
time dependent
10–5
complex channel
Doppler Power Spectral Density (arb. units)

gain over a given LOS Contribution


Diffractive Backscatter
from Oncoming Bus
interval. 10–6 (–1350 Hz Shift) (+3450 Hz Shift)
A typical Dop-
pler spectrum is 10–7
shown in Figure
3a where the PSD
10–8
is computed over
a 20 ms period at a
simulation time of t 10–9
= 4.3 s. The spec-
trum shown is the 10–10
average over all Diffuse Scatter Pedestal
(–1380 Hz to +1380 Hz)
mMIMO elements
10 –11
for a single Rx on –6000 –4000 –2000 0 2000 4000 6000
the driver’s side of Frequency Shift from Carrier (Hz)
the UE. There are (a)
several notable
features explained
with reference to
Figure 3b, which
shows the 25 high-
est power (out of
500) ray paths that
contribute to the
received signal for
a representative
mMIMO element.
The dominant
peak in the Dop-
pler spectrum aris-
es primarily from
the LOS ray path,
with smaller contri- (b)
butions from some-
what weaker re-  Fig. 3 Doppler shift at the driver’s side receiver (a) showing
flected rays. Since effects of diffraction from an oncoming bus (b).
106 Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
THE 2021/2022 Wednesday
16 February 2022
DEFENCE, SECURITY & SPACE FORUM London, UK
AT EUROPEAN MICROWAVE WEEK 08:30 to 18:30

Organized by

A one-day focused Forum


addressing RF Sensing from Space:
Modern Trends and Challenges.

Registration fee
is €20 for those who
registered for a conference
and €60 for those not registered
for a conference. As information is
formalized, the Conference Special
Events section of the EuMW website
will be updated on a regular
basis.

Register online at www.eumw2021.com

Sponsors:

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
ApplicationNote
be determined (e.g. Wang et al.,9 but using a determin-
istic rather than a statistical CIR).

BEAMFORMING DEGRADATION DUE TO


LATENCY
Consider now the SINR attained for four downlink
data streams to active Rxs on the UE achieved by digi-
tal RZF beamforming using four analog-formed beams,
each chosen from the precoding table. Latencies which
exist between channel measurement and beamforming
(a) degrade performance.
0.8 The four active Rxs (out of eight on the UE) and the
1500 precoding matrix indices (PMIs) requested by the UE
Subcarrier

0.6 are chosen following a burst of synchronization signal

× 10–5
1000
blocks (SSBs) which are part of the 5G NR and can be
500 0.4
expected once every 20 ms.4,10 During a SSB burst, the
0.2 gNB scans a sector by transmitting 64 SSBs using each
35 70 105 140
of the 32 analog-formed beams for each of two orthog-
OFDM Symbol
onally polarized panels in succession (see Figure 4a).
1500
0.8 During the SSB bursts, the UE determines the four Rxs
out of eight that have the highest signal strength from
Subcarrier

0.6
× 10–5

1000 one of the 64 SSBs.


500 0.4 Since the UE only has four digital RF chains, two
successive SSB bursts are required to determine the
0.2 four active Rxs. Thus, with a period of 40 ms, the UE
35 70 105 140
OFDM Symbol chooses an active Rx set and reports to the gNB the
four unique PMIs which produced the highest signal
1500
0.4 strengths. These are used to generate four beams for
Subcarrier

the analog stage of the hybrid beamforming. Next, the


× 10–5

1000
0.2 CSI required for the digital beamforming stage is ob-
500 tained from sounding reference signals (SRSs) provided
0 by the UE on uplink (channel reciprocity is assumed).
35 70 105 140 Per the 3GPP specifications,2 the SRS can be provided
(b) OFDM Symbol as often as once per slot; which, for a 60 kHz subcarrier
spacing, is a period of 250 μs.
The latency due to both the analog and digital stag-
 Fig. 4 Sector scan during a signal synchronization burst (a)
es of channel estimation in beamforming degrades
with channel estimation based on sounding reference signal (b).
performance. For example, in the case of PMI set + Rx
results from rays that first travel to the oncoming bus, set selection, motion of the UE or other vehicles in the
diffract off the bus and, finally, travel back to the driver’s scene can result in abrupt shadowing of one or more
side Rx. The size of this shift is reasonable. With the bus active Rxs from one or more analog-formed beams.
approaching the gNB at a velocity of v⃗bus, and the UE Regardless, the gNB will continue to use this compro-
and bus approaching each other with a relative veloc- mised PMI + Rx set for beamforming until another set is
ity of (v⃗bus – v⃗), the expected Doppler shift would be (2 chosen at a time up to 40 ms in the future despite the
|v⃗bus| + |v⃗|)/λ = 3.8 kHz. Given that these objects are not significant reduction in SINR over this period.
moving directly toward each other, the reduced shift of In the case of SRS-based channel estimation, dis-
3.45 kHz is expected. crepancies between the measured and actual CSI grow
It is notable that this representative Doppler spec- due to the channel changing continuously between SRS
trum differs significantly from the often-used statistical measurements. An example of this is shown in Figure
model of Clarke7 and Jakes,8 which assumes a dense, 4b where the top panel shows the magnitude of the
uniform scattering environment and ignores strong, iso- actual channel gain across a ≈ 100 MHz bandwidth (as-
lated contributions that arise from LOS or specular ray suming 60 kHz subcarrier spacing) as a function of time.
paths. The Doppler spectra for the deterministic chan- This CSI is sampled and stored by the gNB (middle
nel simulated here, in contrast, includes contributions panel) once per slot where one slot = 14 symbols = 250
from LOS and specular rays which yield the dominant μs. Over the period of one slot, the error between the
features in the Doppler spectrum, highlighting the stored and actual CSI grows continuously from zero. Fig-
value of deterministic ray tracing simulations. Further, ure 4 shows CSI for a driver’s side Rx to a representative
though it is beyond the scope of this article, the time mMIMO element over a period of 40 ms starting at t =
dependent ray path parameters provided by these sim- 2.5 s.
ulations can be used to construct the time dependent Explicit examples of degraded SINR resulting from
channel impulse response (CIR) from which the explicit each case considered above is readily found in the
degradation of the communication link due to ICI can simulation. For example, Figure 5 shows the SINR (red

108
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
ApplicationNote
15
15 t1 t2 t3 10
10 5
0

SINR (dB)
5
–5

SINR (dB)
0
–10
–5 –15
Without Latency
–10 –20 With Latencey
–25
–15
2.526 2.528 2.530 2.532 2.534 2.536
–20 Without Latency
With Latencey
Time (s)

2.48 2.50 2.52 2.54 2.56 2.58


Time (s)
 Fig. 6 SINR degradation from the
(a) (b) latency in the digital beamforming stage.

to a passenger side Rx at a time


 Fig. 5Driving scenario (a) with SINR degradation due to latency in the analog
between t1 and t2 even though it is
beamforming stage (b).
trace in Figure 5b) for data stream on instantaneous channel measure- shadowed). In fact, even at t2, the
#4 over a period that includes the ments (blue trace). updated active Rx set still includes
moment (t1 = 2.483 s) when the In this case, with latency ignored, one of the passenger side Rxs, be-
passenger side Rxs become rapidly the PMI/Rx sets chosen at t1 switch cause the signal strength recorded
shadowed by a bus. Prior to this to using driver’s side Rxs for streams for that Rx was measured during
moment, at t0 = 2.463 s, the PMI/ #3 and #4, which have direct LOS. the SSB burst just prior to its being
Rx set had been chosen to include In the realistic case, with latency shadowed at t1. Thus, it is only when
a passenger side Rx for stream #4. considered, the gNB continues to the PMI/Rx sets are updated again
When this Rx becomes shadowed at attempt to form beams to the pas- at t3 = 2.543 s that both passenger
t1, however, the SINR is significantly senger side Rxs until the PMI/Rx side Rxs become excluded from the
degraded relative to that which sets are updated after the SSB burst active Rx set.
could be achieved if the analog and at t2 = 2.503 s (e.g., Figure 5a shows A representative time sequence
digital beams were formed based the gNB’s attempt to form a beam demonstrating degraded SINR due
to latency in SRS-based channel es-
timation is shown in Figure 6. The
red trace shows the SINR for stream
#3 and associated with an Rx on
the driver’s side. This SINR varies
Passives with a Passion for Performance periodically from a large value im-
mediately after each SRS measure-
ment to a low value just prior to
NEW 3 GHz the subsequent SRS measurement.
The periodic degradation in SINR
& Beyond Products! results precisely from the difference
•E between the actual CSI and the CSI
•A h periodically captured by the gNB as
• depicted in Figure 4b. For compari-
• 00% son, the blue trace in Figure 6 shows
the SINR that would be attained if
Standard & Custom Components the gNB used the actual CSI at all
times for beamforming (i.e., ignor-
COUPLERS SPLITTERS
S TRANSFORMERS RF CHOKES ing latency).

COMPREHENSIVE RESULTS
To gauge the total impact latency
has on beamforming for the eMBB
scenario considered here, the SINR
8 GHz BW 500 Ω 75 Ω P for the scenario as described above,
4 2 5 GHz BW 2 4 h h which includes latencies based on
h 5G NR standards, is compared to
h h 0 060~5
50 75 h : :2
the same scenario but with the effect
B ATV
:4 :8 : 6 fi of latency ignored (i.e., the PMI/Rx
set selection is made and current CSI
is used at each timestep for beam-
w w w. m i n i r f . c o m | s a l e s @ m i n i r f . c o m | ( 4 0 8 ) 2 2 8 - 3 5 3 3 forming). The SINRs for both scenar-
ios are calculated between t = 22.5
110
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
IMS 19-24 June 2022
DENVER • COLORADO

Reunite in-person with thousands of microwave and RF


colleagues from across the globe.
Reconnect on the show floor with existing and potential clients
attending IMS, RFIC, and ARFTG. Maximize your exposure, generate
new prospects, and demonstrate industry leadership.
Refresh your brand, with a sponsorship and launch new
initiatives from your booth on the show floor.

Reserve your Space Today!


Content is copyright protectedims-ieee.org/exhibition
and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
ApplicationNote
ms and 3.5 s with a 25 μs time step. all four data streams is shown in an eMBB use case in a dynamic
Beyond the final time of 3.5 s, the UE Figure 7a. The corresponding urban scene is employed using ray
is strongly shadowed. cumulative distribution functions tracing simulations to provide a
A histogram of the results across (CDFs) are shown in Figure 7b time dependent deterministic chan-
where an additional CDF result is nel model for analysis.
2.0
Without Latency included for a scenario where the The impact from latency alone,
SRS Periodicity = 1 Slot
SRS measurements are made once evaluated here, can be significant,
Occurences (104)

1.5
every two slots. The median SINR resulting in a 5 to 8 dB loss in SINR
is 20.5 dB, 15.4 dB and 12.4 dB for for feasible parameters. The quan-
1.0
the case which ignores latency and titative impact of other effects of
0.5
the cases that include all latency ef- mobility on the communications
fects but use an SRS periodicity of link, such as Doppler shifts which in-
0
one slot and two slots respectively. troduce ICI, have not been included
–20 –10 0 10 20 30 40 Thus, the combined effects of la- here and will further degrade per-
(a) SINR (dB) tency, including both PMI/Rx set formance. All such effects, however,
1.0
selection and CSI measurement, can be calculated deterministically
Without Latency act to degrade the median SINR by using, as input, time dependent
SRS Periodicity = 1 Slot
0.8 SRS Periodicity = 2 Slot 5.1 dB when a one slot SRS period- CIRs constructed from the ray trac-
icity is used and 8.1 dB for a two ing simulations. Ray tracing simula-
0.6
slot SRS periodicity. tions can provide the foundation for
CDF

0.4 the accurate evaluation of link per-


CONCLUSION formance in a mobile environment
0.2 Accessing the wide bandwidths incorporating all effects which act to
0
afforded by mmWaves necessitates degrade service quality.
–20 –10 0 10 20 30 40 the use of adaptive beam steer-
(b) SINR (dB) ing methods with degraded per- References
formance in a mobile environment 1. “Wireless InSite 3D Wireless Prediction
Software,” Remcom Inc., 2021, www.
 Fig. 7 Effects of latency on due to latency. To assess the perfor- remcom.com/wireless-insite-em-propa-
beamforming: histogram across four data mance impact for realistic scenarios,
streams (a) and corresponding CDFs (b). gation-software.
2. “Physical Channels and Modulation,”
Release 15, 3GPP TS 38.211, V.15.4.0,
January 2019.
3. “Multiplexing Channel Coding,” Release
15, 3GPP TS 38.212, V.15.4.0, January
2019.
4. “Physical Layer Procedures for Control,”
Release 15, 3GPP TS 38.213, V.15.4.0,
January 2019.
5. “Success with 5G Communications Us-
ing ‘Vehicle Glass Mounted Antenna’
for 5G Connected Car,” AGC Incorpo-
rated and NTT DOCOMO Incorporated,
July 2018, www.agc.com/en/news/de-
tail/1197413_2814.html.
6. C. B. Peel B. M. Hochwald and A. L.
Swindlehurst, “A Vector-Perturbation
Technique for Near-Capacity Multian-
tenna Multiuser Communication – Part I:
Channel Inversion and Regularization,”
IEEE Transactions on Communications,
Vol. 53, No. 1, Jan., 2005, pp. 195–202.
7. R. H. Clarke, “A Statistical Theory of Mo-
bile Radio Reception,” The Bell System
Technical Journal, Vol. 47, No. 6, July-
August 1968, pp. 957–1000.
8. W. C. Jakes, “Microwave Mobile Com-
munications,” Wiley, New York, 1974.
9. T. Wang, J. G. Proakis, E. Masry and
J. R. Zeidler “Performance Degrada-
tion of OFDM Systems Due to Doppler
Spreading,” IEEE Transactions on Wire-
less Communications, Vol. 5, No. 6, June
2006, pp. 1422–1432.
10. “Radio Resource Control Protocol Speci-
fication,” Release 15, 3GPP TS 38.331,
V.15.4.0, January 2019.

112
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
SIX DAYS THREE CONFERENCES ONE EXHIBITION

EUROPEAN MICROWAVE WEEK 2021


EXCEL LONDON EXHIBITION &
CONVENTION CENTRE, LONDON, UK EXCEL, LONDON
13-18 FEBRUARY 2022
13TH - 18TH FEBRUARY 2022

EUROPEAN MICROWAVE WEEK 2021

REGISTRATION
INFORMATION
EUROPE’S PREMIER MICROWAVE,
RF, WIRELESS AND RADAR EVENT

Register online at:


www.eumw2021.com

51
ST
2021
The 16th European Microwave The 51st European Microwave Conference
2021
Integrated Circuits Conference The 18th European Radar Conference

REGISTER NOW AT: WWW.EUMW2021.COM


Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
European Microwave Week 2021
The only European event dedicated to the Microwave and RF industry
The European Microwave Week 2021 takes place in the historic city the microwave sector. It also offers you the opportunity for face-to-
of London. Bringing industry and academia together, the European face interaction with those driving the future of microwave technology.
Microwave Week 2021 is a SIX day event, including THREE cutting edge EuMW 2021 will see an estimated 1,500 conference delegates, over
conferences, THREE Forums and ONE exciting trade and technology 4,000 attendees and in excess of 300 international exhibitors (inc. Asia
Exhibition featuring leading players from across the globe. EuMW 2021 & US).
provides access to the very latest products, research and initiatives in

The Exhibition
Registration to the exhibition is FREE!
• Over 300 International Companies - meet the industry’s biggest • Industrial Workshops - get first hand technical advice and guidance
names and network on a global scale from some of the industry’s leading innovators
• Cutting-edge Technology - exhibitors showcase their latest product • MicroApps - attend our annual European Microwave Week
innovations, offer hands-on demonstrations and provide the Microwave Application Seminars (MicroApps)
opportunity to talk technical with the experts Entry to the exhibition is FREE.
Be There Register at: www.eumw2021.com
Exhibition Dates Opening Times
Tuesday 15th February 2022 09:30 - 18:00
Wednesday 16th February 2022 09:30 - 17:30
Thursday 17th February 2022 09:30 - 16:30

The Conferences
The EuMW 2021 consists of three conferences, three forums and associated workshops:
• European Microwave Integrated Circuits Conference (EuMIC) The three conferences specifically target ground breaking innovation
14th - 15th February 2022 in microwave research. The presentations cover the latest trends in
• European Microwave Conference (EuMC) the field, driven by industry roadmaps. The result is three superb
15th - 17th February 2022 conferences created from the very best papers submitted. For the full
• European Radar Conference (EuRAD) 16th - 18th February 2022 and up to date conference programme including a detailed description
• Plus Workshops and Short Courses (From 13th February 2022) of the conferences, workshops and short courses, please visit
• In addition, EuMW 2021 will include the Defence, Security and www.eumw2021.com. There you will also find details of our partner
Space Forum, the Automotive Forum and the Beyond 5G Forum programme and other social events during the week.

How to Register
Registering as a Conference Delegate or Exhibition Visitor couldn’t be easier. Register online and print out
your badge in seconds onsite at the Fast Track Check In Desk. Online registration is open now, up to and
during the event until 18th February 2022.
• Register online at www.eumw2021.com Registration opening times:
• Receive an email receipt with barcode • Saturday 12th February 2022 (16:00 - 19:00)
• Bring your email, barcode and photo ID with you to the event • Sunday 13th - Thursday 17th February 2022 (08:00 - 17:00)
• Go to the Fast Track Check In Desk and print out your badge • Friday 18th February 2022 (08:00 - 10.00)
• Alternatively, you can register onsite at the self service terminals
during the registration.
Please note: NO badges will be mailed out prior to the event.
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
Registration Fees
Full Week ticket: Reduced rates are offered if you have society membership to any
of the following: EuMA , GAAS, IET or IEEE. Reduced rates for the
Get the most out of this year’s Microwave Week with a Full Week ticket.
conferences are also offered if you are a Student/Senior (Full-time
Combine all three conferences with access to all forums the Defence,
students 30 years or younger and Seniors 65 or older as of 18th
Security and Space and the Beyond 5G Forum (the Automotive Forum is
September 2021). The fees shown below are invoiced in the name
not included) as well as all the Workshops or Short Courses.
and on behalf of the European Microwave Association. All payments
Registration at one conference does not allow access to the sessions of must be in £ (pound sterling) – cards will be debited in £ (pound
the other conferences. sterling).

CONFERENCES ADVANCE DISCOUNTED RATE STANDARD RATE


REGISTRATION (FROM NOW UP TO & INCLUDING 31st DECEMBER 2021) (FROM 1ST JANUARY 2022 & ONSITE)
Society Member Non-Member Society Member Non-Member
1 Conference Standard Student/Sr. Standard Student/Sr. Standard Student/Sr. Standard Student/Sr.
EuMC £430 £120 £600 £160 £600 £160 £830 £230
EuMIC £330 £110 £460 £150 £460 £150 £640 £210
EuRAD £290 £100 £410 £140 £410 £140 £570 £200

2 Conferences Standard Student/Sr. Standard Student/Sr. Standard Student/Sr. Standard Student/Sr.


EuMC + EuMIC £600 £230 £840 £320 £840 £320 £1,180 £440
EuMC + EuRAD £570 £220 £800 £300 £800 £300 £1,120 £430
EuMIC + EuRAD £490 £210 £690 £290 £690 £290 £970 £410

3 Conferences Standard Student/Sr. Standard Student/Sr. Standard Student/Sr. Standard Student/Sr.


EuMC + EuMIC + EuRAD £730 £330 £1,020 £460 £1,020 £460 £1,430 £640
Full Week Ticket £1,140 £680 £1,490 £870 £1,490 £870 £1,920 £1,070

BECOME A MEMBER - NOW!


EuMA membership fees: Professional £22,– / year, Student £13 ,–/ year.

One can apply for EuMA membership Members have full e-access to the EUMA KNOWLEDGE CENTRE
by ticking the appropriate box during International Journal of Microwave The EuMA website has its Knowledge Centre
registration for EuMW. Membership is and Wireless Technologies. The printed which presently contains over 20,000
valid for one year, starting when the version of the journal is no longer papers published under the EuMA umbrella.
subscription is completed. The discount available. Full texts are available to EuMA members
for the EuMW fees applies immediately. only, who can make as many copies as they
wish, at no extra-cost.

SPECIAL FORUMS AND SESSIONS ADVANCE DISCOUNTED RATE STANDARD RATE


REGISTRATION (UP TO & INCLUDING 31st DECEMBER 2021) (FROM 1ST JANUARY 2022 & ONSITE)
Date Delegates* All Others** Delegates* All Others**
Automotive Forum 14th February 2022 £240 £290 £330 £390
Beyond 5G Forum 17th February 2022 £60 £70 £80 £90
Defence, Security & Space Forum 16th February 2022 £20 £60 £20 £60
European Microwave Student School 14th February 2022 £40 £40 £80 £80
Tom Brazil Doctoral School of Microwaves 14th February 2022 £40 £40 £80 £80

* those registered for EuMC, EuMIC or EuRAD ** those not registered for a conference
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
Workshops and Short Courses
Despite the organiser’s best efforts to ensure the availability of all listed workshops and short courses, the list below may be subject to change. Also
workshop numbering is subject to change. Please refer to www.eumw2021.com at the time of registration for final workshop availability and numbering.
Sunday 13th February 2022
WS01 EuMC Full Day Advances of wireless sensing in harsh and severe environments
WS02 EuMC/EuMIC Full Day Terahertz device, circuit and system fundamentals and applications
WS03 EuMC Full Day mmWave Plastic Waveguide High Data Rate Communication
WS04 EuMC Full Day New trends in microwave and mmWave filters
WS05 EuMC Full Day On-chip and scalable RF packaging solutions with integrated antennas for 5G mmWave and 6G applications
WS06 EuMC/EuMIC Full Day Progress and status of Gallium Nitride monolithically microwave integrated circuits
WS07 EuMC Half Day AM RF reliability status and challenges for 5G mmWave and 6G applications
WS08 EuMC Full Day Technology for RF 5G and satcom: from material to packaged demonstrators
WS09 EuMC Full Day Research in power and S-parameters measurements at mmWave and terahertz frequencies
SS01 EuMC Half Day PM Advanced non-linear characterization and design of highly efficient power amplifiers using load pull data for sub 6GHz and
mmWave applications
SS02 EuMIC Full Day Fundamentals of microwave PA Design
SS03 EuMC Half Day PM 5G mmWave OTA measurements – best practices for fast and reliable results
SS04 EuMC Half Day AM Terahertz technology, instrumentation and applications
Monday 14th February 2022
WM01 EuMC Half Day PM Optimizing modulation quality measurements on wide bandwidth signals – from conformance through R&D
WM02 EuMC/EuMIC Full Day Advances in circuits and systems for mmWave radar and communication in silicon technologies
WM03 EuMC Full Day Sensing, imaging and biological tissues characterization using microwaves and mmWaves
WM04 EuMC Full Day RF on-wafer calibration and measurement eco-system workshop
WM05 EuMC Half Day AM Novel technologies for emerging on-board microwave equipment based on surface mounted electromechanical relays
WM06 EuMC Full Day Recent developments in wireless power transfer and energy harvesting
WM07 EuMC Half Day AM Beyond 5G: mmWave and terahertz techniques of 6G research
SM01 EuMC Half Day AM R&D trends and challenges in RFPAs for medium/high-volume products
SM02 EuMC Half Day PM Intuitive microwave filter design with EM simulation
SM03 EuMC Half Day PM Phase-noise in next-generation aerospace/defense and commercial wireless communications
SM04 EuMC Half Day PM Solid-state microwaves applications in industrial, scientific and medical fields
Wednesday 16th February 2022
WW01 EuMC/EuMIC Full Day Technologies for 6G FEMs
WW02 EuRAD Full Day Virtual validation of automotive sensors
SW01 EuRAD Half Day AM Joint range-angle superresolution MIMO radar
SW02 EuRAD Half Day PM Radar design from the ground up
Thursday 17th February 2022
WTh01 EuRAD/EuMC Half Day AM Advances in drone antenna measurement techniques for Satcom and RADAR applications
Friday 18th February 2022
WF01 EuMC Half Day AM Advanced manufacturing and packaging
WF02 EuRAD Half Day PM Paradigm change in automotive mm-Wave radar applications – from technology push to demand pull
WF03 EuMC Full Day Innovative THz technologies for imaging, radar and communication
WF04 EuRAD Full Day Advanced processing and deep learning approaches for indoor sensing using short-range radars
SF01 EuMC Half Day AM AI techniques for microwave antenna and filter design: from theory to practice
SF02 EuMC Half Day AM Microwave superconductivity: applications of SQUID and Josephson junctions in microwave circuits

WORKSHOPS AND IN COMBINATION WITH WITHOUT


SHORT COURSES CONFERENCE REGISTRATION CONFERENCE REGISTRATION

Society Member Non-Member Society Member Non-Member


Standard Student/Sr. Standard Student/Sr. Standard Student/Sr. Standard Student/Sr.
Half Day £90 £60 £110 £90 £110 £90 £150 £110
Full Day £120 £90 £160 £120 £160 £120 £220 £160
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
SIX DAYS THREE CONFERENCES ONE EXHIBITION

EUROPE’S PREMIER
MICROWAVE, RF, WIRELESS EXCEL, LONDON
AND RADAR EVENT 13-18 FEBRUARY 2022

THE EUROPEAN
MICROWAVE
EXHIBITION
15TH - 17TH FEBRUARY 2022
• 10,000 sqm of gross exhibition space
• Around 5,000 attendees
• 1,700 - 2,000 Conference delegates
• In excess of 300 international exhibitors
(including Asia and US as well as Europe)

INTERESTED IN EXHIBITING?
For International Sales:
Richard Vaughan,
International Sales Manager
E: rvaughan@horizonhouse.co.uk

CALL +44(0) 20 7596 8742 OR VISIT WWW.EUMW2021.COM


Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
TechBriefs

Sidecar Module Enables


RF Reliability Testing at
Ka-Band
A
ccel-RF’s mmWave Side- channel unit or it can be combined nent reliability testing. Accel-RF’s
car module was designed with additional units to form a multi- equipment has facilitated wide
to be combined with the channel test subsystem. industry adoption of compound
company’s characteriza- Using the Accel-RF LifeTest or semiconductors for the space,
tion platform and RF fixtures to cre- USBControl programs, the reliability military and commercial wireless
ate a single-channel, “oven-ized” test platform is controlled via USB markets, supplying reliability test
RF test chamber. The Sidecar mod- to set the bias, temperature and RF systems to many semiconductor
ule provides frequency up-conver- input signal to a device undergoing manufacturers in the U.S., Europe
sion, amplification and gain con- reliability testing. The standard RF and Asia. Now part of STAr Tech-
trol for RF life testing at mmWave drive level is +20 dBm, with an SSPA nologies, Accel-RF is the only pro-
frequencies. Made for the Ka-Band option available to increase the drive vider of fully integrated, scalable,
satcom and 5G bands, the Sidecar to +35 dBm, depending on the fre- turnkey systems for dynamic, multi-
is available in “micro” bands from quency band chosen. The Sidecar dimensional, RF, DC and tempera-
26 to 40 GHz. has an integrated Ka-Band coupler ture testing on a single platform.
Integrating the Sidecar module for precise input signal monitoring Accel-RF helps companies reduce
with Accel-RF’s characterization plat- and provides a gain control voltage development time, ensure reliabil-
form yields a compact benchtop of 0 to +10 V and ±15 V bias. ity and reduce business risk.
system for reliability testing, includ- Since 2003, Accel-RF has devel-
Accel-RF
ing RF measurement and thermal oped RF and power semiconductor
San Diego, Calif.
characterization, such as infrared or reliability test systems, recognized
www.accelrf.com
thermoreflectance. The combination for its expertise with reliability test-
sales@accelrf.com
provides an independent single- ing methodologies and RF compo-

Linear, Ka-Band GaN on


SiC MMIC for Satcom
Terminals
M
icrochip Technology efficiency at 10 W output, when bi- chip is 3.0 x 3.4 x 0.10 mm.
has released its first ased at 24  V, and 22  dB small-sig- To help with design-ins, Micro-
GaN power amplifier nal gain. The PA supports complex chip and its distribution partners
(PA) MMIC delivering modulation, such as 256-QAM, and provide design support for the
10 W saturated output power from reduces power consumption more ICP2840, including an evaluation
27.5 to 31 GHz. The ICP2840 MMIC than 30 percent compared to GaAs board and models for simulating
was designed for commercial and MMICs, capabilities particularly im- system performance.
defense satellite communications portant for satcom equipment. Mi- The ICP2840 GaN MMIC com-
(satcom), 5G networks and other crochip’s customers have confirmed plements Microchip’s portfolio of
aerospace and defense systems op- the ICP2840’s suitability for linear GaN on SiC transistors for radar sys-
erating at Ka-Band. The PA MMIC applications when backed off. The tems, GaAs MMIC PAs, low-noise
enables designers to meet high- balanced architecture of the design amplifiers, switches and Wi-Fi front-
power specifications while reduc- is well-matched to 50 Ω, achieving end modules.
ing power consumption and system 15  dB return loss across the band.
Microchip
weight. The design integrates DC blocking
Chandler, Ariz.
Fabricated using a GaN on SiC capacitors at the output to simplify
www.microchip.com
process, the three-stage MMIC integrating the PA into the next
achieves 22 percent power-added higher assembly. The size of the

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
118 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
LEARNING
CENTER Presented by:

The Basics of Modern Spectrum

N W11/4 Analysis

Sponsored by:

Now On Demand
RF Power Amplifier Considerations for Building Grounded Coplanar Waveguide
Public Safety/Mission Critical Communications Substrate Integrated Waveguide
Structures for mmWave Frequencies
Sponsored by:
Sponsored by:
microwavejournal.com/events/2108
microwavejournal.com/events/2112

Solving Electromagnetic Interference GaN Development


Issues without Testing for 5G
Sponsored by: Sponsored by: It’s who we are
It’s what we do

microwavejournal.com/events/2060 microwavejournal.com/events/2113

ONLINE PANEL SERIES

11/17 Interesting IoT Technologies for the Future

Register to attend at mwjournal.com/webinars

eBook eBook

Advances in Radar and


5G OTA Testing Guide Components

FEATURED October 2021

S P O N S O R E D B Y
September 2021

S P O N S O R E D B Y It’s who we are


It’s what we do

mwjournal.com/ebooks

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
M A K I N G
Industry 4.0, The A New Look
to Anokiwave
Evolution of The Website
Smart Factory
Smart factories are collecting data through connected With the launch of the new website, users
machines, devices and production systems. Future can view products by market or applica-
manufacturing plants will be enabled by advancements tion, calculate mmWave array size with
in RF/microwave, microelectronics and 5G. their array calculators and view new
Ametek products and capabilities.
www.ametek-coining.com/knowledge/ Anokiwave
blog/2021/august/industry-4-the-evolution- https://bit.ly/3AS28jM
of-the-smart-factory

Wi-Fi 6E emcware® 6.0 EMC (781)

Performance Test Software


emcware® 6.0 allows EMC test engineers
Testing Video more test time through minimal training,
This blog post includes a hands-on video demonstration and highly efficient equipment and test
of APITech’s new multipath emulator, enabling clients to setup when compared to the competition.
test the functionality of Wi-Fi devices in a true AR RF/Microwave Instrumentation
multipath environment.
APITech https://bit.ly/3lY2f7c
https://blog.apitech.com/blog/multipath-
emulator-performance-testing

Fairview
Website Microwave
Redesign for Updates Website
ET Industries
Fairview Microwave’s new and improved
ET Industries has launched a new web- website helps you quickly find the prod-
site that includes detailed features for ucts you need with improved user experi-
broadband microwave RF power dividers, ence and easy to use, fast checkout.
GHz directional couplers, 90- and 180-de- Fairview Microwave
gree couplers, stripline and lumped ele- www.fairviewmicrowave.com
ment, MIMO antenna and a streamlined
process for request for quotation.
ET Industries
www.etiworld.com

120 MWJOURNAL.COM  NOVEMBER 2021


Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
RCD Components Beamforming
Unveils New Logo for SatCom
& Website Satellite communications play a huge
role in the global communications
ecosystem supporting military, navigation, weather
RCD Components launched a refreshing monitoring, telecommunications and more. Discover
new logo and a revamped website target- how beamforming is shaping this technology.
ing the needs of electronic design engi- RFMW
neers. The site offers extensive informa- https://rfmwblog.com/2021/08/16/
tion on resistors, easy navigation and a beamforming-for-satcom/
design to enhance user experience.
RCD Components
www.rcdcomponents.com

Spectrum
M2p.7515 Digital
I/O Card Video
(781) 363-0554 The release of the new Digital I/O card M2p.7515
from Spectrum Instrumentation offers engineers
and scientists a cost-effective way to generate and
Precision RF Solutions acquire fast digital signals for e.g. logic analysis or
to 110 GHz pattern generation.
Learn about common precision RF industry Spectrum Instrumentation
applications and supporting interconnect solutions offered https://bit.ly/3EittO8
by Samtec in this new video.
Samtec Precision RF
www.samtec.com/precision-rf-video

Tabor Focuses on Quantum Control & Readout


The new Proteus Arbitrary Waveform Transceiver is an all-in-one, small-factor,
high speed, direct synthesis, scalable product, performing the complete Qubit
drive and loopback, eliminating the need for external electronics.
Tabor Electronics
https://youtu.be/NSO3562gbS8

Reel Times: Low PIM


In this episode of Reel Times, Product Manager Kevin Moyher goes into detail
about Time’s Low PIM products.
Times Microwave
www.youtube.com/watch?v=YFH5ghS-Ky0

MWJOURNAL.COM  NOVEMBER 2021 121


Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
MRFspin
AReleases
K I New
NAnechoic
G Chamber
Impulse announces the release of RFspin’s new anechoic chamber, equipped with modern
technology and absorbers ensures highly accurate measurements up to 120 GHz.
Impulse Technologies Inc. www.impulse-tech.com

Product Tool Now


Features 100s of RF &
Microwave Modules Menlo Micro’s First
Switch Summit
With the expansion of Pickering’s PXI/ Menlo Micro’s lead systems applications
PXIe RF and microwave switching mod- engineer, Stewart Yang, discusses how
ules, with frequency ranges up to 67 GHz, their unique IdealSwitch™ technology is critical in
the Product Selector tool now features designing a single-slot 16-channel RF multiplexer for
more solutions for test engineers. PXI systems, presented during Menlo’s first virtual
Switch Summit. All summit sessions are available to
Pickering Tests view on their website.
pickeringtest.com/product-selector
Menlo Micro
https://bit.ly/3if3ZHS

Catch up on the latest industry news with the bi-weekly


video update Frequency Matters from Microwave Journal
@ www.microwavejournal.com/frequencymatters

Sponsored By

h u F
h

122 MWJOURNAL.COM  NOVEMBER 2021


Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
NEW PRODUCTS
for more new products, visit www.mwjournal.com/buyersguide
featuring storefronts
COMPONENTS
2 W Fixed Attenuator EM Switches Digital Attenuator
Model series
352-210-XXX*, a line Fairview Microwave Mini-Circuits’ model
of 50 Ω fixed Inc. has debuted a ZX76-50G-30-V+ is a
attenuators, rated 2 new line of micro- 50 Ω digital step
W average power with sized, surface-mount, attenuator with
1.40:1 maximum single pole, double- attenuation range of
VSWR. The operating frequency range is DC throw, electromechani- 0 to 31.5 dB from 0.1
to 2500 MHz, attenuation values are 1 to cal relay switches to 50.0 GHz. Its 6-b
40 dB, the operating temperature range is with broadband parallel control adjusts attenuation in 0.5
-55°C to +100°C and the RF connectors are performance that are ideal for a wide range dB steps with typical switching speed of
N female/N female. Useful for analyzing of applications involving high-power, switch 330 ns. The attenuator operates with single
harmonic signals or isolating a device under matrices and test and measurement (+3.3 V) or dual (+3.3, -3.0 V) power
test, these attenuators reduce the amount systems. Fairview Microwave’s new series of supplies and typical VSWR of 1.50:1. The
of power delivered in a transmission line SPDT electromechanical relay switches RoHS-compliant attenuator is equipped with
without introducing much noise or distor- includes six models with popular latching female 2.4 mm connectors and can handle
tion. actuators in micro-size surface-mount input power levels as high as +28 dBm. It is
BroadWave Technologies Inc. packages. These switches deliver impres- well suited for communications, electronic
www.broadwavetechnologies.com sive performance with low insertion loss, warfare and test systems.
high isolation and excellent repeatability. Mini-Circuits
60 dB Digital Attenuator Fairview Microwave Inc. www.minicircuits.com
COMTECH PST www.fairviewmicrowave.com
Component Division Custom Capacitor Assemblies
introduced a new Butler Matrices Passive Plus Inc. (PPI)
digital attenuator for MIcable’s 0.6 to 5 offers custom
applications in UHF GHz and 2 to 8 GHz capacitor assemblies
band. The design operates over the 400 to 4×4 and 8×8 for high power
500 MHz frequency range intended for use wideband butler requirements. Typical
in military or commercial applications. The matrices cover 5G NR assemblies are
attenuator provides 0 to 60 dB of range. (FR1) and Wi-Fi 6E, configured in series and/or parallel
The accuracy achieved is exceptional when the whole frequency combinations, producing higher voltage/
changing attenuator steps. Switching speed band application in one unit respectively. current handling capabilities, extended
is very fast when attenuation needs to be Because the high performance passive capacitance range and tighter tolerances.
changed. Other frequencies, attenuation components and cables are used inside, the PPI works with requesting engineers to
ranges and performance characteristics can system has super phase accuracy, determine best assembly for their applica-
be achieved for other applications. amplitude balance, stability and repeatabil- tions. All assembly components receive 100
COMTECH PST ity and can produce the accurate beamform- percent partial discharge and 100 percent
www.comtechpst.com ing. The applications include 5G NR (FR1), sonoscan for internal defects prior to
Wi-Fi, IoT, UWB, etc., chips, modules and assembly. Final assemblies then receive
Power Dividers system test. 100-hour burn-in, assuring highest quality
Electromagnetic Fujian MIcable Electronic Technology and reliability.
Technologies Group Co. Ltd. Passive Plus Inc.
Industries Inc. (ETI) www.micable.cn www.passiveplus.com
offers advanced
power dividers and mmWave Controlled Components Ultra-Broadband Dividers
combiners for all General Microwave Response Microwave
microwave engineer- Corp. is a key partner Inc. announced the
ing needs. These with major OEMs and availability of its new
power dividers have frequencies that range primes, having been broad band 2-way
from 0.3 GHz up to 67 GHz with high chosen for their broad power divider for use in ATE and production
isolation and low insertion loss. Design and comprehensive 5G applications. The new RMPD2.1-40.292f
package can support SMA, K, 2.4 mm, 1.85 understanding of covers the 1 to 40 GHz band offering typical
mm and N-type connectors. Power dividers mmWave controlled components. General electrical performance of 1.2 dB maximum
are available in: 2-way/3-way/4-way/6- Microwave offers a wide range of mmWave insertion loss, VSWR of 1.70:1 maximum
way/8-way/12-way/16-way/64-way/128-way. products operating in the 18 to 40 GHz and minimum directivity of 15 dB. Power
Please contact sales1@etiworld.com for frequency range including catalog attenua- handling is 20 W and the unit is operational
more information. tors, switches and phase shifters as well as over the -55°C to +125°C range.
Electromagnetic Technologies Industries, Inc. integrated microwave assemblies. If it’s a Response Microwave Inc.
www.etiworld.com standard catalog unit or a highly customized www.responsemicrowave.com
mmWave product designed specifically for
high performance, General Microwave can
provide products to support your require-
ments.
Kratos/General Microwave Corp.
www.kratosmed.com
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
MWJOURNAL.COM  NOVEMBER 2021 123
For reprints please contact the Publisher.
QUAD Band Combiner CABLES & CONNECTORS
NewProducts Tamagawa announced
the new quad band Coax Cable Assemblies
Miniature Coaxial Switches combiner support NAI, a designer and
GSM/WCDMA/LTE manufacturer of
This RLC Electronics’ systems including custom interconnect
miniature coaxial 700/900/1800/2600 MHz. In the indoor solutions which
switch is a single pole, base station system, usually additional deliver power and
two position type. The ports from RRU/RRH are required to signals to monitor
switch provides implement new frequencies. Quad band data, connect people
extremely high combiner is an antenna, and its duplexer and keep equipment operating, continues
reliability, long life and shares four frequencies with high isolation its introduction of new standard intercon-
excellent electrical band to band. This product is equipped with nect products under the STEADY LINK™
performance characteristics over the frequency one output port and four input ports in a brand with the launch of a new line of
range of DC to 65 GHz. The miniature package 19-inch 1U rack air-cooled. Series-C LMR* 240 and 400 coaxial cable
utilizes high density packaging techniques, Tamagawa Electronics Vietnam Co. Ltd. assemblies. Series-C coax jumpers are
hence the overall volume of the switch is less www.tmeleus.com designed to address the dramatic increase
than 3/4 cubic inch. in the use of mobile devices by providing
RLC Electronics Automotive Grade IHLP® Inductor interconnect solutions to service 4G LTE
www.rlcelectronics.com and 5G wireless and industrial network
Vishay Intertechnol-
ogy Inc. expanded its applications.
TSX Fixed Chip Attenuators NAI Group
offering of high
temperature www.nai-group.com
Smiths Interconnect automotive grade
announced the IHLP® low profile, high current inductors Low-PIM Cable
release of its new TSX with a new device in the 2020 case size.
Series of fixed chip The Vishay Dale IHLP-2020CZ-8A combines Pasternack has
attenuators optimized a high continuous operating temperature to recently expanded its
to combine high +180°C with a low profile of 3 mm to save ever-growing line of
frequency and power in a small package. The space in under the hood automotive low-PIM coaxial cable
new TSX Series is designed to offer excellent applications. The AEC-Q200 qualified device assemblies using
broadband performance up to 50 GHz, while is optimized for energy storage in DC/DC Pasternack’s Super
delivering increased power handling in a converters up to 2 MHz. Flex cables, as well
small 0604 surface-mount package. It allows Vishay Intertechnology Inc. as popular cable
wider coverage than traditional components www.vishay.com offerings from Times Microwave that are
while providing optimized return loss for ideal for use in wireless infrastructure
multiple frequency ranges. installations, distributed antenna systems
Smiths Interconnect and other low-PIM applications. These new
www.smithsinterconnect.com

2022 IEEE International Symposium on


Phased Array Systems and Technology
Revolutionary Developments in Phased Arrays

Now accepting papers


Submission deadline: 12 March 2022
Please visit the website for additional information, including
suggested topics and paper submission instructions www.array2022.org

Sponsors

Symposium dates: 11–14 October 2022


Waltham, Massachusetts, USA

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
124 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
SOURCES
NewProducts Dielectric Resonator Oscillator MICRO-ADS
cables are available in standard and custom
lengths with same-day delivery. Pasternack’s PMI Model No.
expanded offering of low-PIM coaxial cable TCDRO-12G-CD-1 is a
assemblies consists of over 400 unique temperature
configurations that boast PIM levels of less compensated
than -160 dBc. dielectric resonator
Pasternack oscillator with a
www.pasternack.com center frequency of 12 GHz. This model
provides a minimum output power of +18
dBm with all spurs held to -60 dBc and
AMPLIFIERS harmonics held to -25 dBc. The mechanical
tuning frequency is ±10 MHz and the unit
Wideband LDMOS Transistors has a phase noise of -95 dBc/Hz. The unit
Ampleon has further requires +15 VDC with a maximum current
strengthened its draw of 200 mA and is supplied with SMA
portfolio of advanced female connectors in a housing that
yet cost-effective RF measures 1.80” × 1.00” × 0.50”.
power amplifier Planar Monolithics Industries
solutions by announc- www.pmi-rf.com
ing the availability of two new wideband
amplifier series: the 32 V-rated BLP15M-
9Sxxx and the 50 V-rated BLP15H9Sxxx SOFTWARE
devices. Both families, BLP15M9Sxxx and
BLP15H9Sxxx, are based on the company’s COMPLETE Library™ v21.5
ninth generation LDMOS and high voltage
LDMOS technologies, respectively, and
Modelithics an-
support frequencies of up to 2 GHz. They
nounced the release
l r
are capable of both continuous wave and O
of the latest version,
pulsed signal operation, exhibiting elevated
v21.5, of the
levels of stability.
Modelithics COMPLETE Library for use with
Ampleon
Keysight Technologies’ PathWave Advanced
www.ampleon.com
Design System (ADS). The Modelithics
COMPLETE Library now contains over 800
Amplifiers highly scalable Microwave Global Models™,
behavioral models, complex equivalent
Exodus AMP2030D-
LC, ideal for broad-
band EMI-Lab,
communication and
electronic warfare
applications. Class A/
• Coating for EMI/RFI shielding
AB linear design for
all modulations and • Temperature resistant up to 700°F
industry standards.
Covers 1 to 6 GHz, producing 600 W WEST·BOND INC. WEST·BOND INC.
minimum, 400 W P1dB and 58 dB minimum
gain. Excellent flatness, optional monitoring
parameters for forward/reflected power, +1.201.343.8983 • www.masterbond.com
VSWR, voltage, current and temperature BOND
sensing for superb reliability and rugged-
ness. Integrated in a compact 10U chassis
weighing approximately 50 kg.
Exodus Advanced Communications
www.exoduscomm.com
WIRE BOND
Multiband Cellular Power Amplifier
thinkRF H1000A is a
discrete, rugged (IP66
rated), portable RF
power amplifier for
public safety and
emergency comms
applications. H1000A
has +20 W maximum power output and an
adjustable gain up to 43 dB. It simultane-
ously covers mobile wireless frequency
bands that occur between 715 MHz to 2.7
GHz. H1000A is a smart RF amplifier. You
can monitor and know the true health of the
unit and avoid any unexpected issues while WEST·BOND INC. WEST·BOND INC.

conducting your critical mission.


thinkRF
www.thinkrf.com www.westbond.com
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
MWJOURNAL.COM  NOVEMBER 2021 125
For reprints please contact the Publisher.
NewProducts
circuit models and advanced non-linear models that represent over
24,000 passive and active components. Designers everywhere can
take advantage of this extensive collection of simulation models for
RF/microwave devices that includes surface-mount RLC compo-
nents, diodes transistors, amplifiers, attenuators, filters, couplers
and more.
Modelithics
www.modelithics.com

Transient EM/Circuit Co-Simulation

Remcom announced an update to XFdtd® 3D


EM Simulation Software, with transient EM/
circuit co-simulation for electrostatic
discharge (ESD) testing and support for
transient voltage suppressor diodes and
spark gaps. XFdtd contains a number of
features for simulating the ESD testing process, allowing engineers
to pinpoint components susceptible to ESD damage and optimize
ESD mitigation prior to trial-and-error testing on a physical prototype.
Remcom Inc.
www.remcom.com

ANTENNAS
uRAD with 3D Printed Lens
Impulse Technologies is supplying U.S.
customers with Anteral’s new product for the
industrial market. The product consists of a
PLA 3D printed lens that fits directly over the
uRAD Industrial PCB product. With the added
lens, it achieves a reduction of the field of
view from 160 degrees to less than 10 degrees, which makes it
ideal for frontal detection applications. The application range is
huge: from altimeter use to level sensor. The specific software is
also included with the purchase.
Impulse Technologies Inc.
www.impulse-tech.com

Half Wave Dipole Antenna

RFMW announced design and sales support for a


Southwest Antennas’ omni-directional antenna. The
1001-259 half wave dipole operates from 1.4 to 1.6
GHz with a peak gain of 2.0 dBi. Identical to the
existing 1001-078 fixed based antenna, the
1001-259 adds an integrated, flexible, coil spring
base which provides strain relief and impact
deflection capability, thereby reducing the risk of
damage if struck by another object. Both the antenna and mated RF
radio connectors are protected.
RFMW
www.rfmw.com

TEST & MEASUREMENT


Avionics Testing

Spectrum Instrumentation has an exciting


new application note that explains how to use
the company’s 200+ products for testing
avionics. Learn about the way multi-channel
data acquisition and signal generation
instruments can be used to check and
troubleshoot an aircraft’s data communica-
tions, power distribution and RF processing
systems. Modular instruments, be they PCIe,
PXIe or LXI, offer many advantages for testing the essential data
and power buses in commercial and military aircraft.
Spectrum Instrumentation
www.spectrum-instrumentation.com
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
126 MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
BookEnd

Magnetic Sensors and


Magnetometers, Second Edition
Pavel Ripka

T
his second edition of an Artech magnetometers, based on the coherent
House classic covers industrial, population trapping effect, have been
space and biomedical applica- approved for space applications and are To order this book, contact
tions of magnetic sensors and magne- covered in this new edition.
tometers. With advances in smart grids, Whether you’re an expert or novice, Artech House
renewable energy resources and electric this unique resource offers you a thorough www.artechhouse.com
vehicles, the importance of electric cur- overview of the principles and design of Email: artech@artechhouse.com
rent sensors has increased, and the new magnetic sensors and magnetometers, US 800-225-9977
edition reflects these changes. Integrat- as well as guidance on applying specific UK +44 (0)20 70596 8750
ed fluxgate single-chip magnetometers devices in the real world. The book covers
are presented. GMR sensors in the au- both multichannel and gradiometric mag- ISBN: 978-1-63081-742-8
tomotive market, especially for end-of- netometer systems, addresses special 416 pages. Hardcover
shaft angular sensors, are included, as problems such as crosstalk and cross-field $219
well as linear TMR sensors. Vertical Hall sensitivity, and compares different sensors £190
sensors and sensors with integrated fer- and magnetometers for various applica-
romagnetic concentrators are two com- tions. Miniaturization and the use of new
peting technologies, which enable three- materials in magnetic sensors are also dis- Use code RIP25 to receive 25%
axial single-chip Hall ICs, are considered. cussed. A comprehensive list of referenc- discount on this title
Digital fluxgate magnetometers for both es to journal articles, books, proceedings (expires 11/30/2021)
satellite and ground-based applica- and webpages helps you find additional
tions are discussed. All-optical resonant information quickly.

DISCOVER
THE DESIGN FUNDAMENTALS OF
ELECTRONIC WARFARE APPLICATIONS

Presents AI for electronic support (ES), including


characterization, classification, patterns of life, and intent
recognition

Discusses optimization techniques, including temporal


tradeoffs and distributed optimization challenges

Cogniitiv
Cognitive
ve Electronic Written by experts with first-hand experience in AI-based
Warfare: An Artificial EW, this is the first book on in-mission real-time learning
Intelligence Approach and optimization
Karen Zita Haigh, Julia Andrusenko
Copyright: 2021 Pages: 288
ISBN: 978-1-63081-811-1 ARTECH HOUSE
BOSTON I LONDON
$149 / £129 ArtechHouse.com

GET 25% OFF RETAIL COST • USE COUPON CODE HAI25


* Cannot be combined with other discounts

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
MWJOURNAL.COM  NOVEMBER 2021 127
For reprints please contact the Publisher.
AdvertisingIndex
Advertiser Page No. Advertiser Page No. Advertiser Page No.

Accel-RF Instruments Corporation.......................... 82 Frontlynk Technologies Inc..................................... 93 Passive Plus, Inc...................................................... 88


Agile Microwave Technology Inc............................. 18 Fujian MIcable Electronic Pasternack ............................................................. 13
Technology Group Co., Ltd................................... 81
Amplical....................................................................8 Planar Monolithics Industries, Inc.............................9
Gel-Pak................................................................... 48
Analog Devices............................................COV 2, 53 PPI Systems, Inc...................................................... 24
HASCO, Inc.............................................................. 28
AnaPico AG............................................................. 25 Pulsar Microwave Corporation................................ 38
Herotek, Inc............................................................ 66
Anokiwave.............................................................. 31 Qorvo...................................................................... 59
IEEE International Symposium on Phased
Anritsu Company.................................................... 61 Array Systems & Technology 2022..................... 124 Reactel, Incorporated.............................................. 49
Artech House........................................................ 127 IEEE MTT-S International Microwave RelComm Technologies, Inc.................................... 69
AT Microwave.......................................................... 39 Symposium 2022..................................95-99, 111 Remcom................................................................. 67
B&Z Technologies, LLC............................................ 27 Impulse Technologies............................................. 63 RF-Lambda............................................ 6, 29, 83, 109
Boonton Electronics International Manufacturing Services, Inc.............. 34 RFMW............................................................... 59, 73
(a Wireless Telecom Group Company)................. 52 JQL Electronics Inc.....................................................3 Richardson RFPD ................................................... 19
Cadence Design Systems, Inc................................. 11 K&L Microwave, Inc....................................................7 Rigol Technologies, Inc........................................... 86
CentricRF.............................................................. 112 Knowles Precision Devices..................................... 73 RLC Electronics, Inc................................................. 23
Cernex, Inc............................................................ 106 KRYTAR................................................................... 36 Rosenberger........................................................... 33
Ciao Wireless, Inc.................................................... 46 KYOCERA AVX......................................................... 37 Samtec USA............................................................ 65
Coilcraft.................................................................. 15 LadyBug Technologies LLC...................................... 74 SemiGen................................................................. 75
COMSOL, Inc........................................................... 41 LPKF Laser & Electronics......................................... 92 Signal Microwave, LLC........................................... 79
Copper Mountain Technologies............................. 35 Marki Microwave, Inc.........................................44-45 Sonnet Software, Inc..........................................COV 3
Cuming Microwave Corporation............................ 77 Master Bond Inc................................................... 125 Special Hermetic Products, Inc............................. 125
Dalian Dalicap Co., Ltd.......................................... 103 Microsanj................................................................ 26 State of the Art, Inc.................................................. 94
Eastern Wireless TeleComm, Inc............................. 87 Microwave Journal....................58, 60, 119, 122, 126 Synergy Microwave Corporation..................... 55, 101
ERAVANT............................................................20-21 Microwave Vision Group......................................... 43 Taiyo Yuden Co., Ltd................................................ 30
ERZIA Technologies S.L........................................... 64 MilliBox.................................................................. 91 Tamagawa Electronics........................................... 104
ES Microwave, LLC.................................................. 58 Millimeter Wave Products Inc................................. 85 Virginia Diodes, Inc................................................. 57
ET Industries........................................................... 68 Mini-Circuits...................................... 4-5, 16, 50, 129 Weinschel Associates.............................................. 72
EuMW 2021..........................................105, 113-117 MiniRF Inc............................................................. 110 Wenteq Microwave Corporation........................... 125
EuMW Defence, Security and Space Forum.......... 107 Norden Millimeter Inc............................................ 32 Wenzel Associates, Inc............................................ 76
Exceed Microwave.................................................. 80 OML Inc................................................................... 71 Werlatone, Inc...................................................COV 4
Fairview Microwave................................................ 89
West Bond Inc....................................................... 125

Sales Representatives
Eastern and Pacific and Germany, Austria, Korea Beijing
Central Time Zones Mountain Time Zones and Switzerland Young-Seoh Chinn Cecily Bian
Michael Hallman Brian Landy (German-speaking) JES MEDIA, INC. ACT International
Associate Publisher Western Reg. Sales Mgr. WMS.Werbe- und Media Service Tel: +82 2 481-3411 Tel: +86 135 5262 1310
(NJ, Mid-Atlantic, Southeast, (CA, AZ, OR, WA, ID, NV, UT, Brigitte Beranek corres1@jesmedia.com cecilyb@actintl.com.hk
Midwest, TX) NM, CO, WY, MT, ND, SD, NE & Tel: +49 7125 407 31 18
Tel: (301) 371-8830 Western Canada) bberanek@horizonhouse.com China Hong Kong, Taiwan,
Cell: (781) 363-0338 Tel: (831) 426-4143 Shenzhen Singapore
mhallman@mwjournal.com Cell: (831) 713-9085 France Jenny Li Floyd Chun
blandy@mwjournal.com Gaston Traboulsi ACT International ACT International
Shannon Alo-Mendosa Tel: +44 207 596 8742 jennyl@actintl.com.hk Tel: +86-13724298335
Northeastern International Sales gtraboulsi@horizonhouse.com floydc@actintl.com.hk
Reg. Sales Mgr. Richard Vaughan Shanghai
(New England, New York, International Sales Manager Israel Linda Li Japan
Eastern Canada) Tel: +44 207 596 8742 Dan Aronovic ACT International Katsuhiro Ishii
Tel: (781) 619-1942 rvaughan@horizonhouse.co.uk Tel: +972 50 799 1121 Tel: 86-021-62511200 Ace Media Service Inc.
Cell: (978) 501-9116 aronovic@actcom.co.il lindal@actintl.com.hk Tel: +81 3 5691 3335
salomendosa@horizonhouse.com amskatsu@dream.com
Wuhan
Submitting ad material? Sky Chen
Visit: www.adshuttle.com/mwj Ed Kiessling ACT International
(866) 774-5784 (781) 619-1963 skyc@actintl.com.hk
outside the U.S. call +1-414-566- ekiessling@mwjournal.com
6940

Corporate Headquarters: 685 Canton Street, Norwood, MA 02062 • Tel: (781) 769-9750

128 Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
0.05 MHZ TO 43.5 GHZ

High-Frequency
Amplifiers
Ultra-Wideband Performance

Wide range of features for almost any requirement:


• High gain, up to 45 dB
• Noise figure as low as 1.7 dB
• Output power up to 0.5W
• Rugged designs with built-in protections
• Wide DC input voltage range
• Coming soon: new designs through E-band!

Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
For reprints please contact the Publisher.
Filtronic’s Salisbury Site Adds Capacity and Capability for Domestic Production
of RF Products for Critical Communications

F iltronic is a company with a strong commitment


to in-house manufacturing, and its Salisbury, Md.,
facility is no exception. The Filtronic site in Salis-
bury recently expanded production capacity to meet a
growing demand for its public safety products, in addition
meeting the customer’s six-month schedule.
As well as realigning its operational facilities and pro-
cesses, Filtronic was able to recruit local personnel who
had previous experience with public safety product assem-
bly. Additional equipment was procured and re-allocated to
to steady business for the range of filters and combiners it quickly replicate the production line at the former facility.
was already making, which operate up to 18 GHz. The Mary- While doing so, it was also possible to make product and
land area has a considerable pool of RF expertise, home process improvements, including the workflow and logis-
to both the Goddard Space Flight Center and the Naval tics, which yielded a significant reduction in lead time for
Research Center in Chesapeake Bay, as well as other local these critical communications products.
communications experts. Filtronic’s site was well-placed to The transition was smooth, rapid and met the custom-
recruit staff to support the growth in output. er’s quality standards, passing an extensive and rigorous
The 16,000 square-foot facility had ample space to customer audit, which enabled timely re-certification.
accommodate the extra warehousing and assembly area Filtronic’s customer met its country-of-origin targets
to produce additional products. Of this floor area, 5,000 without any drop in production.
square feet is devoted to handling ESD sensitive compo- Another recent achievement for Filtronic was success-
nents, all temperature-controlled. The on-site services in- fully developing a customized tower top amplifier (TTA)
clude assembly and test, repair, equipment build up (rack, system. Manufactured in Salisbury, this project exceeded
stack and commissioning) and drop-shipping. the customer’s expectations for both performance and
The expansion was largely prompted by U.S. equipment time to completion, as well as reducing the order-to-ship-
manufacturers shortening supply chains to make them ment time by 50 percent.
more resilient in the wake of the COVID-19 pandemic. Because of product obsolescence and technological ad-
Where possible, these companies are choosing domes- vancements, Filtronic’s customer needed a new domestic
tic suppliers for products they previous imported from supplier for TTAs, as its existing supply chain was unable
or manufactured offshore. The trend for supply chain to meet the challenging specification. It turned to Filtronic
rationalization has provided a competitive advantage for due to its experience supplying Tower Mounted Amplifiers
Filtronic, which successfully “re-shored” from China the for commercial telecoms. The project included product
manufacturing of a critical communications product, help- enhancements, such as more consistent performance
ing a leading client in the public safety market meet its between sites and simpler installation. It was completed—
supply chain goals. from inception through product qualification—in less than
The need to bring critical product assembly to Salisbury six months. The new TTA meets the existing specification
from the offshore facility, to reduce equipment lead-times and delivers all the desired performance improvements,
in the face of the changing geopolitical landscape, was a including smart redundancy. The client’s goals of reducing
challenge requiring a rapid response from Filtronic. Using inventory and the order-to-shipment time to two weeks—
the company’s technical knowhow, local knowledge and a compared to the industry standard of four weeks—were
cloud-based manufacturing execution system, Filtronic also met.
managed this complex transition smoothly and efficiently, www.filtronic.com
130
Content is copyright protected and provided for personal use only - not for reproduction or retransmission.
MWJOURNAL.COM  NOVEMBER 2021
For reprints please contact the Publisher.
v18v18
18.52 is available now!
18.52 is available now!

3D view shown from v18’s new pattern viewer

Copyright 2021 Sonnet Software, all rights reserved. Sonnet® is a registered trademark of Sonnet Software, Inc.
www.sonnetsoftware.com
Content
Copyright 2021 Sonnet Software, is copyright
all rights protected
reserved. Sonnet® and provided
is a registered trademark for personal
of Sonnet useInc.
Software, only www.sonnetsoftware.com
- not for reproduction
(877) or retransmission.
7 Sonnet
For reprints please contact the Publisher.
(877) 7 Sonnet
V18_MWJ_DEC_2021.indd 1 10/17/2021 5:29:24 PM
COMMERCIAL
APPLICATIONS

Mobile Communications MRI / NMR Satellite Radio In-Building DAS

• Werlatone Offers a Full line of RF Combiners, • Our Solutions Cover Multiple Carrier Frequency
Dividers & Directional Couplers. Bands between 80 and 2400 MHz.
• Werlatone specializes in Commercial Transit • Designed to Tolerate Extreme Environmental
Communication Systems. Conditions with IP-65 ratings.

Even Isolated & Non-Isolated Combiners/Dividers


Model Type Frequency (MHz) Power (W CW) Isolation (dB) Insertion Loss (dB) Connectors Size (inches)
D5875 4-Way 80-1000 150 Non-Isolated 0.80 N-Female 8.5 x 7.5 x 1.5
D7142W 2-Way 100-500 100 20 0.40 N-Female 4.4 x 4.3 x 1.29
D2542 2-Way 120-470 100 Non-Isolated 0.30 N-Female 2.5 x 1.75 x 1.5
D6295 2-Way 150-500 100 Non-Isolated 0.30 N-Female 1.75 x 1.75 x 1.5
D5877 2-Way 150-1000 350 Non-Isolated 0.50 N-Female 8.38 x 7.55 x 1.5
D5876 3-Way 150-1000 350 Non-Isolated 0.65 N-Female 8.38 x 7.55 x 1.5
D5944 4-Way 150-1000 350 Non-Isolated 0.70 N-Female 8.38 x 7.55 x 1.5
D5543 3-Way 400-470 100 Non-Isolated 0.20 N-Female 4.75 x 2.0 x 1.88
D6748 4-Way 470-860 250 18 0.35 N-Female 6.0 x 5.0 x 2.0
D5906 4-Way 470-860 500 15 0.40 N-Female 6.0 x 5.0 x 2.0

Uneven Splitters (Taps) & Directional Couplers


Model Type Frequency (MHz) Power (W CW) Coupling (dB) Insertion Loss (dB) Connectors Size (inches)
C7141W Uni 100-500 100 6 0.30 N-Female 7.0 x 5.0 x 1.8
C9270W Uni 100-1000 100 6 0.60 N-Female 7.05 x 3.3 x 1.2
C8163W Uni 100-1000 200 6 0.40 N-Female 7.0 x 5.0 x 1.8
C9534 Uni 100-1000 350 6 0.40 N-Female 6.75 x 3.0 x 1.2
C9271W Uni 100-1000 100 10 0.50 N-Female 7.05 x 3.3 x 1.2
C2541 Tap 120-470 100 10 (Split) 0.75 N-Female 2.5 x 1.75 x 1.5
C6149 Tap 120-470 100 6 (Split) 0.75 N-Female 2.5 x 1.75 x 1.5
C5541 Tap 400-470 100 6 (Split) 0.20 N-Female 4.75 x 2.0 x 1.88
C6755 Dual 470-860 250 40 0.20 N-Female 3.0 x 3.0 x 1.09
C5560 Dual 470-860 500 40 0.10 N-Female 3.0 x 3.0 x 1.09
C6756 Dual 470-860 1000 40 0.20 N-Female 3.0 x 3.0 x 1.09
Content
Werlatone, Inc. is17copyright protected
Jon Barrett and provided
Road Patterson, NYfor personal
12563 use only - not
845-278-2220 for reproduction or retransmission.
sales@werlatone.com www.werlatone.com
For reprints please contact the Publisher.

You might also like