Professional Documents
Culture Documents
มุมดานบนซายของ
หนาตางหลัก จะตอง
ยังไมมีขอความใดๆ
ตอทาย Quartus II
แตถาหากไมเปนดังรูป
ขางบนนี้ ให นศ.เขาไปที่
เมนู
File > Close Project
กอน ทั้งนี้เนื่องจากอาจจะ
มีงานเกา (ในที่นี้จะขอเรียกวา Design) ของ นศ. คนอื่นคางอยู เพราะเครื่องในหองแล็บจะมีผูใชรวมกันหลายคนอาจจะทําใหเกิดการ
สับสนไดงาย (โดยปกติแลวโปรแกรม Quartus II จะจําคาตางๆของงาน Design อันลาสุดไวเสมอ)
หมายเหต: คอมพิวเตอรบางเครื่องอาจจะขามขั้นตอนนี้โดยอัตมัติ
ก็ใหนศ.ดําเนินการตอในขั้นตอนหนาถัดไปไดเลย
112302 Digital System Design Semester 2/2008 Nov.,5-6-7, 2008 EE. WPK [No. L01] / 1
Name………………………………………………ID…………………………Section W/H/F………..
b) ในขั้นตอนนี้จะปรากฏหนาตางขึ้นมาเพื่อใหผูใชงานแจง
ระบุโฟลเดอรที่ตองการจะจัดเก็บไฟล Design ของตน
ในตัวอยางนี้จะสรางโฟลเดอรสองโฟลเดอรซอน
กันคือ …\Works\Demo01 ซึ่งทําไดโดยระบุโฟลเดอรเปน
C:\altera\80\quartus\WorksEE\Demo01 โดยโฟล
เดอร WorksEE จะสื่อถึงภาคฯ EE สวนDemo01จะ
เปนโฟลเดอรสําหรับเก็บงาน Design ของ นศ.ชื่อ
นาย Demo01 เปนตน
หมายเหต: การตั้งชื่อโฟลเดอรที่สื่อถึงความสัมพันธของ
งานที่ดําเนินการ หรือความหมายบางอยางจะชวยปอง
กันการหลงลืมหรือสับสนได หากผูออกแบบงาน จําเปน
จะตองใชเวลาหลายวันในการทํางานนั้นๆ
c) ขั้นตอนนี้จะเปนการตั้งชื่อใหกับโปรเจคที่จะใหเปนงาน
Design ของนศ. ในตัวอยางนี้จะตั้งชื่อเปน VHDLDemo01
จากนั้นก็กดปุม NEXT ที่อยูดานลางของหนาตาง
เนื่องจาก Quartus II ตรวจสอบแลวไมพบวามี
ชื่อของโฟลเดอรและชื่อของโปรเจค ก็จะถามผูใชงานวา
ยืนยันที่จะสรางโฟลเดอรและโปรเจคขึ้นมาใหมจริง
เมื่อกด ปุม Yes ก็จะเขาสูขั้นตอนถัดไป
e) หนาตางที่ปรากฏถัดมาจะเปนการถามถึงชนิดของชิพ(chip)
ที่ผูออกแบบจะเอา Design นี้ไปทําการสราง (ในภาษาของ
การออกแบบจะเรียกวาการทํา configuration)เปนชิ้นงานตน
แบบ สําหรับในวิชา 112302 นี้จะจัดใหใชชิพ CPLD เบอร
MAX128 และชิพ FPGA ในตระกูล FLEX10K เบอร EPF10K
10LC84-4 ดังนั้รให นศ.เลือกชิพเปน FLEX10K ดังตัวอยางนี้
112302 Digital System Design Semester 2/2008 Nov.,5-6-7, 2008 EE. WPK [No. L01] / 2
Name………………………………………………ID…………………………Section W/H/F………..
f ) เมื่อเส็รจจากหนาตางของการเลือกชิพ ก็จะเปนหนาตางของ
การเลือกเครื่องมือหลักที่จะใชทําการออกแบบ ดังนี้
Design Entry/Synthesis:
Tool name: Design Compiler
Format: VHDL
Simulation:
Tool name: Custom
Format: VHDL
Timing Analysis:
Tool name: Custom
Format: VHDL
4 ) เมื่อเสร็จจากการสรางโปรเจคใหมแลว
จะพบวาที่หนาตางหลักของ Quartus II จะ
แสดงโฟลเดอรของงาน Design ขึ้นที่ title
bar และคุณลักษณะตางๆของโปรเจคขึ้น
ที่หนาตางดานขาง ดังรูป
112302 Digital System Design Semester 2/2008 Nov.,5-6-7, 2008 EE. WPK [No. L01] / 3
Name………………………………………………ID…………………………Section W/H/F………..
entity VHDLDemo001 is
c) ให นศ. ทําการพิมพโคดภาษา VHDL ตามตัว port
( clk,reset,d : in std_logic;
อยางนี้ลงไปบน หนาตาง editor ของ q : out std_logic );
Quartus II ใหถูกตอง end entity;
112302 Digital System Design Semester 2/2008 Nov.,5-6-7, 2008 EE. WPK [No. L01] / 4
Name………………………………………………ID…………………………Section W/H/F………..
ในหนาตางที่ 1 จะ
แสดงสรุปรายการที่เกี่ยวกับจํา
นวนของเกท และที่ใชงานของ
ขาชิพที่จะถูกใชเมื่อนําไปสราง
ชิ้นงานจริง หากตองการราย
ละเอียดที่มีทั้งหมดนั้นผูใชก็จะ
สามาถเปดดูไดในหนาตางที่ 2
สวนหนาตางที่ 3 จะแสดงรายการตางๆวาการคอมไพลนั้นสําเร็จจนครบทุกขั้นตอนหรือไมถา 4
มีปญหาการ
แสดงผลจะเปนเครื่องหมายกากะบาทแทน สวนหนาตางที่ 4 จะแสดงขอความตางๆ ที่เปน การแจง error (ถา
มี ) หรือคําเตือนหากมีการพบวาผูออกแบบไมปฏิบัติตามกฏของ
การออกแบบ หรืออื่นๆ
6 ) ขั้นตอนตอไปจะเปนการจําลองการทํางานของระบบที่ไดทําการออกแบบ
a ) โดยในขั้นแรกจําเปนจะตองปดไฟลขึ้นมาเพิ่มอีกหนึ่งไฟล สําหรับจัด
เก็บคาของ timing diagram โดยไปที่หนาตางหลักและเลือกเมนู
FILE > NEW เพื่อสรางไฟลแบบ Vector Waveform file ที่จําเปนตอการ
ทํา Verification/Debugging ดังรูป ดานขวา
จากนั้นเมื่อกดปุม OK โปรแกรมก็จะสรางไฟลชื่อ Waveform1.vwf
ขึ้นมาบนหนาตางใหมดังรูปดานลาง
ขอแนะนํา: นศ.จะตองสังเกตดวยวาหนาตางดังกลาว active อยูหรือไม
ถาไมแนใจก็ใหใชเมาสคลิ๊กบนหนาตางหมายเลข 5 หนึ่งครั้งก็ได
112302 Digital System Design Semester 2/2008 Nov.,5-6-7, 2008 EE. WPK [No. L01] / 5
Name………………………………………………ID…………………………Section W/H/F………..
กอนที่จะทําการจําลองการทํางานของระบบ
ขอให นศ. สังเกตการแสดงคาของระดับลอ
จิกของสัญญาณดวย ดังเชน จะพบวาที่สัญลักษณของ PIN_Signal ของสัญญาณ
ชื่อ clk จะมีอักษร I อยูแสดงวาเปนสัญญาณ Input สวนอักษร B มีความหมายวา แสดงคาในแบบ Binary และคาที่กําลัง
แสดง ณ.เวลาปจจุบัน (เสนแนวดิ่งสีน้ําเงิน) คือเวลาที่ t = 9.85ns และมีคาระดับลอจิกเปน 0
ขอแนะนํา: 1. นศ.สามารถใชเมาสคลิ๊กที่แท็บดานลางของหนาตางเพื่อเลื่อนกราฟ timing diagram ที่เวลาตางๆได
2. สามารถดูกราฟแบบยอ/ขยายโดย เลือกเมนู View>>Zoom In… หรือ View>>Zoom Out…
3. สามารถเลือกกําหนดคาความละเอียดของการแสดงคาลอจิกเมื่อเทียบกับแกนของเวลาหรือคาของ grid
size ไดจากเมนู Edit>>Grid size … (ซึ่งโดยปกติจะกําหนดมาเปน 10 ns ในขั้นนี้ยังไมตองเปลี่ยนก็ได)
4. สามารถเลือกกําหนดคาชวงเวลาทั้งหมดของการจําลองการทํางานได (โดยปกติจะกําหนดใหเริ่มจําลอง
การทํางานจากเวลาที่ t = 0 ไปสิ้นสุดที่เวลา t = End time) ซึ่ง นศ. สามารถเปลี่ยนคาของ End time ได
โดยเลือกจากเมนู Edit>>End time …(ซึ่งโดยปกติจะกําหนดมาเปน 1.0 us ในขั้นนี้ยังไมตองเปลี่ยนก็ได)
112302 Digital System Design Semester 2/2008 Nov.,5-6-7, 2008 EE. WPK [No. L01] / 6
Name………………………………………………ID…………………………Section W/H/F………..
112302 Digital System Design Semester 2/2008 Nov.,5-6-7, 2008 EE. WPK [No. L01] / 7
Name………………………………………………ID…………………………Section W/H/F………..
8 ) นศ.สามารถดูผลลัพธจากการคอมไพล
โปรแกรม VHDL ที่อยูในรูปแบบของวงจรดิ
จิตอล (Logic diagram) หรือเรียกอีกแบบ
หนึ่งวา Schematic Diagram ไดโดยเลือก
ที่เมนู
Tools>> Netlist Viewers >> RTL Viewer
ซึ่งก็จะแสดง Design ของ Entity ที่ชื่อวา VHDLDemo001 ในรูปแบบของ Logic Diagram ดังรูป
คําถาม 1. ถาตองการขยายการออกแบบ VHDLDemo001 ใหเพิ่มจํานวนจาก 1 บิทไปเปน 16 บิท จะตองทําอยางใร?
--------------------------------------------------------- END -----------------------------------------------------------------------------------------
ขอสังเกต: โครงสรางพื้นฐานของโปรแกรม VHDL โดยทั่วไปการเขียนตัวโปรแกรมจะประกอบไปดวยสามสวนคือ
library ieee; สวนหัวของโปรแกรม จะเปนการประกาศใช library ตางๆของภาษา
use ieee.std_logic_1164.all;
Entity ________ is
port ( _____________ : in std_logic; สวนของ Entity เปนสวนที่จะประกาศความเปนตัวตนของชิ้นงานที่เรา
_____________ : out std_logic );
end entity; กําลังจะสรางขึ้น ดังนั้นสิ่งที่จําเปนจะตองมีคือ ชื่อของอุปกรณนี้ (ในที่นี้
architecture ____ of ____________ is จะหมายถึงชื่อของ Entity) และสวนที่จะใชเชื่อมตอกับอุปกรณอื่น (ในที่นี้
_______________ ;
Begin คือ port ) ดังนั้นจึงตองมีการแสดงรายละเอียดที่จําเปนดวยวาพอรทนั้นๆ
____________________;
____________________;
____________________;
มีคุณลักษณะเปน input หรือ output แสดงคาเปนแบบลอจิกมาตรฐาน
end _____ ;
112302 Digital System Design Semester 2/2008 Nov.,5-6-7, 2008 EE. WPK [No. L01] / 8