You are on page 1of 6

A REVIEW: MODELLING OF CARBON NANOTUBE FIELD

EFFECT TRANSISTORS BASED DIGITAL LOGIC DEVICES

Priyanka Tyagi *
Aakansha Garg **

Abstract Introduction
In electronics industry the size of device is a matter In last few years silicon based technology has
of concern. With the small size there is another
issue is high performance and low power experienced phenomenal growth. Due to scaling
dissipation. For these purpose improve the property, MOS transistors become the most
performance of digital circuit CNTFET are explored. successful component in semiconductor industry.
CNTFET are the advance and best components for
future electronics world. This paper present review
From this property, designers can scale down the
of CNTFET and CNTFET based devices. The structure dimensions, which results improved
and working of CNTFET, CNTFET Modelled devices performance. Moreover, there are certain
and advantage of CNTFET or MOSFET have been limitations with MOSFET size that’s why the
discussed.
CNTFET digital logic devices small size low power silicon industry has searched out the new
consumption has been presented in this paper. material to integrate the devices based on the
current silicon based technology. The electrical
Keywords: CNTFET, SPICE, CPL, TG,DOMINO.
properties of the CNTFETs are superior to the
MOSFETs. For this reason they can be the best
option to replace the MOSFETs.

The size of transistor and electronic circuits is


reduced gradually from their invention and the
power dissipation is also reduced. This feature
of size reduction is represent by well known
‘Moore’s law’. This law represents the evolution
in transistor industry with growing years.
However, as the feature size becomes smaller,
scaling of the silicon MOSFET becomes
increasingly harder. This increasing challenge is
often attributed to quantum mechanical
tunnelling of carriers through the thin gate oxide,
quantum mechanical tunnelling of carriers from
source to drain and from drain to body, control
of the density and location of dopant atoms in
the channel and source/drain region to provide
high on/off current ratio.

* ABES Institute of Technology, Ghaziabad.


** ABES Institute of Technology, Ghaziabad.

43
This limitation can be resolved with many other can be rolled into a tube, as shown below.
solutions. There can be two types of solutions. The terms “armchair” and “zig-zag” refer to the
The first can modify the existing material arrangement of hexagons around the
properties or structures. Other solution is using circumference. The third class of tube, which in
the new material and new structure which will practice is the most common, is known as chiral,
replace the old one completely. From the second meaning that it can exist in two mirror-related
solution, the silicon material is replaced by the forms. An example of a chiral nanotube is as
carbon and the transistor or MOSFET structures shown in fig. below.
are replaced by the CNTFETs. These new
technologies and devices require the creation of
accurate compact models, suited to the circuit
design and easily translatable into a hardware
description language (HDL) such as VHDL-
AMS.(Very high speed integrated circuit hardware
description language-Analog mixed signal)

In this paper, first we brief about CNT structure


and properties of CNT. Then we will discuss
CNTFET and type of CNTFET. In second part , We
discuss about digital electronics application and
digital logic for CNTFET based devices.
Multi-Walled CNT’s:
CNT’s
Multi-walled nanotubes (MWNT) consist of
CNTs are allotropes of carbon. They are tubular
multiple rolled in on themselves to form a tube
in shape, made of graphite. They are nanometres
shape. There are two models which can be used
in diameter and several millimetres in length and
to describe the structures of multi-walled
have a very broad range of electronic, thermal,
nanotubes. In the Russian Doll model, sheets of
and structural properties. These properties vary
graphite are arranged in concentric cylinders. In
with kind of nanotubes defined by its diameter,
the Parchment model, a single sheet of graphite
length, chirality or twist and wall nature. single-
is rolled in around itself, resembling a scroll of
walled nanotubes (SWNT) have a diameter close
parchment or a rolled up newspaper.
to 1nm, with a tube length that can be many
thousands of times longer. SWNTs are very
The resistivity of the SWNT ropes was in the order
important carbon nanotube because they exhibit
of 10–4 ohm-cm at 27°C. This means that SWNT
important electric properties that are not shared
ropes are the most conductive carbon fibers
by the multi-walled carbon nanotubes (MWNT)
known. CNTs are an example of true
variants.
nanotechnology: they are only about a nanometer
in diameter, but are molecules that can be
SWNTs can be excellent conductors and the most
manipulated chemically and physically in very
building block of SWNT system is the electric
useful ways. They open an incredible range of
wires. One useful application of SWNTs is in the
applications in materials science, electronics,
development of the first intramolecular field
chemical processing, energy management, and
effect transistors (FETs). The bonding in carbon
many other fields.
nanotubes is sp², with each atom joined to three
neighbours, as in graphite. The tubes can therefore
be considered as rolled-up graphene sheets
CNTFETs
(graphene is an individual graphite layer). There SWCNT have ability to carry high current and
are three distinct ways in which a graphene sheet due to current carrying capability they are used

44
to fabricate CNTFET. CNTFET Types are based of Digital CNTFET Devices
two parameters Geometry and Electrode Ian O’ Connor at.el [3] 2007, Present multi
dependent CNTFET. functional dynamic reconfigurable login Gates.
The function likes AND, OR, NOT, NAND, NOR and
Geometry Dependent CNTFET: XOR are designed in a single circuit with the help
a. Back Gate CNTFET: Tans et.al [1] proposed of seven CNTFET. This paper also present the
first back gate CNTFET in his paper. There layout of CNT-DR8F –cell there are seven input
SWCNT used as the channel and metal and two output. It is use to Data input A and B
electrodes are used as source and drain. with 0 and 1 login values. These inputs are used
The heavily doped Silicon wafer act as back in different Boolean expression, In this paper also
gate. These CNTFET used as P-Type FET. It discuss about the full adder application and ALU.
has a limitation high parasitic resistance The best thing of the paper is the calculations
and low drive current. obtain from zone folding methods are pre
b. Top Gate CNTFET : Wind et.al [2] describe computed with the help of flow diagram.
first top gate of CNTFET in 2003. A top gated
CNTFET with Ti source , drain and gate Murotiya at.el [4] 2013, described dual Edge
electrodes. A SIO2 film of 15nm was used triggered DFF and SISO register and LFSR. All these
as gate oxide placed over the CNT. application are implemented using CNTFET. In
this paper comparative analysis of CMOS
Electrode Dependent CNTFET : technologies has given on the parameter of size
a. Schottky Barrier CNTFET : In SB CNTFET clock frequency output load and supply voltage.
intrinsic CNT act as a channel region. This In this paper propagation delay, power
connected to metal source and drain and dissipation and transition time are find out less
form schottky barrier. In this type of fat the then CMOS DFF.
alignment of schottky barrier and gate
electrode is a matter of concern. Keshavarzin at.el 2013, In this paper the study
b. Partial Gated CNTFET : PG CNTFET is the of full adder on the basis of ternary login is given
type of depletion mode CNTFET. In this FET there are 3 login values 0,1,2 . In which 0= GND ,
the nanotube is uniformly doped with omic 1=1/2 VDD , 2=VDD. The full adder design is
contacts at their end. PG CNTFET can be N- proposed based on CNTFET with low delay and
Type and P-Type according to their doping. power consumption. This design has two parts
c. Doped-Source and Drain CNTFET: These sum and carry. The two ternary values and the
types of FET are composed of three reason carry of the last stage are added and the sum and
below the gate it is an Intrinsic nature and carry value are produced.
two ungated reason are doped with either
N-Type and P-Type. Depending upon the S.Bhat at.el 2012 , Present SRM cell with different
doping profile these FET can be classified modelling like 4TSRM , 5TSRM, 6TSRM, 7TSRM,
as Conventional CNTFET and tunneling 8TSRM , 9TSRM , 10TSRM with there specification
CNTFET. about their size and number of tubes. These circuit
are design using CNTFET 32nm technology.

Murotiya at.el 2015, This paper present 4 input


ternary XOR function with low power dissipation
and low delay.

Fig. 1 Different types of CNTFETs: (a) Schottky-barrier (SB) Koushik at.el 2014 , In this paper 10CNTFET full
CNTFET with ambipolar behaviour, and (b) MOSFET-like adder circuit with ultra low poper specification
CNTFET with classic behaviour. is defined.

45
Shreg et.al 2014 , this paper compare the References
parameter like power dissipation and circuit 1. Tans S. J , Alwin R.M Verschueren and Dekker
delay with the convection CMOS of different logic C. Room-temperature transistor based on
gates like NOT , NAND , NOR and XOR. a single carbon nanotube. Nature Vol.393,
PP.49-52, 1998
Farhan et.al 2014, In this paper the spice model 2. Wind S. J ., Appenzeller J., Avouris P. Lateral
of CNTFET and its characteristic is defined. The scaling in carbon nanotube field effect
spice analyze high frequency properties transistor . Physical Review letter . Vol 91,
including quantum capacitance and ballistic No. 5, pp .058301, 2003
transport. 3. Ian O’ Connor, , lallement C, Zimmer T :
CNTFET Modelling and reconfigurable
Farhan et.al 2014, proposed the high voltage Logic-Circuit Design . IEEE Trans On Circuit
gain CNTFET invertors. For voltage gain and system, 54 (11) 2007
calculation he use small signal model of CNTFET. 4. Murotiya Sl and Gupta A : performance
evaluation of CNTFET based dynamic dual
Sankar et.al 2014, present all logic style with edge triggered registor . ICAES 2013
CNTFET like pass transistor logic (PTL) 5. kashavarzin T , Sarikhani R: A novel CNTFET
Transmission gate logic (TG) complementary pass based ternary Full adder Circuit signal
transistor login (CPL) and CNTFET domino logic. process 33:665-679 2014
6. Bhat N.S : design and modelling of different
Kunal Sharma 2013, Present mode 16 counter SRM based on CNTFET 32nm technology,
using Verilog –A model of CNTFET which is based VLSICS Vol 3 No.1 2012
on Stanford compact model. 7. Murotiya Sl and Gupta A Pandit A: CNTFET
based low power design for input ternary
XOR function IEEE Trans ICCT 2014
Conclusion 8. kumar K Sahithai C , Sahoo R , Sahoo S.K :
In this paper we conclude that CNTFET are more Ultra low power full adder circuit using
promising component in electronics industry. In CNTFET proc. of IEEE 2014
today’s world portability is the matter of concern. 9. Shreya S , Chnadela R : performance
CNTFET provide the less size as compare to analysis of CNTFET based digital login
conventional CMOS devices which make circuit proc. of IEEE 2014
applications more portable. Second demand of 10. farhan S , Alam A.Z , Khan S: Spice model
electronic world is less power consumption by design for carbon nanotube filed effect
using the CNT FET this can be achieved. In this transistor proc. of IEEE –ICSE2014
paper we reviewed much digital logic with less 11. farhan S , Alam A.Z , Khan S: CNTFET Invertor:
size and low power consumption. Another A high Voltage gain login gate proc. of IEEE
requirement of electronic industry is switching –ICSIMA 2014 25-27
time the propagation delay of all digital logic 12. Shankar P.A.G Udhykumar K : MOSFET like
devices are less as we do the comparative study. CNTFET based logic gate library for low
All these features make CNTFET most promising power application a comparative studies
character of future electronic world. In this paper Journal of semiconductor Vol 35 No.7 2014
we review logic gates, digital memories like RAM, 13. Sharma K K , Monica P.R : Implementation
all application like flip flop, counters, registers, of Mode 6 counter using verilog_A Model
adders with low power dissipation and of CNTFET IJRECT Vol 1 32-36 2013
propagation delay and less size. The conclusion 14. NANOHUB online simulations and more,
is that CNTFET improve the performance of CNT bands. [Online]. Available: http://
MOSFET device. www.nanohub.org [April 2006].

46
15. Pr´egaldiny F., Krummenacher F., Di-agne B., 25. Mariya Lyubomirova Spasova, George
Pˆecheux F., Sallese J-M, and Lallement C.: Vasilev Angelov and Marin Hristov Hristov,
Explicit modelling of the double-gate Simulation of 1T DRAM Memory Cell with
MOSFET with VHDL-AMS. Verilog-A Model of CNTFET in Cadence,
16. Int. Journ. of Numerical Modelling: Elec. ANNUAL JOURNAL OF ELECTRONICS, 2012,
Networks, Devices and Fields, 19(3):239– ISSN 1314-0078.
256, 2006. 26. T. Dang, L. Anghel, R. Leveugle, CNTFET Basics
17. Pr´egaldiny F., Krummenacher F., Sallese J- and Simulation, IEEE Trans. Elec.dev., 2006.
M., Diagne B., and Lallement. C.: An explicit 27. V. Saravanan, V. Kannan, Design of Cascade
quasi-static charge-based compact model Counter using Carbon Nanotube Field Effect
for symmetric DG MOSFET. In NSTI- Transistor Technology, European Journal of
Nanotech 2006, WCM, volume 3, pages Scientific Research ISSN 1450-216X Vol. 91
686–691, 2006. ISBN 0-9767985-8-1.. No 1 November, 2012, pp.58-67.
18. Pˆecheux F., Lallemen C. t, and Va-choux A.: 28. Stanford University CNFET model Website,
VHDL-AMS and Verilog-AMS-static charge- Stanford University, Stanford, CA,http://
based compact model for symmetric DG nano.stanford.edu/model.php?id=23 (10/
MOSFET. In NSTI-Nanotech 2006, WCM, 22/11).
volume 3,pages 686–691, 2006. ISBN 0- 29. S. Farhana, and A. H. M. Alam.
9767985-8-1. "Environmental Friendly Device: Modeling
19. Pˆecheux F., LallemenC. t, and Va-choux A.: of Carbon Nanotube with Optimum
VHDL-AMS and Verilog-AMS as alternative Chirality." Australian Journal of Basic &
hardware description languages for e?cient Applied Sciences 7, no. 8 (2013)
modelling of multi-discipline systems. IEEE 30. S. Farhana, A. H. M. Zahirul Alam, Sheroz
Trans. Computer-Aided Design, 24(2):204– Khan, and S. M. A. Motakabber. "Modeling
224, 2005. of small band-gap CNT for designing of
20. Raychowdhury and Roy K..: Carbon faster switching CNTFET." In Business
nanotube-based voltage-mode multiple- Engineering and Industrial Applications
valued logic design. IEEE Trans. Nano- Colloquium (BEIAC), 2013 IEEE, pp. 589-592.
techno., 4(2):168–179, 2005. IEEE, 2013.
21. Sordan R., Balasubramanian K., Burghard 31. S. Farhana, A. H. M. Alam, and Sheroz Khan.
M., and Kern K..: Exclusive-OR gate with a "High frequency small signal modeling of
single carbon nanotube. App. Phys. Letters, CNTFET." IEEE Regional Symposium on
88, 2006. 053119. Micro and Nanoelectronics (RSM), 2013
22. Taur Y., Liang X., Wang W. and Lu H.: A (2013): 364-367.
continuous, analytic drain-current model 32. S. Farhana, and AHM Zahirul Alam.
for DG MOSFETs. IEEE Electron Device Lett, "Modeling of a Carbon Nanotube Sensing
25(2):107–109, 2004. Device." Middle-East Journal of Scientific
23. 1076.1-1999 IEEE Standard VHDL Analog Research 17, no. 10 (2013): 1475-1478.
and Mixed-Signal Extensions Language 33. K. Jabeur, N. Yakymets, I.O’Connor and S.
Reference Manual, IEEE press edition, 1999. LeBeux, “Ambipolar doublegate FET binary-
ISBN 0-7381-1640-8. decision diagram (Am-BDD) for
24. Mojtaba Jamalizadeh, FazelSharifi, reconfigurable logic cells”, Proc. of 2011
Mohammad Hossein Moaiyeri, Keivan Navi IEEE/ACM International Symposium on
and Omid Hashemipour, Five new MVL Nanoscale Architectures (NANOARCH’11),
current mode differential absolute value pp.162-168, 2011.6.
circuits based on carbon nano-tube field 34. F. Prégaldiny, J.-B. Kammerer, and C.
effect transistors (CNTFETs),Nano-Micro Lallement, “Compact modelling and
letters, Vol. 2, No. 4,227-234 , 2010.

47
applications of CNTFETS for analog and 38. Bok K Y, Lombardi F. Novel design
digital circuit design,” in Proc. IEEE ICECS, methodology to optimize the speed and
Nice, France, Dec. 10–13, 2006, pp. 1030– power of the CNTFET circuits. IEEE
1033. International J. Semicond. 2014, 35(7) P. A.
35. A. Javey et al., “High-K dielectrics for Gowri Sankar et al.Midwest Symposium On
advanced carbon nanotube transistors and Circuits and Systems, Mexico, 2009:
logic gates,” Nature Mater., vol. 1, pp. 241– 39. A New Low Power 9T SRAM Cell based on
246, 2002 CNTFET at 32nm Technology Node Rajendra
36. Vaddi R, Dasgupta S, Agarwal R P. Device Prasad S1, Dr. B K Madhavi2, Dr. K Lal
and circuit co-design robustness studies Kishore3 Rajendra Prasad S et al, / (IJCSIT)
in the subthreshold logic for ultralow- International Journal of Computer Science
power applications for 32 nm CMOS. IEEE and Information Technologies, Vol. 2 (6) ,
Trans Electron Devices,2010, 57(3): 654 2011, 2761-2764
37. Duan Y, Juhala J L. Solution-based
fabrication of p-channel and n-channel
field-effect transistors using random and
aligned carbon nanotube networks.
Microelectron Eng, 2013, 103: 18

48

You might also like