You are on page 1of 45

University Institute of Technology

The University of Burdwan


MEMS : Micro Electro Mechanical System

icro(small):

lectro(electric components/functionality)

echanical(mechanical components/functionality)

ystems(integrated, system-like functionality)

MEMS are small integrated devices or structures that combines electrical and
mechanical components.
Mechanical Microstructures, Microsensors,
Microactuators and microelectronics: all
integrated onto a single silicon chip.
The DENSO Micro Car is a miniature version of Toyota’s first passenger car .
Fabricated using MEMS at 1/1000th the size of the original, it consists of alternating
current through a 18 micro meter copper wire, the engine runs at 600 rpm equivalent
to 5 – 6 mm/sec.
MEMS Manufacturing
Materials used are:
• Silicon
• Polymers
• Metals
• Ceramics
• Deposition
• Deposition that happen because of a chemical reaction or physical reaction.

• Patterning
• The pattern is transfer to a photosensitive material by selective exposure to a radiation
source such as light. If the resist is placed in a developer solution after selective exposure to
a light source, it will etch away.

• Etching
• Etching is the process of using strong acid to cut into the unprotected parts of a metal surface
to create a design in.
There are two classes of etching processes:
Wet Etching
Dry Etching.
Fabrication Processes

Deposition:
• deposit thin film of material (mask)
anywhere between a few nm to 100
micrometers onto substrate
• physical: material placed onto substrate,
techniques include sputtering and
evaporation
• chemical: stream of source gas reacts on
substrate to grow product, techniques
include chemical vapor deposition and
atomic layer deposition
• substrates: silicon, glass, quartz
• Thin Films: polysilicon, silicon dioxide,
silicon nitride, metals, polymers
Fabrication Processes

Patterning
• transfer of a pattern into a material after deposition in order to prepare for etching
• techniques include some type of lithography, photolithography is common
Etching
• Wet Etching: Dipping substrate into chemical solution that selectively removes material. Process provides
good selectivity, etching rate of target material higher that mask material
• Dry Etching: material sputtered or dissolved from substrate with plasma or gas variations
• Choosing a method: desired shapes, etch depth and uniformity, surface roughness, process compatibility,
safety, cost, availability, environmental impact
Fabrication Processes

Some basic steps in the silicon device fabrication process: (a) oxidation of silicon; (b)
selective oxide removal; (c) introduction of dopant atoms; and (d) diffusion of dopant
atoms into silicon.
Bulk Micromachining

• This technique involves the selective


removal of the substrate material in order
to realize miniaturized mechanical
components.

• A widely used bulk micromachining


technique in MEMS is chemical wet
etching, which involves the immersion of a
substrate into a solution of reactive Etched grooves using
chemical that will etch exposed regions of (a) Anisotropic etchants,
the substrate at very high rates. (b) Isotropic etchants,
(c) Reactive Ion Etching (RIE)
Bulk Micromachining

Advantages:
• Can be done much faster
• Can make high aspect ratio parts
• Cheaper
Disadvantages:
• Not easily integrated with microelectronics
• Part complexity must be relatively simple
• Part size is limited to being larger
Surface Micromachining

• Newer than Bulk Micromachining


• Uses single sided wafer processing
• Involves use of sacrificial and structural layers
• Provides more precise dimensional control
• Involves use of sacrificial and structural layers
Surface Micromachining

Advantages:
• Possible to integrate mechanical and electrical components on same substrate
• Can create structures that Bulk Micromachining cannot
• Cheaper glass or plastic substrates can be used
Disadvantages:
• Properties of most thin-films are usually unknown and must be measured
• Reproducibility of mechanical properties can be difficult
• More expensive
High Aspect Ratio (HAR)Surface Micromachining

HAR combines aspects of both surface and


bulk micromachining to allow for silicon
structures with extremely high aspect ratios
through thick layers of silicon (hundreds of
nanometers, up to hundreds of micrometers).

HAR MEMS technology enables a high


degree of immunity to high-frequency, high-
amplitude parasitic vibrations.
A thin film to have a thickness anywhere between a few nanometer to about 100 micrometer.
Classification:
Depositions that happen because of a chemical reaction:
• Chemical Vapor Deposition (CVD)
• Electrodeposition
• Epitaxy
• Thermal oxidation
These processes exploit the creation of solid materials directly from chemical reactions in gas and/or liquid
compositions or with the substrate material. The solid material is usually not the only product formed by the
reaction. Byproducts can include gases, liquids and even other solids.
Depositions that happen because of a physical reaction:
• Physical Vapor Deposition (PVD)
• Casting
Common for all these processes are that the material deposited is physically moved on to the substrate. In
other words, there is no chemical reaction which forms the material on the substrate. This is not completely
correct for casting processes, though it is more convenient to think of them that way.
Chemical Vapor Deposition (CVD)
• the substrate is placed inside a reactor to which a
number of gases are supplied.
• a chemical reaction takes place between the
source gases.
• product of that reaction is a solid material with
condenses on all surfaces inside the reactor.

The two most important CVD technologies in MEMS are the Low Pressure CVD (LPCVD) and Plasma
Enhanced CVD (PECVD). The LPCVD process produces layers with excellent uniformity of thickness
and material characteristics.
LPCVD:
• Substrate inserted
• Tube evacuated to 0.1 Pa
• Process gas (―working gas‖)
added at 10-1000 Pa
• Reaction performed • Substrate
removed

Low pressure (LP) is used to decrease


any unwanted gas phase reactions,
and also increases the uniformity
across the substrate.
LPCVD:
The LPCVD process can be done in a cold or hot walled quartz tube reactor. Hot walled furnaces allow batch
processing and therefore high throughput. They also provide good thermal uniformity, and thus result in uniform
films. A disadvantage of hot wall systems is that deposition also occurs on the furnace walls, which requires
more maintenance for cleaning or eventual replacement of the tube to avoid flaking of the deposited material and
subsequent particle contamination. Cold wall reactors are lower maintenance, as there is no film deposition on
the reactor walls.
In LPCVD, the tube is evacuated to low pressures, which can range from 10 mTorr to 1 Torr. Once the tube is
under vacuum, the tube is then heated up to deposition temperature, which corresponds to the temperature at
which the precursor gas decomposes. Temperatures can range from 425-900°C depending on the process and the
reactive gases being used. Gas is injected into the tube, where it diffuses and reacts with the surface of the
substrate creating the solid phase material. Any excess gas is then pumped out of the tube and goes through an
abatement system.
LPCVD films are typically more uniform, lower in defects, and better step coverage than those produced by
PECVD and PVD techniques. The disadvantage of LPCVD is that it requires higher temperatures, which puts
limitations on the types of substrate and other materials which can be present on the samples.
LPCVD:
Applications
Polysilicon, silicon nitride, silicon oxynitride, and silicon dioxide can be deposited using LPCVD.
• Polysilicon can be deposited both undoped and P or N doped and can be both P+ and N- doped after
deposition letting you fine-tune its resistance for you application. Common uses of polysilicon are wire traces
for both IC and MEM's devices, including neural (brain) probes. Sacrificial layers in pressure sensors and other
MEM's devices. It is also commonly used also be used as a structural layer in surface micro machine devices. It
can also be used in radiation detectors.
• Silicon nitride can be deposited in both stoichiometric form (Si3N4) and low-stress (silicon-rich) form
depending on the material properties needed. Low-stress nitride is good for making membranes that are also
resistant to HF etching. Stoichiometric silicon nitride is used as an insulator, dielectric, and chemical and/or
water barrier in MEMS devices, neural probes, and IC's.
LPCVD:
Applications
• Silicon oxide can be deposited three different ways, and each method has different properties. High
temperature oxide (HTO), is deposited at around 900°C and is somewhat conformal, making it suitable for
sidewall coating and some trench refill applications as long as the aspect ratio is not too severe. HTO is the
highest quality LPCVD oxide making it suitable for applications where a high quality dielectric is required. The
best quality oxide is a thermally grown film rather than LPCVD. Oxide can also be deposited by the hydrolysis
of TEOS (tetraethyl orthosilicate) into silicon dioxide. Silicon dioxide formed by this reaction is the most
conformal LPCVD process and can be excellent for trench refill and coating higher aspect ratio features or
through wafer vias that require electrical isolation. TEOS material properties similar to that of LTO but can be
adjusted after annealing in steam to be similar to those of thermal oxide. At the low temperature end of the scale
at 400°C is Low Temperature Oxide Low temperature oxide (LTO) which is the lowest quality LPCVD oxide
which also has less conformality and less gap fill capability.
• Thin film Transistors, Thin film photovoltaic solar cells, Resistors, Capacitor dielectrics, Passivation, Anti-
reflection layers, Trench refill.
LPCVD:
Properties:
The following properties of LPCVD films can be tuned.
• Refractive Index
Refractive index is an optical property of the film which also gives information about the density, dielectric
constant, and stoichiometry of the film. It can be measured using Ellipsometry. For example, silicon nitride has a
value of n which varies from 1.8-2.2, with 2.0 being the value for high quality, stoichiometric silicon nitride. n >
2.0 indicates a silicon-rich film, whereas n < 2.0 usually indicates an abundance of oxygen. Similarly, the
refractive index of silicon oxide varies from n ~ 1.44-1.47 depending on deposition technique, and
corresponding density and film quality.
• Wet Etch Rate
Measuring etch rate gives information about film quality. The etch rate is related to the density and amount of
SiO2 in the film. The slower the etch rate, the higher the density and amount of SiO2. A slower HF etch rate also
correlates to an increased dielectric constant. Thermal oxide (the highest quality oxide) has an etch rate of
230Å/min in 10:1 HF:H2O.
LPCVD:
Properties:
The following properties of LPCVD films can be tuned.
• Deposition rate
Deposition rate, usually expressed in Å/sec, is measured at the substrate using various methods. It is measured
real time in the evaporators and set using deposition time on the sputter tools.
• Uniformity
Uniformity measures the variation in thickness across a substrate and is usually expressed as a percentage.
Typically uniformity is defined as (Thickness Max - Thickness Min)/Thickness Average.
• Film Stress
Stress is a a measure of the force that the film exhibits on itself and the substrate. It is usually measured in
Megapascals (MPa), with positive stress being called "tensile" and negative stress referred to as "compressive."
Stress in thin films can affect devices and substrates as well as adversely affect adhesion and other properties.
• Step Coverage
Step coverage is the measure of how much coating is on the bottom/sidewall of a feature vs how much coating is
on the top/field areas. It is highly dependent on the geometry of the features and the type of deposition being
used. TEOS provides the best sidewall coverage, followed by HTO. LTO will give little to no sidewall coverage.
Plasma Enhanced CVD:
• Plasma-enhanced chemical vapor deposition (PECVD) is a process used to deposit thin films from a gas state
(vapor) to a solid state on a substrate.
• Chemical reactions are involved in the process, which occur after creation of a plasma of the reacting gases.
• The plasma is generally created by RF (AC) frequency or DC discharge between two electrodes, the space
between which is filled with the reacting gases.
• The helping hand of the Plasma helps in increasing the film quality at low temperature and pressure.
• PECVD uses electrical energy which is transferred to the gas mixture.
• This transforms the gas mixture into reactive radicals, ions, neutral atoms and molecules, and other highly
excited species.
• These atomic and molecular fragments interact with a substrate and, depending on the nature of these
interactions, either etching or deposition processes occur at the substrate.
• Some of the desirable properties of PECVD films are good adhesion, low pinhole density and uniformity.
Plasma Enhanced CVD:
Plasma Enhanced CVD:
CVD Reaction Rate:
R  R 0exp E a /kT 
– where Ea = activation energy (eV)
– k = Boltzmann constant
– T = temperature (K)
• Surface reaction rate increases with increasing
temperature at very high temperature
– Reaction rate > reactant arrival rate
– Mass-transport limited
• At low temperatures
– Reaction rate < reactant arrival rate
– Reaction rate limited
PVD Crystal Growth:
PVD Spin Coating:

Time of spin:

3  1 1 
t   2 
2  2
4   h h0 

Thickness of the film:


h0
h
1  4 Kh02 t
Shutter

Resistive evaporation source (a) simple


sources including heating the charge itself and
using a coil of refractory metal heater coil and
a charge rod, (b) more standard thermal
sources including a dimpled boat in a resistive
media.
The steps coverage of evaporated films is poor due to the directional nature of evaporated materials. Heating
and rotating the substrate helps with the step coverage problems, but evaporation can not form continuous film
for high aspect ratios (dimension or step height/step width) greater than 1.

(a) Time evolution of the evaporative coating of a feature


with aspect ratio 1.0 with little surface atom mobility
(i.e. low substrate temperature) and no rotation
(b) Final profile of deposition on rotated and heated
substrates.

Dr. Alan Doolittle, Georgia Tech.


Advantages:
1. deposition rate in this process can be as low as 1 nm per minute to as high as few micro meter per minute.
2. Material utilization efficiency is high.
3. due to high deposition rate the process has potential industrial applications

Disadvantages:
1. EBPVD is a line-of-sight deposition process when performed at low enough pressure( 0.1 m torr)
2. Filament degradation results non uniform evaporation rate.
3. Ionizing reaction due to the production of X-rays or some radio active rays.
Advantages: Better step coverage, less radiation damage than E-beam evaporation, easier to deposit alloys.
Disadvantages: Some plasma damage including implanted argon. Good for ohmics, not Schottky diodes.

A plasma at higher pressure is used to


―knock‖ metal atoms out of a ―target‖.
These energetic atoms deposit on a
wafer located near the target. The
higher pressure produces better step
coverage due to more random angled
delivery. The excess energy of the ions
also aids in increasing the surface
mobility (movement of atoms on the
Dr. Alan Doolittle,
surface). Georgia Tech.

Chamber of a simple parallel plate sputtering system


A threshold energy for the release of an atom from the target exists, below which the atom is not ―sputtered‖.

This threshold energy is,

S (atoms/ions)
The sputter yield (ratio of target atoms expelled to incident
atoms impinging on the target) increases with increasing energy
(plasma power or DC bias).

Ion energy (eV)


Sputtering yield as a function of ion energy for
normal incident argon ions for a verity of material.
Film Morphology
Deposited films can be:
1.) Porous and/or Amorphous —> Results from poor surface
mobility =low temperature, low ion energy (low RF power/DC
bias or higher pressures=less acceleration between collisions).
2.) ―T-zone‖: Small grain polycrystalline, dense, smooth and
high reflectance (the sweet spot for most metal processes)
Results from higher surface mobility =higher temperature or ion
energy
3.) Further increases in surface mobility result in columnar
grains that have rough surfaces. These rough surfaces lead to
poor coverage in later steps.
4.) Still further increases in surface mobility result in large (non-
columnar) grains. These grains can be good for diffusion
barriers (less grain boundary diffusion due to fewer grains) but The three zone model of film deposition as
pose problems for lithography due to light scatter off of large proposed by Movchan and Demchishin.
grains, and tend to be more rigid leading to more failures in
electrical lines.
Often, it is needed to sputter alloys instead of pure elemental metals (Al+Si+Cu). Consider the problem of
electromigration in Aluminum
Causes: Electron momentum transfer to the ions in high current density lines.
Solution: Add a small number (typically <3-5%) larger atoms such as copper that ―anchor‖ the aluminum atoms in
place or replace the entire metal line with larger atoms such as copper so that each atom is more difficult to move.
When sputtering Aluminum and Copper alloys, the film
on the wafer has more copper than the target. Reason: At
the target, the argon has achieved high enough energy to
sputter the Al and Cu evenly. However, in the gas (lower
electric field), the heavier atoms are less effected by
light sputter gas. The light Al can gain enough energy to
be above it’s evaporation temperature when it hits the
wafer. Thus, the Cu sticks, but the Al does not.
(a) (b)
The target material must be tailored to the sputter
SEM micrograph of electromigration failure in aluminium
conditions to get the desired film composition!
runners for (a) S-gun magnetron deposited Al-0.5% Cu
alloys and (b) In source evaporated Al-0.5% Cu alloy)
Film stress:
Film stress can result in wafer bowing (problems with lithography), film cracking or peeling. There is 2 kinds of films stress:
1.) Extrinsic Stress (forces acting on the wafer due to sources external to the deposited film) Example: Thermal induced stress:

2.) Intrinsic Stress (forces acting on the wafer due


to sources internal to the deposited film). These
can be differences in atomic spacing, variations in
grain orientation or size, grain growth during
deposition, and even implanted or trapped gaseous
impurities such as argon. These depend strongly
on the deposition conditions. The change in wafer deflection may be
used to measure the stress in a deposited
layer. This is typically measured using a
Where E is the films Youngs modulus, v is the reflected laser beam.
films Poisson ratio, D is the wafer thickness, t is
the film thickness, R is the radius of the wafer bow
Self Aligned Process

The transistor implanted in intel’s new chip making process are


the smallest ever to be design into a commercial microprocessor,
measuring on 50 nanometers. How small is this? You could fit
hundreds of these transistors inside a red blood cell.
Showing the process steps for self aligned gate,
source and drain-silicide formation
Advantages
 Elements, alloys and compounds can be sputtered and deposited.
 Less radiation
 High deposition rate
 Capable of deposition and maintaining the complex alloy configuration
 Deposit refractory metals at high temperature
 Maintain uniform deposition on large wafer (~ 200 mm diameter)
 Controls the atomic ratio in thin film

Disadvantages
 Sputtering rates are low compared to those that can be attained in thermal evaporation.
 Sputtering targets are often expensive and material use may be poor.
 Most of the energy incident on the target becomes heat, which must be removed.
 In reactive sputter deposition, the gas composition must be carefully controlled to prevent poisoning the sputtering
target.

You might also like