You are on page 1of 16

ASSIGNMENT

DEPARTMENT: ELECTRICAL AND


ELECTRONICS ENGINEERING

COURSE: LOGIC DESIGN OF


DIGITAL SYSTEMS

Semiconductor Memory
Memory Capacity: The number of bits that a semiconductor memory chip can
store is called chip capacity. It can be in units of Kbits (kilobits), Mbits (megabits),
and so on. This must be distinguished from the storage capacity of computer
systems. While the memory capacity of a memory IC chip is always given in bits,
the memory capacity of a computer system is given in bytes. If a 16M memory
chip is present, then 16 megabits is stored in the semiconductor memory. If a
computer comes with 16M memory, 16 megabytes can be stored which means that
16 words are stored, each with the capacity of 8 bits.
Memory Organization
Memory chips are organized into a number of locations within the IC. Each
location can hold 1 bit, 4 bits, 8 bits, or even 16 bits, depending on how it is
designed internally. The number of locations within a memory IC depends on the
address pins. The number of bits that each location can hold is always equal to the
number of data pins. In general, if x is the number of address lines in a memory
chip, it contains 2 x locations. Dynamic RAMs (DRAMs) are exceptions to this as
indicated. Each location contains y bits, where y is the number of data pins on the
chip. The entire chip will contain 2x × y bits.
Example for memory organization
A 512K memory chip has 8 pins for data. Find: (a) The organization, and (b) the
number of address pins for this memory chip. Solution: (a) A memory chip with 8
data pins means that each location within the chip can hold 8 bits of data. To find
the number of locations within this memory chip, divide the capacity by the
number of data pins. 512K/8 = 64K; therefore, the organization for this memory
chip is 64K × 8. (b) The chip has 16 address lines since 216= 64K.
Speed
The speed of the memory chip is commonly referred to as its access time. The
access time of a memory chip varies from a few nanoseconds to hundreds of
nanoseconds, depending on the IC technology used in the design and fabrication
process.
ROM (read-only memory): ROM is a type of memory that does not lose its
contents when the power is turned off. For this reason, ROM is also called
nonvolatile memory. There are different types of read-only memory. They are:
✓ PROM
✓ EPROM
✓ EEPROM
✓ Flash EPROM
✓ Mask ROM
PROM (programmable ROM) and OTP: For every bit of the PROM, there
exists a fuse. PROM is programmed by blowing the fuses. If the information
burned into PROM is wrong, that PROM must be discarded since its internal fuses
are blown permanently.
✓ PROM is also referred to as an OTP (one-time programmable) device.
✓ Programming ROM, also called burning ROM, requires special equipment
called a ROM burner or ROM programmer.
EPROM(Erasable Programmable ROM) and UV-EPROM: EPROM was
invented to allow making changes in the contents of PROM after it is burnt.
✓ In EPROM, one can program the memory chip and erase it thousands of times.
A widely used EPROM is called UVEPROM.
✓ UV stands for ultra-violet. The only problem with UV-EPROM is that erasing
its contents can take up to 20 minutes. All UV-EPROM chips have a window that
is used to shine ultraviolet (UV) radiation to erase its contents. The major
disadvantage of UV-EPROM, is that it cannot be programmed while in the system
board
EEPROM(Electrically Erasable Programmable ROM) : EEPROM has several
advantages over EPROM. Its method of erasure is electrical and therefore instant,
as opposed to the 20-minute erasure time required for UVEPROM. One can select
which byte is to be erased, in contrast to UV-EPROM, in which the entire contents
of the ROM are erased. One can program and erase its contents while it is still in
the system board. EEPROM does not require an external erasure and programming
device. The designer incorporates into the system board the circuitry to program
the EEPROM.
RAM(Random Access Memory) : RAM memory is called volatile memory since
cutting off the power to the IC will result in the loss of data. Sometimes RAM is
also referred to as RAWM (read and write memory), in contrast to ROM, which
cannot be written to. There are three types of RAM:
✓ Static RAM (SRAM),
✓ NV-RAM (nonvolatile RAM), and
✓ Dynamic RAM (DRAM).
SRAM (static RAM) : Storage cells in static RAM memory are made of flip-flops.
Therefore, they do not require refreshing in order to keep their data. This is in
contrast to DRAM.
DRAM (Dynamic RAM): DRAM (dynamic RAM) uses a capacitor to store each
bit. It requires constant refreshing due to leakage of charge from the capacitor.
Packaging issue in DRAM: In DRAM there is a problem of packing a large
number of cells into a single chip with the normal number of pins assigned to
addresses. To solve this problem, multiplexing is used. Column address and row
address are multiplexed onto the same pins here. Row Address Strobe (RAS) and
Column Address Strobe (CAS) are used to indicate whether the row address or the
column address are carried on the address lines. This requires only half the number
of address pins. As shown , in the 256Kx1 DRAM, the address lines A0 to A8 are
used along with RAS and CAS to carry row address and column address
respectively. Thus with 9 pins we can address 256K locations
Non-volatile random-access memory (NVRAM) NVRAM is random-access
memory that retains its information when power is turned off (non-volatile). This is
in contrast to dynamic, random-access memory(DRAM) and static random-access
memory (SRAM), which both maintain data only for as long as power is applied.
The best-known form of NVRAM memory today is flash memory.
Memory Address Decoding Large capacity memories are constructed using
smaller blocks of memories. Hence the required block has to be selected based on
the memory address. This is done by decoding the memory addresses. There are
three ways to generate a memory block selector a) using simple logic gates, b)
using a decoder, such as, 74LS138, and c) using the programmable logic. We
discuss the first two methods here.
Decoder using NAND and other gates.
4K*8 memory chip Decoder with NAND and other gates. There are twelve address
lines from A0-A11 for accessing the location and data lines from D0-D7.CS, RD
and WR are the control signals. CS is the Chip select pin which is enabled by the
address lines A15 to A12. If RD is set to 0, then we can read the Content, and if
WR is set to 0, we can write into the chip. The chip select can be enabled by giving
the address lines A15-A12=0011. This will correspond to an address range of 3000
to 3FFFH.

Semiconductor memory refers to electronic data storage devices that use


semiconductor technology to store digital data. These memories are widely used in
various electronic devices, including computers, smartphones, tablets, digital
cameras, and many others. There are two main types of semiconductor memory:
volatile and non-volatile. Semiconductor memory is characterized by its speed,
density, and cost.

Semiconductor memory has revolutionized the field of computing and digital


electronics, enabling the development of faster, smaller, and more powerful
devices. In this article, Candidates can find study notes on semiconductor memory
which cover the topics such as memory, RAM, and ROM and some basic concepts
related to PLA, PAL, and FPFA.
What is Semiconductor Memory?

Semiconductor memory is a type of digital memory technology that uses


semiconductors, such as silicon, to store and retrieve digital data. It is commonly
used in electronic devices, such as computers, smartphones, and other digital
devices, as a primary or secondary storage medium. The memory implemented
using the semiconductor chips is semiconductor memory.

Semiconductor memory can be divided into two main categories: volatile and non-
volatile memory. Volatile memory, such as dynamic random-access memory
(DRAM) and static random-access memory (SRAM), requires power to retain
stored data. Non-volatile memory, such as read-only memory (ROM), flash
memory, and Electrically Erasable Programmable Read-Only Memory
(EEPROM), can retain data even when power is turned off. Various differences
between volatile and non-volatile memory are there.

Semiconductor memory has several advantages over other types of memory,


including faster access times, higher data transfer rates, and lower power
consumption. These benefits make it an ideal storage medium for a wide range of
electronic devices and applications.

The semiconductor main memory subsystem includes a critical component of a


computer system that stores and retrieves data and instructions needed by the
processor to perform tasks.

Types of Semiconductor Memory

Semiconductor memory is a type of electronic memory that uses semiconductor


devices such as transistors to store digital information. Semiconductor memory is
widely used in modern electronic devices such as computers, mobile phones, and
digital cameras. Here are the main types of semiconductor memory:

Classification OF Memories
1)Registers :
✓ fastest
2) Primary memory:
✓ Ram:
⁃ Both read and write operation

⁃ Volatile

⁃ Temporary programs are used

⁃ Random access

✓ Rom:

⁃ Only read

⁃ Non-volatile

⁃ Permanent programs are stored

⁃ Random access

3) Secondary memory:

✓ Serial Access:

⁃ Magnetic type

⁃ CCD (Charge Couple Device)

⁃ Ferrite core

✓ Semi-random Access:

⁃ Direct access (disk)

⁃ CD

⁃ HD

⁃ DVD

⁃ FD
1) Random Access Memory (RAM): RAM is a type of volatile memory that can be
read and written to. RAM is used as temporary storage for data and program
instructions when the computer is running. As the names suggest, the RAM or
random access memory is a form of semiconductor memory technology that is
used for reading and writing data in any order - in other words as it is required by
the processor. It is used for such applications as the computer or processor memory
where variables and other stored and are required on a random basis. Data is stored
and read many times to and from this type of memory. Random access memory is
used in huge quantities in computer applications as current day computing and
processing technology requires large amounts of memory to enable them to handle
the memory hungry applications used today. Many types of RAM including
SDRAM with its DDR3, DDR4, and soon DDR5 variants are used in huge
quantities.

RAM is further divided into two types:

a. Static RAM (SRAM): SRAM is a type of RAM that uses flip-flops to store each
bit of data. SRAM is faster and more expensive than DRAM. Static Random
Access Memory. This form of semiconductor memory gains its name from the fact
that, unlike DRAM, the data does not need to be refreshed dynamically.

These semiconductor devices are able to support faster read and write times than
DRAM (typically 10 ns against 60 ns for DRAM), and in addition its cycle time is
much shorter because it does not need to pause between accesses.

However SRAM chips consume more power, they are less dense and more
expensive than DRAM. As a result of this SRAM is normally used for caches,
while DRAM is used as the main semiconductor memory technology.

b. Dynamic RAM (DRAM): DRAM is a type of RAM that uses capacitors to store
each bit of data. DRAM is slower and less expensive than SRAM. Dynamic RAM
is a form of random access memory. DRAM uses a capacitor to store each bit of
data, and the level of charge on each capacitor determines whether that bit is a
logical 1 or 0.

However these capacitors do not hold their charge indefinitely, and therefore the
data needs to be refreshed periodically. As a result of this dynamic refreshing it
gains its name of being a dynamic RAM. DRAM is the form of semiconductor
memory that is often used in equipment including personal computers and
workstations where it forms the main RAM for the computer. The semiconductor
devices are normally available as integrated circuits for use in PCB assembly in the
form of surface mount devices or less frequently now as leaded components.

2) Read-Only Memory (ROM): ROM is a type of non-volatile memory that can


only be read, not written to. ROM is used to store program instructions and data
that are not intended to be modified. A ROM is a form of semiconductor memory
technology used where the data is written once and then not changed. In view of
this it is used where data needs to be stored permanently, even when the power is
removed - many memory technologies lose the data once the power is removed.
As a result, this type of semiconductor memory technology is widely used for
storing programs and data that must survive when a computer or processor is
powered down. For example the BIOS of a computer will be stored in ROM.
As the name implies, data cannot be easily written to ROM. Depending on the
technology used in the ROM, writing the data into the ROM initially may require
special hardware. Although it is often possible to change the data, this gain
requires special hardware to erase the data ready for new data to be written in.

As can be seen, these two types of memory are very different, and as a result they
are used in very different ways.

Each of the semiconductor memory technologies outlined below falls into one of
these two types of category. each technology offers its own advantages and is used
in a particular way, or for a particular application.

ROM is further divided into two types:


a. Mask ROM: Mask ROM is a type of ROM that is programmed during the
manufacturing process. Once programmed, the contents of the ROM cannot be
changed.

b. Programmable ROM (PROM): PROM is a type of ROM that can be


programmed once by the user. Once programmed, the contents of the PROM
cannot be changed. Programmable Read Only Memory is a form of semiconductor
memory which can only have data written to it once - the data written to it is
permanent. These memories are bought in a blank format and they are programmed
using a special PROM programmer.
Typically a PROM will consist of an array of fuseable links some of which are
"blown" during the programming process to provide the required data pattern.

3) Electrically Erasable Programmable Read-Only Memory (EEPROM): EEPROM


is a type of non-volatile memory that can be programmed and erased electrically.
EEPROM is used to store data that needs to be modified occasionally, such as the
BIOS settings on a computer motherboard. Electrically Erasable Programmable
Read Only Memory is a form of compter memory where data can be written to the
memory device and it can be erased using an electrical voltage. This erase voltage
is typically applied to a special erase pin on the chip.

Like other types of PROM, EEPROM retains the contents of the memory even
when the power is turned off. Also like other types of ROM, EEPROM is not as
fast as RAM.

4) Flash Memory: Flash memory is a type of non-volatile memory that can be


electrically erased and reprogrammed. Flash memory is used in a wide range of
electronic devices such as USB drives, digital cameras, and mobile phones.

Flash memory is a particularly widespread form of computer memory. It is not


only sued in memory cards, but it is being used increasingly for computer solid
state hard drives and similar applications.

Flash memory may be considered as a development of EEPROM technology. Data


can be written to it and it can be erased, although only in blocks, but data can be
read on an individual cell basis.

To erase and re-programme areas of the chip, programming voltages at levels that
are available within electronic equipment are used. It is also non-volatile, and this
makes it particularly useful. As a result Flash memory is widely used in many
applications including USB memory sticks, compact Flash memory cards, SD
memory cards and also now solid state hard drives for computers and many other
applications.

Flash memory is further divided into two types:


a. NOR Flash: NOR gate flash is used for executing code directly from the
memory. It has a slower write speed but a faster read speed.

b. NAND Flash: NAND gate flash is used for data storage. It has a faster write
speed but a slower read speed.

Registers and their Types

Registers are memories located within the Central Processing Unit (CPU). Various
types of registers are available within the CPU. Registers are small but the CPU
can access them quickly. Some of the registers available in the system are given
below.

• Instruction Register

• ALU I/O registers

• Status Register

• Stack pointer register

• The program counter, etc.


What is Dynamic RAM (DRAM)?

In this type of RAM, data is stored on capacitors and requires periodic


refreshments. Here a few points about the dynamic RAM are described below.
• Data is stored in MOS capacity.

• Only MOSFET is used for implementation.

• It is Slow compared to Static RAM.

• Dissipate less power.


• The memory capacity of Dynamic RAM is more.

• It can be used as the Main memory.

• Refreshing is required.
Advantages of Static RAM Over Dynamic RAM

Static RAM and Dynamic RAM both are types of Read Acess Memory. It can be
used for the purpose of data storage. Here few differences between SRAM and
DRAM are discussed below.

• The access time of SRAM is less and thus these memories are
faster memories.

• As SRAM consists of flip-flops thus, refreshing is not required.

• Less number of memory cells are required in SRAM for a unit


area.

Read Only Memory (ROM) and Their Classifications

It is non-volatile memory, implemented using the combinational circuit. It is also


known as masked memory.

Classification of ROM
1. Mask-programmed ROM: The required contents of the memory are
programmed during fabrication. Data stored this way can never be altered. It
can be implemented using Fixed AND Fixed OR Circuit.

2. PROM (Programmable ROM): Required content is written in a


permanent way by burning out internal interconnections (fuses). It is a one-
off procedure. It can be implemented using Fixed AND Programmable
Circuits.
3. EPROM (Erasable PROM): Data is stored as a charge on an isolated
gate capacitor (“floating gate”). Data is removed by exposing the PROM to
ultraviolet light.

4. EEPROM (Electrically Erasable PROM): It is also called Flash


Memory. The content can be re-programmed by applying suitable voltages
to the EEPROM pins. Flash Memories are very important data storage
devices for mobile applications.

Programmable Logic Devices

Programmable logic devices are a special type of IC. Different types of logic
functions can be implemented using a single programmed IC chip of PLD. PLDs
can be reprogrammed because these are based on rewritable memory technologies.
PLDs are divided into three types. They are PLA, PAL, and FPGA.

Programmable Logic Array (PLA)


• PLA is implemented using AND-OR gate arrays and
programmed for specific logic functions.

• It is used where the number of don’t care conditions are


excessive.

• In PLA’s both AND and OR arrays are programmable.

• The AND and OR gates are fixed for any PLA chip.

• It depends on the number of inputs and outputs of PLA.

• Combinational circuits, Sequential Circuits, and Compact


circuits can be implemented using PLAs.

Programmable Array Logic (PAL)


• PAL is implemented using AND gate arrays are programmable
and OR gate arrays are fixed.
• Because only AND gates are programmable, the PAL is easier
to program, but it is not as flexible as the PLA (programmable logic array).

Field Programmable Gate Array (FPGA)


• It is a semiconductor device that is comprised of a different
number of logic elements, interconnects, and Input/Output blocks. All these
components are user-configurable.

Semiconductor memory technology is developing at a fast rate to meet the ever


growing needs of the electronics industry. Not only are the existing technologies
themselves being developed, but considerable amounts of research are being
invested in new types of semiconductor memory technology.

In terms of the memory technologies currently in use, SDRAM versions like


DDR4 are being further developed to provide DDR5 which will offer significant
performance improvements. In time, DDR5 will be developed to provide the next
generation of SDRAM.

Other forms of memory are seen around the home in the form of USB memory
sticks, Compact Flash, CF cards or SD memory cards for cameras and other
applications as well as solid state hard drives for computers.

The semiconductor devices are available in a wide range of formats to meet the
differing PCB assembly and other needs

Memory Specifications: datasheet parameters


There are many different specifications and parameters used in semiconductor
memory datasheets: everything from the size and technology to the output
performance, voltage rails, speed, timing and the like.

Although many of the specifications and parameters used with semiconductor


memories are common to all ICs. There are many specific memory specifications
and parameters that are used.
Understanding the different semiconductor memory specifications and parameters
enables the right chip to be selected out of the enormous variety that is available.

Major memory specifications & parameters


There are many semiconductor memory parameters that can be specified. Normally
these all appear in the datasheet for a given memory. However some of the key
memory specifications are outlined below:
• Memory type:   Obviously the type of memory has a major bearing on
the application. Different memories have different properties and therefore
significantly differnet specifications and parameters. The first choice of any
memory for use within a system is the type of memory.   . . .
• Memory size specification:   The specification for the memory size is
possibly the most key parameters to be specified. The way in which the memory is
specified is standardised by JEDEC (JEDEC Standard 100B.01) and this format is
used virtually universally for memory specifications:
• b - bit:   This is the notation refers to a single element of data i.e. a
digit which is 1 or zero.
• B- byte:   The definition for this is a binary character string which is
normally shorter than a computer word. A byte is normally eight bits.
The definitions for the multipliers also needs to be understood as the standard
multipliers do not correspond exactly to the decimal multipliers as they are based
on a binary format:
• k - kilo:   The kilo multiplier is equal to 1,024. This corresponds to
210
• M - Mega:   The Mega multiplier is equal to 1 048 576. This
corresponds to 220 or k2.
• G - Giga:   The Giga multiplier is equal to 1 073 741 824. This
corresponds to 230 or k3.
• T - Tera:   The Tera multiplier is equal to 1 099 511 627 776. This
corresponds to 240 or k4.
Thus memories would be specified in the format of 32Mb for a 32 megabit
memory and 512MB for a 512 Megabyte memory, etc..
• Memory speed:   Another key memory specification is the memory
speed. This is normally quoted as the rate at which the memory can be clocked and
is given as a frequency, e.g. 400 MHz, etc.. Often the speed will be incorporated
into the memory type. For example for DDR style memories it is appended to the
memory style ID, e.g. DDR-400 is a 400 MHz memory. However it is important to
note that the real clock of DDR style memories is half that of the labelled clock
speed - DDR-400 memories operate at 200 MHz.
• Memory timing specifications:   This category of memory
specifications is of great importance because it will often determine the overall
speed of operation of a processor system. If large amounts of data need to be
accessed then the speed of recovery is crucial. Delays will slow the operation of
the system. There are a number of different types of memory speed specification,
and they will be dependent upon the type of memory used:
• CAS Latency, tCL:   Column Address Strobe or Column Address
Select, CAS refers to the time in clock cycles between the initiation of a read
command and when the read is performed. The CAS latency time is effectively the
response delay within the within the memory. This is a key indicator of the
memory performance.
• tRAS Timing:   Min RAS Active Time. This indicates how long the
memory has to wait until the next memory caccess can be initiated. It is
effectively, the amount of time between a row being activated by pre-charge and
deactivated. A row cannot be deactivated until tRAS has completed. The lower this
is, the faster the performance, but if it is set too low, it can cause data corruption by
deactivating the row too soon.
• tRCD Timing:   This memory specification for timing refers to the
RAS to CAS Delay, i.e. the Row Address Strobe/Select to Column Address
Strobe/Select. It is the time delay in cycles between the activation of the RAS line
and the column CAS where the data is stored in the matrix.
• tRP Timing:   Row Precharge Time. This is the minimum time
between active commands and the read/writes of the next bank on the memory
module. It is the time between disabling the access line of the data to the beginning
of another read cycle.
• Supply voltage:   With many logic families not running on much
lower voltages to conserve power and increase speed, it is necessary to ensure that
the memory family adopted operates on the required voltage supply. Not only will
this ensure that the memory runs from the correct voltage, but it is also able to
interface properly with the other components.
There are many different memory specifications used in the datasheets, but these
represent some of the more important ones used.

You might also like