Professional Documents
Culture Documents
library work;
use work.components.all;
entity fetch is
port(Jump, PCSrc, PCJmp, clk: in std_logic;
PcBranch: in std_logic_vector(31 downto 0);
Instr, PCPLus4: out std_logic_vector(31 downto 0));
end entity;
Modularización VHDL (architecture)
architecture my_arch of fetch is
--mux2_0 to mux2_1
signal PCNext: std_logic_vector (31 downto 0);
--PCPlus4
signal PCPlus4_s: std_logic_vector (31 downto 0);
--mux2_1 out
signal PC1: std_logic_vector (31 downto 0);
--flopr_0 out
signal pc_out: std_logic_vector(31 downto 0);
begin
...
Modularización VHDL (architecture cont.)
begin
end architecture;