You are on page 1of 13

2023/5/17 11:30 Well Tap Cells in Physical Design - Team VLSI

Menu

Well Tap Cells in Physical Design


August 29, 2020 by Team VLSI

Well tap cells (or Tap cells) are used to prevent the latch-up issue in the CMOS design. Well tap cells connect the nwell to
VDD and p-substrate to VSS in order to prevent the latch-up issue. There is no logical function in well tap cell rather than
proving a taping to nwell and p-substrate therefore well tap cell is called a physical-only cell. In this article, we will discuss
the structure of well tap cell, the requirement of well tap cell and how to place them in the physical design flow.

Well Tap Cell:

Well tap cells have no logical functions, it has only two connections.

nwell to the power supply (VDD)


p-substrate to the ground (VSS)

A typical structure of well tap layout has shown in figure-1. Well tap cell has no input and output pins, therefore it is called a
physical-only cell.

https://teamvlsi.com/2020/08/well-tap-cell-in-asic-design.html 1/13
2023/5/17 11:30 Well Tap Cells in Physical Design - Team VLSI

Figure-1: Layout of well tap cell

Why Well Tap Cell:

Early days there was no concept of well tap cell, Standard cells were designed in such a way that each standard cell had nwell
to VDD and p-substrate to VSS connection within the standard cell. But such a standard cell design had consumed more
area and to save the area, later a concept of Tapless cell has evolved. In a tapless cell, there are no well taping inside the
standard cell, well taping is provided by a separate standard cell which is called a well tap cell. So well tap cell is a part of a
tapless standard cell library. Figure-2 shows the structure of a traditional standard cell and a tapless standard cell.

Figure-2: Traditional and Tapless standard cell structure

Well tap cells are used to prevent the latch-up issue in design. how it prevent, has been explained in the article “latch-up
prevention in CMOS” in this blog.

Placement of  Well Tap Cells:

Well tap cells are placed after the macro placement and power rail creation. This stage is called the pre-placement stage.
Well tap cells are placed in a regular interval in each row of placement. The maximum distance between the well tap cells
must be as per the DRC rule of that particular technology library. A typical placement of well tap cells is shown in figure-3.

Figure-3: Well tap cell placement

Well tap cells are generally placed in a straight column in the alternate row as shown in figure and such a pattern is called
checkerboard pattern to provide maximum coverage for well tap. If a macro comes in the path of vertical columns, then the
placement of vertical column shifted alongside macro as shown in the figure.

This placement is performed using the PnR tool command. For ICC and Innovus tool following command have used to place
the well tap cells.

For Innovus tool:

set_well_tap_mode -rule <> -bottom_tap_cell <cellName> -top_cell_name <cellName> -cell <> 


addWellTap  -cell <cellName> -cellInterval <maxGap> -prefix <prefixName> -checkerBoard -fixedGap
verifyWellTap -report <reportName>
For more details refer UG of Innovus tool.

https://teamvlsi.com/2020/08/well-tap-cell-in-asic-design.html 2/13
2023/5/17 11:30 Well Tap Cells in Physical Design - Team VLSI

 
 
For ICC tool:
add_tap_cell_array –ignore_soft_blockage true –master_cell_name $tapCell–distance $tapPitch –connect_power_name VDD –
connect_ground_name VSS –respect_keepout-pattern stagger_every_other_row –tap_cell_identifier WELLTAP 

Thank you!

standard cell, Standard Cell Library, tap cells, Well Tap Cell
Standard Cell Library for ASIC Design
End Cap Cells in VLSI | Boundary Cells in VLSI

8 thoughts on “Well Tap Cells in Physical Design”

Unknown
March 1, 2021 at 4:42 am

thanks for this. Very informative. I'm wondering if you have ever heard of a scheme where there are two types of tap
cell, one containing only a p-well contact and one containing only an n-well contact?

Reply

Team VLSI
March 2, 2021 at 3:49 am

Hi,
You are most welcome.
Can you please provide some references to me of such design?
I need to check, then we can discuss more on that.

Reply

Believer
January 24, 2022 at 5:07 am

how exactly does this tap cell prevent latchup ?

Reply

Unknown
February 27, 2022 at 3:50 am

https://teamvlsi.com/2020/08/well-tap-cell-in-asic-design.html 3/13
2023/5/17 11:30 Well Tap Cells in Physical Design - Team VLSI

very clear explaination sir

Reply

Dhananjay
March 5, 2022 at 11:05 am

Latch up comes due high nwell and pwell resistance, Due which potential difference creates that can on the internal
transistors.
Tap cells provide extra dopping of nwell, which lower the resistance.

Reply

Team VLSI
April 7, 2022 at 3:51 am

Thanks Dhananjay, Rightly said.

Reply

Team VLSI
April 7, 2022 at 3:53 am

Thanks and happy to make the topic clear.

Reply

U.Upender
March 19, 2023 at 5:48 pm

if we dont place a TAP cell , what errors do we see ?

Reply

Leave a Comment

https://teamvlsi.com/2020/08/well-tap-cell-in-asic-design.html 4/13
2023/5/17 11:30 Well Tap Cells in Physical Design - Team VLSI

Name *

Email *

Website

Save my name, email, and website in this browser for the next time I comment.

 Yes, add me to your mailing list

Post Comment

About US

Sitemap
Privacy Policy

Terms and Conditions

Contact Us

Team VLSI Team VLSI


YouTube 16K Follow Page 1.2K followers
    
Telegram

Wish to get updates from Team VLSI?

Email Address *

Submit your email

https://teamvlsi.com/2020/08/well-tap-cell-in-asic-design.html 5/13
2023/5/17 11:30 Well Tap Cells in Physical Design - Team VLSI

Recent Posts
Why Quantum Computing ?

Physical Design Interview Question for experience level 3 Years, Question Set -10
50 most useful dbGet commands for Innovus
VLSI EDA Companies in India | Top EDA Companies

VLSI Product Companies in India | Top 30 Semiconductor Product Companies

https://teamvlsi.com/2020/08/well-tap-cell-in-asic-design.html 6/13
2023/5/17 11:30 Well Tap Cells in Physical Design - Team VLSI

Archives
May 2023
February 2023

June 2022
February 2022
November 2021
September 2021

August 2021
July 2021
June 2021

May 2021
April 2021
February 2021

December 2020
November 2020
October 2020
September 2020

August 2020
July 2020
June 2020

May 2020

https://teamvlsi.com/2020/08/well-tap-cell-in-asic-design.html 7/13
2023/5/17 11:30 Well Tap Cells in Physical Design - Team VLSI

Categories
2-bit flops

Antenna effect
AOCV
ASIC

ASIC Design flow


ASIC Flow
Best 25 books for VLSI

Books for Physical Design


Books/Articles
Boundary Cell
Boundary timing

CAD tools
ccopt file
Clock gating

Crosstalk
Crosstalk Delay
Crosstalk Noise

Crosstalk Prevention
CTS
CTS Constraints

D flip flop
d latch
Decap Cells

https://teamvlsi.com/2020/08/well-tap-cell-in-asic-design.html 8/13
2023/5/17 11:30 Well Tap Cells in Physical Design - Team VLSI

DEF file in vlsi


DFT Interview question
ECO
ECO Cycle

ECO file
EDA
EDA companies

EDA Tools
Electromigration Effect
End Cap Cell

Engineering Change Order


Fence
files in VLSI

FinFET
Floor plan rules
Floor plan strategies
Floorplan

General
Global placement
Guide

High Fanout Net Synthesis


ICG Cell
Industry

Innovus
Input Delay
Input files
Input files for physical design

Integrated Clock Gating


Interface Timing
Interview

Interview Guidance
Interview tips
IO Interface Analysis

IO timing
IR Drop Analysis
IR drop prevention

Issues in VLSI
Latch-up issue
LEF file
LIB file

Linux
linux basic commands
Linux Commands

Low power techniques


macro placement
MBFF

https://teamvlsi.com/2020/08/well-tap-cell-in-asic-design.html 9/13
2023/5/17 11:30 Well Tap Cells in Physical Design - Team VLSI

MediaTek PD questions
mmmc file

Multi-bit flops
Multibit flops
OCV

on chip variation
Output Delay
PD Interview
PD interview questions for experienced

Physical design flow


Physical Design Inputs
Physical design interview question

Physical Design Interview Questions


Physical Verification questions
pin placement

placement
Placement in Physical Design
Placement Steps

PnR flow
POCV
pre placement stage
pre-placement activities

PrimeTime
Recommended Books
Redhawak

Region
Sanity Checks
SBFF

Scan chain reorder


schematics of flip flop and latch
Scripting

SDC file
semiconductor companies
Semiconductor Company
Semiconductor Product Companies

Semiconductor Service Companies


set_input_delay
set_output_delay

Short Topics for Interview


Signal Integrity
SignOff

SignOff Tool
Signoff Tools
Spare Cells

STA
standard cell

https://teamvlsi.com/2020/08/well-tap-cell-in-asic-design.html 10/13
2023/5/17 11:30 Well Tap Cells in Physical Design - Team VLSI

Standard cell layout


Standard Cell Library
Synopsys tool
tap cells

tcl scripting
teamVLSI
temperature inversion

temperature inversion in VLSI


tie cell
tie high cell

tie low cell


Timing Window Analysis
Tool instalations

Top 20 VLSI product companies


TSMC 7nm Technology node
TSMC Process nodes comparision
Tweaker

Uncategorized
VLSI
VLSI Books
VLSI Companies

VLSI Company In India

VLSI Concepts
VLSI Flow

VLSI Interview

vlsi interview question


VLSI Interview Questions

VLSI Product companies

VLSI Tools
Well Tap Cell

working of flip flop and latch

Written Test VLSI Questions

https://teamvlsi.com/2020/08/well-tap-cell-in-asic-design.html 11/13
2023/5/17 11:30 Well Tap Cells in Physical Design - Team VLSI

https://teamvlsi.com/2020/08/well-tap-cell-in-asic-design.html 12/13
2023/5/17 11:30 Well Tap Cells in Physical Design - Team VLSI

© 2023 Team VLSI • Built with GeneratePress

https://teamvlsi.com/2020/08/well-tap-cell-in-asic-design.html 13/13

You might also like