Professional Documents
Culture Documents
Навчально методичний посібник по курсовому проектування 1
Навчально методичний посібник по курсовому проектування 1
НАЦІОНАЛЬНИЙ УНІВЕРСИТЕТ
"ЛЬВІВСЬКА ПОЛІТЕХНІКА"
НАВЧАЛЬНИЙ ПОСІБНИК
Львів 2022
2
Рецензенти:
ЗМІСТ
ВСТУП ...................................................................................................................... 5
1. СИСТЕМИ ДЛЯ СХЕМОТЕХНІЧНОГО ПРОЕКТУВАННЯ ЕЛЕКТРОННИХ
ЗАСОБІВ................................................................................................................... 6
MULTISIM............................................................................................................. 6
PROTEUS............................................................................................................... 9
ALDEC ACTIVE-HDL ........................................................................................ 16
2. ОСНОВНІ ТИПИ СХЕМ ................................................................................... 37
3. ТЕОРЕТИЧНА ЧАСТИНА .............................................................................. 38
3.1. Опис автомата Мілі ...................................................................................... 38
3.2. Кодування граф-схеми автомату (ГСА) ...................................................... 40
3.3. Побудова таблиці переходів ........................................................................ 41
3.4. Синтез керуючого автомату ........................................................................ 42
3.5. Опис компонентів......................................................................................... 45
3.5.1. Лічильник (СТ) ....................................................................................... 45
3.5.2. Оперативно запам’ятовуючий пристрій (RAM) ................................... 49
3.5.3. Двійковий суматор ................................................................................. 55
3.5.4. Арифметико-логічний пристрій (ALU) ................................................. 57
3.5.5. Регістр (RG) ............................................................................................ 59
3.5.6. Постійно запам’ятовуючий пристрій (ROM) ........................................ 61
3.5.7. Шинний формувач (BD) ........................................................................ 67
3.5.8. Групи комбінаційної логіки ................................................................... 68
4. ПРИКЛАДИ МОДУЛЮВАННЯ. ...................................................................... 75
4.1. Курсове проектування в программному середовище MULTISIM ............ 75
4.1.1. Мікропрограма роботи МПА. ................................................................ 75
4.1.2. Блок-схема алгоритму ............................................................................ 76
4.1.3.Граф-схема МПА 78
4.1.4. Формування прошивки ПЗП .................................................................. 77
4.3.5 Результати моделювання у вигляді часових діаграм ............................ 77
Додатки ............................................................................................................. 78
4.2. Курсове проектування в программному середовище ACTIVE HDL ...... 85
4.2.1 Мікропрограма роботи МПА. ................................................................. 85
4.1.2.Блок-схема алгоритму ............................................................................. 87
4
ВСТУП
Курсове проектування обчислювального пристрою з дисципліни
«Комп’ютерна схемотехніка», що викладається на кафедрі Електронні
обчислювальні машини, складаються з чотирьох частин – з обзору та опису
існуючих програмних середовищ для модулювання електронних пристроїв, з
опису основних типів схем, з теоретичної частини пов’язаною з вибором
електронних компонентів для проетування обчислювача, та практичної частини,
де показані приклади проектування обчислювального пристрою в системах
Multisim, Active HDL та Proteus. Такі електронні компоненти, як регістори,
лічильники, суматори, арифметико – логічні пристрої, автомати керування,
пам’ять входять до складу практично будь-яких сучасних обчислювальних
приладів і систем. Знання та практичні навички, отримані при проектуванні
обчислювального пристрою, будуть використовуватись студентами для
вирішення практичних задач розробки та розрахунку різних обчислювальних
пристроїв і систем. Методичні вказівки з курсового проектування електронного
обчислювача розраховані на студентів, які навчаються за спеціальністю 123 –
«Комп’ютерна інженерія». Також можуть бути корисними і для студентів інших
спеціальностей, пов’язаних з проектуванням електронних пристроїв.
Проектування електронного обчислювача може виконуватися на персональному
комп’ютері в Proteus, Active HDL та Multisim. Основу навчального посібника
складають три основних програмних середовищ, в яких необхідно синтезувати
та промоделювати основні електронні пристрої, зробити висновки щодо
отриманих результатів. Навчальний посібник оформлений доступно для
сприйняття та відтворення і забезпечений відповідними детальними
інструкціями та ілюстраціями, завдяки цьому студенти зможуть проектувати та
модулювати роботу електронного обчислювача без особливих ускладнень та
отримати задоволення від здобутих знань та практичних навичок.
6
ALDEC ACTIVE-HDL
Active-HDL – це програмне забезпечення на базі Windows для створення,
проектування та моделювання програмованих на місці клапанних масивів
(FPGA) у командному середовищі. Інтегроване середовище проектування Active-
HDL надає повний набір графічних та багатомовних інструментів моделювання
(з підтримкою листа праворуч наліво) для швидкої розробки, перевірки та
модифікації проектів ПЛІС. Управління потоком програмного забезпечення у
програмному забезпеченні вимагає понад 120 інструментів для створення
автоматизації проектування електроніки (EDA) та ПЛІС при проектуванні,
моделюванні, об'єднанні та реалізації потоків та дозволяє команді брати участь
у всьому процесі розробки. FPGA, залишайтеся на спільній платформі. Active-
HDL підтримує всі провідні в галузі ПЛІС, включаючи Altera, Atmel, Lattice,
Microsemi, Quick Logic та Xilinx. Ви також можете завантажити CSiEDA
Архітектура.
Архітектуру можна описати за допомогою структурного стилю, стилю
потоку даних, поведінкового або змішаного стилю.
22
Синтаксис.
architecture architecture_name of entity_name
architecture_declarative_part;
begin
Statements;
end architecture_name;
Тут необхідно вказати назву конфігурації, для якої пишимо тіло
архітектури. Вирази архітектури мають бути всередині ключових слів 'begin' і
'énd'. Декларативна частина архітектури може містити змінні, константи або
оголошення компонентів.
Моделювання процедури потоку даних.
У цьому стилі моделювання потік даних через об’єкт виражається за
допомогою одночасного (паралельного) сигналу. Одночасними операторами у
VHDL є WHEN і GENERATE.
Крім них, для побудови коду також можна використовувати присвоєння,
що використовують лише оператори (AND, NOT, +, *, sll тощо).
Нарешті, особливий вид призначення, який називається BLOCK, також
може бути використаний у цьому типі коду.
У паралельному коді можна використовувати наступне:
Оператори
Оператор WHEN (WHEN/ELSE або WITH/SELECT/WHEN);
Оператор GENERATE;
Оператор BLOCK
Моделювання процедури поведінки коду.
У цьому стилі моделювання набір операторів виконується послідовно у
вказаному порядку. Лише оператори, розміщені всередині ПРОЦЕСУ, ФУНКЦІЇ
або ПРОЦЕДУРИ, є послідовними.
ПРОЦЕСИ, ФУНКЦІЇ та ПРОЦЕДУРИ це єдині розділи коду, які
виконуються послідовно.
23
Приклади дизайну.
У VHDL дизайн складається як мінімум з об'єкту, який описує інтерфейс,
і архітектуру, яка містить фактичну реалізацію. Крім того, більшість проектів
імпортують бібліотечні модулі. Деякі проекти також містять кілька архітектур та
конфігурацій.
Логічна операція – AND (I)
X Y Z
0 0 0
0 1 0
1 0 0
1 1 1
VHDL Code:
Library ieee;
use ieee.std_logic_1164.all;
entity and1 is
port(x,y:in bit ; z:out bit);
end and1;
Осцилограми
X Ю З
0 0 0
0 1 1
1 0 1
1 1 1
VHDL Code:
Library ieee;
use ieee.std_logic_1164.all;
entity or1 is
port(x,y:in bit ; z:out bit);
end or1;
Осцилограми
X Ю
0 1
1 0
VHDL Code:
Library ieee;
use ieee.std_logic_1164.all;
entity not1 is
port(x:in bit ; y:out bit);
end not1;
Осцилограми
27
X Y Z
0 0 1
0 1 1
1 0 1
1 1 0
VHDL Code:
Library ieee;
use ieee.std_logic_1164.all;
entity nand1 is
port(a,b:in bit ; c:out bit);
end nand1;
Осцилограми
28
X Y Z
0 0 1
0 1 0
1 0 0
1 1 0
VHDL Code:
Library ieee;
use ieee.std_logic_1164.all;
entity nor1 is
port(a,b:in bit ; c:out bit);
end nor1;
Осцилограми
29
X Ю З
0 0 0
0 1 1
1 0 1
1 1 0
VHDL Code:
VHDL Code:
Library ieee;
use ieee.std_logic_1164.all;
entity xor1 is
port(a,b:in bit ; c:out bit);
end xor1;
Осцилограми
30
X Y Z
0 0 1
0 1 0
1 0 0
1 1 1
Library ieee;
use ieee.std_logic_1164.all;
entity xnor1 is
port(a,b:in bit ; c:out bit);
end xnor1;
Осцилограми
31
Осцилограми
entity pa is
port(a : in STD_LOGIC_VECTOR(3 downto 0);
b : in STD_LOGIC_VECTOR(3 downto 0);
ca : out STD_LOGIC;
sum : out STD_LOGIC_VECTOR(3 downto 0)
);
end pa;
architecture vcgandhi of pa is
Component fa is
port (a : in STD_LOGIC;
b : in STD_LOGIC;
c : in STD_LOGIC;
sum : out STD_LOGIC;
ca : out STD_LOGIC
);
end component;
32
Осцилограми
entity mux is
port(S1,S0,D0,D1,D2,D3:in bit; Y:out bit);
end mux;
Осцилограми
entity demux is
port(S1,S0,D:in bit; Y0,Y1,Y2,Y3:out bit);
end demux;
Осцилограми
entity dflip is
port(d,clk:in bit; q,qbar:buffer bit);
end dflip;
signal d1,d2:bit;
begin
d1<=d nand clk;
d2<=(not d) nand clk;
q<= d1 nand qbar;
qbar<= d2 nand q;
end virat;
Осцилограми
entity counter is
port(Clock, CLR : in std_logic;
Q : out std_logic_vector(3 downto 0)
);
end counter;
begin
if (CLR = '1') then
tmp < = "0000";
elsif (Clock'event and Clock = '1') then
35
mp <= tmp + 1;
end if;
end process;
Q <= tmp;
end virat;
Осцилограми
entity dcounter is
port(Clock, CLR : in std_logic;
Q : out std_logic_vector(3 downto 0));
end dcounter;
begin
process (Clock, CLR)
begin
if (CLR = '1') then
tmp <= "1111";
elsif (Clock'event and Clock = '1') then
tmp <= tmp - 1;
36
end if;
end process;
Q <= tmp;
end virat;
Осцилограми
37
3. ТЕОРЕТИЧНА ЧАСТИНА
3.1. Опис автомата Мілі
Автомат Мілі — скінчений автомат, чиї вихідні символи визначаються
його станом, та символами на вході (на відміну від автомату Мура, вихідні
символи якого визначаються тільки його станом). На ребрах в діаграмі
станів позначають вхідні та вихідні символи (а в автоматі Мура вихідні символи
позначають на вершинах).
Автомат Мілі названий на честь Джорджа Мілі, який представив ідею в
роботі 1955 року, «A Method for Synthesizing Sequential Circuits.»
Автомат Мілі може бути примітивною математичною моделлю
шифрувальної машини. Якщо взяти за вхідний та вихідний алфавіти наприклад
символи латинки, то можна сконструювати автомат Мілі, який буде для кожного
вхідного рядка давати на виході зашифровану послідовність. Тим не менш, хоча
його й можна використати для опису наприклад шифрувальної машини Енігма,
діаграма станів буде занадто складною для конструювання відповідного
автомата. На рис. 1 показана загальна структура автомата Мілі.
D
15 3
1
A CT QA
2
B QB
10 6
C QC
9 7
D QD
11 13
V V
14 12
R R
5
Up
4 Down
1 ↑ 0 1 Віднімання
Х Х 1 Х Скидання
Х Х 0 0 Загрузка
Лічильник 74LS163
Мікросхема 74LS163 - це 4-розрядний двійковий синхронний прямий
лічильник. В якому вхід MR використовується для скидання лічильника в 0, а
вхід LOAD – для попереднього запису в лічильник інформації, щo надходить з
входів D0, D1, D2, D3.
DD22
SN74LS163
3 14
4 D0 Q0 13
5 D1 Q1 12
6 D2 Q2 11
D3 Q3
7 15
10 ENP RCO
2 ENT 8
9 CLK VSS
1 LOAD 16
MR VCC
DD29
74LS169
3 14
4 D0 Q0 13
5 D1 Q1 12
6 D2 Q2 11
D3 Q3
2 15
9 CLK RCO
1 LD 8
10 U/D VSS
7 ENT 16
ENP VCC
D
8 A0 RAM I/O 0 9
7 10
A1 I/O 1
6 11
A2 I/O 2
5 13
A3 I/O 3
4 14
A4 I/O 4
3 15
A5 I/O 5
2 16
A6 I/O 6
1 17
A7 I/O 7
22
A8
10
A9
19
A10
21
WE
20
OE
18
CS
Суматор SN74S283
Мікросхема SN74S283 – це швидкодіючий повний 4-розрядний двійковий
суматор. В якому використовуються такі входи та виходи :
А1…А4 - входи даних
В1…В4 –входи даних
Р0 – вхід переносу
Р – вихід сигналу переносу
S1…S4 – виходи на яких появляються суми вхідних слів
D
2 9
23
A1 ALU S1
10
A2 S2
21 11
A3 S3
19 13
A4 S4
1 16
B1 CN4
22 14
B2 A=B
20
B3
18 15
B4 P
17
G
6
C1
5
C2
4
C3
3
C4
7
CN
8
M
D
2 3
Q1 REG D1
5 4
Q2 D2
6 7
Q3 D3
9 8
Q4 D4
12 13
Q5 D5
15 14
Q6 D6
16 17
Q7 D7
19 18
Q8 D8
1
OC
11
Clk
D
3 RG 4
A QA
5 6
B QB
7 8
C QC
9 10
D QD
15 14
E QE
17 16
F QF
19 18
G QG
21 20
H QH
22
L
2
R
1
S0
23
S1
13
R
11
C
Рис. 3.5.6.1
Оскільки інтервал часу запису набагато більший, ніж часу читання, то при
записі даних передбачено, що код адреси і дані попередньо запам’ятовуються,
звільнюючи шини процесора для іншого використання. Після ініціалізації циклу
запису мікросхема переходить у стан зайнятості, і перезапис введених даних
забезпечується за допомогою внутрішнього керуючого таймера. Для
визначення кінця циклу запису використовуються два способи. Перший
забезпечується рівнем сигналу на виході RDY , а другий – рівнем
сигналу на I/O7 .
Мікросхема має режим енергозбереження. Споживаний струм в
активному режимі не перевищує 30 мА при напрузі живлення 5 В. У режимі
енергозбереження величина споживаного струму обмежується величиною 100
мкА. Мікросхеми фірми ATMEL забезпечують внутрішню корекцію даних, що
дає можливість підвищити надійність і покращити якість даних, які
зберігаються.
Блок-схема мікросхеми ЕЕРROM АТ28С64 приведена на рис. 3.5.6.2.
65
Таблиця 3.5.6.2
Тривалість
Обсяг Час циклу
Тип Ємність, I AC , ISB ,
Організація сторінки, вибірки, запису байту
приладу біт мА мА
байт нс {сторінки},
мкс
AT28C16-T 16 К 2К x 8 1 30 0,1
AT28C64 120 1
64 К 8К x 8 30 0,1
AT28C64E 0.2
AT28C256F 256 К 32К x 8 64 {3} 50 0,2
AT28C010
1М 128К x 8 128 150 {10} 40 0,2
AT28C010E
AT28C040 4М 512К x 8 256 280 {10} 80 0,3
D
2 BT 18
A1 B1
3 17
A2 B2
4 16
A3 B3
5 15
A4 B4
6 14
A5 B5
7 13
A6 B6
8 12
A7 B7
9 11
A8 B8
1
DIR
19
G
Логічний елемент І
І (англ. AND) — логічний вентиль, який реалізує операцію кон'юнкція.
Активний сигнал («логічна 1», «істина») на виході цього вентиля присутній
тоді, коли на обох його входах присутній активний сигнал. Якщо ж на хоча б
одному із входів сигнал пасивний («логічний 0», «хиба»), на виході також буде
пасивний сигнал.
71
2
& 3
DD26-4
CD4011B
12
13
& 11
DD42-1
CD4073
1
2
8
& 9
1
& 12
2
13
3
& 6
4
9
& 8
10
11
DD49-2
SN74LS10
3
4
5
& 6
DD47-2
DM74LS32
4
5
1 6
DD32-1
SN74LS02
2
3
1 1
DD20-3
CD4075
11
12
13
1 10
Інвертор
Логічний елемент, який реалізує функцію інверсії. Мнемонічне правило
для НЕ звучить так: На виході буде: Високий рівень тоді і лише тоді, коли на
вході низький рівень, інакше на виході діє низький рівень.
Мікросхема SN7404N - містить в собі 6 незалежних елементів НЕ.
1 1 2
D0.2
3 1 4
D0.3
5 1 6
D0.4
9 1 8
D0.5
11 1 10
D0.6
13 1 12
4. ПРИКЛАДИ МОДУЛЮВАННЯ.
4.1. Курсове проектування в программному середовище
MULTISIM
4.1.1. Мікропрограма роботи МПА.
Згідно індивідуального завдання робоча формула має вигляд:
𝑌𝑖 = (7 ∗ 𝑋𝑖 − 𝑋𝑖+1 )/8; N = 11;
A0 – A16 – стани мікропрограммного автомату.
Стан Дія, що виконується Наступний стан
Ввід X[i]
Запис в ОЗП Читання з ОЗП X[I + 1]
Скидання
лічильника Зсув вправо temp
i=0
X[i] = temp
Завантаження
з ОЗП X[i] у
регістр
Запис X[i] в
ОЗП
Так
i = 11
Ні i = i +1
Так
2 1 3 Кінець
77
Вхід
A0 A1
A2
A3 Вихід
A16 A4
A15 A5
A14 A6
A13 A7
A12 A8
A11 A9
A10
Додатки
Структурна схема
12
3 Лічильник 4 8 12 Регістр 8
ОЗП АЛП
адреси зсуву
2 5 4
2 Лічильник 4 16 16
ПЗП Регістр
команд
Н.контр.
Затвердив
79
Функціональна схема
D8 D9
BT
D7 RG
D5 8 A1 ALU S1
8 8 A QA
8 8 A1 B1
8
RAM D6 ... ... ... ... ...
BT A8 S8 H QH A8 B8
D4
A CT QA B1 A1 B1
0
4 ... ...
4 4 A0 I/O 0 8 8 ...
8 8 ... 0 1
... ... L DIR
D QD B8 A8 B8 0 G2
A3 I/O 7 R G
1 S0
V S0
CLR C1 S1
R S1
...
DIR
UP C4 CLRR
Up G R
DOWN WE 21 DIR S CLK
Down WE C
OE 20
OE G CN
0 18
CS M
S
0
D10
BT
B1 A1
8 ...
8
B8 A8
DIR
G
1
G1
CLK
S 2
D1 1 S1
R0M G 1
D3 1 WE
REG
D2 G1 1
CT 1 OE
0
4 A
...
QA
...
4 4 A0 O0 13 13 Q1
...
D1
...
13
... ...
D QD O12 Q13 D13 1
A3 CLRR
1 G2 1
V
CLR
R 2 UP
OC
OC
UP CLK
Up Clk
1 DIR 1
Down 1 DOWN
1
PG
0
OE
0
CE 1
S0
2 CLR
Н.контр.
Затвердив
80
Принципова схема
X2
X2.1 B1 B1
X2.2 B2 B2
X2.3 B3 B3
X2.4 B4 B4
X2.5 B5 B5
X2.6 B6 B6
X2.7 B7 B7
X2.8 B8 B8
X2.9 Синхронізація CLK
X2.10 F= 100 Гц Gnd
Const1 D11 D14 D16
R1 2 9 3 4 2 BT 18 R1
A1 ALU S1 A RG QA A1 B1
Ground D5 D6 R2 23
A2 S2
10 5
B QB
6 3
A2 B2
17 R2
Лог. 1 Const1
D1 D3 A1
A2
8
7
A0 RAM I/O 0 9
10
18
17
B1 BT A1
2
3
B1
B2
R3
R4
21
19
A3 S3
11
13
7
9
C QC
8
10
4
5
A3 B3
16
15
R3
R4
ADDR1 11 A1 A4 S4 D QD A4 B4
A0 R0M O0 13 2
Q1 REG D1
3 S
A3 6
I/O 1
11 16
B2 A2
4 B3 15
E QE
14 6
A5 B5
14 R5
ADDR2 10 A1 14 5 4 G A2 I/O 2 B3 A3 B1 1 16 17 16 7 13 R6
O1 Q2 D2 A4 5 13 15 5 B4 B1 CN4 F QF A6 B6
ADDR3 9 15 6 7 G1 A3 I/O 3 B4 A4 B2 22 14 19 18 8 12 R7
A2 O2 Q3 D3 Ground 4 14 14 6 B5 B2 A=B G QG A7 B7
ADDR4 8 18 9 8 G2 A4 I/O 4 B5 A5 B3 20 21 20 9 11 R8
A3 O3 Q4 D4 Ground 3 15 13 7 B6 B3 H QH A8 B8
Ground 7 19 12 13 DIR A5 I/O 5 B6 A6 B4 18 15
A4 O4 Q5 D5 Ground 2 16 12 8 B7 B4 P
Ground 6 20 15 14 A6 I/O 6 B7 A7 17 22 1
A5 O5 Q6 D6 Ground 1 17 11 9 B8 G L DIR
Ground 5
Ground 4
A6 O6
21
22
16
19
Q7 D7
17
18
S0
S1
Ground 22
A7 I/O 7 B8 A8 S 6
C1
2
R
19
G X3
A7 A8 -S 5 R1 Q1 X3.1
O7 Q8 D8 Ground 10 1 DIR C2
Ground 29 A9 DIR -S 4 1 R2 Q2 X3.2
A8 Ground 19 19 G C3 S0
Ground 28 1 A10 G S 3 23 R3 Q3 X3.3
A9 OC C4 S1
Ground 24 11 R4 Q4 X3.4
A10 Clk WE 21
Ground 27
Ground 3 A11 OE 20
WE
OE
D7 S 7
CN
13
R R5 Q5 X3.5
Ground 18 18 2 R1 Ground 8 11 R6 Q6 X3.6
A12 B1 BT A1 M C
CS R7 Q7 X3.7
CLK 17 3 R2
Const1 31 B2 A2
Ground 25 PG 16 4 R3 R8 Q8 X3.8
Ground B3 A3
OE 15 5 R4 X3.9
Ground 23 B4 A4
CE 14 6 R5
B5 A5
13
B6 A6
7 R6 D12
D2 D4 12
B7 A7
8 R7
R5 2 9
ADDR1 11
11 9 R8 A1 ALU S1
A0 R0M O0 13 2
Q1 REG D1
3 WE B8 A8 R6 23
A2 S2
10
ADDR2 10 14 5 4 OE R7 21 11
ADDR3 9
A1
A2
O1
O2
15 6
Q2
Q3
D2
D3
7 CLRREG D9 DIR
1 Const1
19 G1 R8 19
A3
A4
S3
S4
13
ADDR4 8 18 9 8 Gnd15 3 A1 G
A3 O3 Q4 D4
Ground 7
A4 O4
19 12
Q5 D5
13 UP Gnd1 A CT QA
2 A2 B5 1 16
Ground 6 20 15 14 DOWN Gnd10 B QB B1 CN4
A5 O5 Q6 D6 6 A3 B6 22 14
Ground 5 21 16 17 C QC B2 A=B
A6 CLR Gnd 9 7 A4 B7 20
O6 Q7 D7 D QD B3
Ground 4 22 19 18 B8 18 15
A7 O7 Q8 D8 B4 P
Ground 29 Count1
11 13 17
A8 V BO G
Ground 28 1 CLK 14 12 S 6
A9 OC R CO C1
Ground 24
Ground 27
A10
11
Clk
UP 5
D18.1 -S
-S
5
4
C2
Ground 3 A11 Up C3
A12 CLK DOWN
4 Down S 1 1 2 -S
S 3
C4
Const1 31 Ground 7
Ground 25 PG Ground 8
CN
OE M
Ground 23
CE
ADDR3 13
X1 R1
X1.1 +5В Лог. 1
+5В
+
С1 С2,...,С10
КР: «Спеціалізований обчислювач»
Зм Арк докум. Підпис Дата
Розроб. Літера Аркуш Аркушів
X1.2 Перевір. Лр 1 1
Корпус
Корпус Т.контр.
Н.контр.
Затвердив
81
Комбінаційна схема
83
Часова діаграма
84
Перелік елементів
Познач. Найменування Кл. Примітки
Резистори
R1 1206 (1кОм) 1
Конденсатори
Мікросхеми
Роз єми
В даному випадку:
А0-A28– стани мікро програмного автомату;
S0 – S18– дія, яка виконується при переході по мікропрограмі з одного стану в
інший;
C0 – C2 – умови переходу з одного стану в інший.
Початок 1
Reset регістрів
Завантажити в лІчильник
Завантаження в
i=7
лІчильник I = 7
Читання з ОЗП за
Запис Xi у RAM адресою і
Ні
НІ Якщо і>=15
Якщо I >= 15
ТАК
ТАК
Кінець
Завантаження в
лічильник i=7
Увімкнути формувач
вводу-виводу BD1: A->B
Запис Xi у RG2
Інкремент лічильника і
Декремент лічильника і
Обрахунок результату
Інкремент лічильника і
НІ
Якщо i=15
ТАК
1
88
S0: /load
S1: /WEnotRE, /EZA_2, WR_ACK
S2: WEnotRE, EZA_2, /WR_ACK
S3: INC
S4: /INC
S5: /load, EZA_2
S6: Load
S7: ER1
S8: /ER1,INC
S9: /DEC
S10: ER2
S11: /ER2
S12: DEC
S13: /INC,/WEnotRE, EZB_1
S14: INC,WEnotRE
S15: Load, rd_rdy, WEnotRE
S16: /EZB_2, WEnotRE
S17: /EZB_2, WEnotRE, INC
S18: /EZB_2, WEnotRE, /INC
C0: Wr_rdy
C1: Rd_ack
C2: P_15
Додатки
Структурна схема
12
3 Лічильник 4 8 12 Регістр 8
ОЗП АЛП
адреси зсуву
2 5 4
2 Лічильник 4 16 16
ПЗП Регістр
команд
Н.контр.
Затвердив
91
Принципова схема
X1
1 INIT0
2 INIT1
D1
3 INIT2
INIT3
D2 D3
4
INIT4 15 3 8 9 2 18 DATA0
5 A QA A0 I/O0 A1 B1
6 INIT5 1 B QB
2 7 A1 I/O1 10 3 A2 17 DATA1
INIT6 B2
7
INIT7 10 C QC
6 6 A2 I/O2 11 4 A3 B3
16 DATA2
8
9
CLR 9 D QD
7 5 A3 I/O3 13 5 A4 B4
15 DATA3
10
LOAD
4 A4 I/O4 14 6 A5 B5
14 DATA4
COUNT_DWN LOAD 11 L
11
COUNT_UP BO 13 3 A5 I/O5 15 7 A6 B6
13 DATA5
12
G CLR 14 C CO 12 2 16 8 12 DATA6
13
DIR A6 A8 I/O6 A7 B7
14
OE
COUNT_UP 5 1 A7 A9 I/O7 17 9 A8 B8
11 DATA7
15 U
16
WE COUNT_DOWN 4 23 A8 A10
RG_CLR D 1 DIR
17 74LS193N 22 A9
RG_CLK 19 ~G
18
RG_0 19 A10
19 74ALS245AN
RG_1
20
P WE 21
WE
21
26 GND OE 20
OE
18
CS HM6116A120 D4 B0
2 A1 18
GND
B1 B1
3 A2 17
B2
GND 4 A3 16 B2
B3
5 A4 B4
15 B3
6 A5 14 B4
B5
D10.1 7 A6 13 B5
B6
B3 1
=1
8 A7 B7
12 B6
3 9 A8 11 B7
B8
P 2 D9.1 1 DIR
SN7486N
D5 D7 19 ~G
INIT0 2 18 DATA0 D10.2 R3 1 15 SN7404N
74ALS245AN
A1 B1 B1 B1
INIT1 3 A2 17 DATA1 4 R2 2 2
B2 =1 B2 B2
INIT2 4 A3 16 DATA2 6 R1 8
B3 B3
6
B3
INIT3 5 A4 B4
15 DATA3 B2 5 R0 10
B4 B4
9
INIT4 6 A5 14 DATA4
B5 SN7486N 16
INIT5 7 A6 13 DATA5 B1
C4 14
B6 4
INIT6 8 A7 12 DATA6 D10.3 B2
B7 7
BUS1
INIT7 9 A8 B8
11 DATA7 8 B3
=1 11 B4
10 13
1 DIR
G 19 ~G B1 9 C0 SN74LS83N
SN7486N D12 D6 D13 X2
74ALS245AN 3 4 R0 R0 2 18 2 1D 1Q
2 1
A B0 A1 B1
5 6 R1 R1 3 17 4 2D 5 2
D10.4 B B1 A2 B2 7
2Q
6
B0 11 7 C B2 8 R2 R2 4 A3 16 3D 3Q 3
=1 B3 8 9
13
9
D B3 10 R3 R3 5 A4 15 4D 4Q 4
R4 B4 13 12
15 E B5 14 R4 6 A5 14 5D 5Q 5
12 B5 14 15
17 F B6 16 R5 R5 7 A6 13 6D 6Q 6
SN7486N B6 17 16
19 G B7 18 R6 R6 8 A7 12 7D 7Q 7
B7 18 19
21 20 R7 R7 9 11 8D 8
D11.1 D8 H B8
DIR
A8 B8 8Q
B4 1
G
1 22 1 DIR 9 R1
=1 R7 1
B1 B1
15 L 11
~OS 1 КОм
3 R6 2 2 2 19 ~G CLK Лог. 1
B2 B2 R D9.2
2 R5 8
B3 B3
6
RG_0 1 S0 S0 74ALS245AN 74LS374N
GND
1 +5В
SN7486N R4 10
B4 B4
9 RG_1 23 S1 S1 SN7404N
+5В
D11.2 16
GND
+
B5 4 4
B1
C4 14
RG_CLR 13 CLR
CLR CLK X3 С1 С2,...,С10
0.1 мкФ
=1 B2 RG_CLK 11 CLK 74198N К10-17 4.7 мкФх15 В
6 7 B3
5 11 B4 2 Корпус
Корпус
SN7486N 13 C0 SN74LS83N
D11.3
B6 8
=1
10
9
SN7486N
D11.4
B7 11 =1 Міністерство освіти і науки України
13
12
SN7486N
Схема у Active-HDL
93
Модель VHDL
94
VHDL-код:
counter.vhd
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity COUNTER_4B is
port(
CLR : in std_logic;
LOAD : in std_logic;
ENP : in std_logic;
ENT : in std_logic;
CLK : in std_logic;
D : in std_logic_vector(3 downto 0);
RCO : out std_logic;
Q : out std_logic_vector(3 downto 0));
end COUNTER_4B;
end COUNTER_4B;
ram.vhd
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
99
entity RAM_2Kx8 is
port(
A : in std_logic_vector (10 downto 0);
I_O : inout std_logic_vector (7 downto 0);
CS : in std_logic;
OE : in std_logic;
WE : in std_logic
);
end RAM_2Kx8;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity RG_8 is
port(
MR : in std_logic; --reset
CLK : in std_logic; --clock
SEL : in std_logic; --load
D : in std_logic_vector (7 downto 0); --Input
Q : out std_logic_vector (7 downto 0) ); --Output
end RG_8;
end if;
end process;
-- process (clk)
-- begin
-- Q <=val;
-- end process;
end RG_8;
full addr.vhd
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity RG_8 is
port(
MR : in std_logic; --reset
CLK : in std_logic; --clock
SEL : in std_logic; --load
D : in std_logic_vector (7 downto 0); --Input
Q : out std_logic_vector (7 downto 0) ); --Output
end RG_8;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity BT_8 is
port(
A : inout std_logic_vector (7 downto 0):="ZZZZZZZZ";
B : inout std_logic_vector (7 downto 0);
OE : in std_logic:='1';
101
DIR : in std_logic );
end BT_8;
eprom.vhd
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity EPROM_64Kx16b is
port(
A : in std_logic_vector (15 downto 0);
O : out std_logic_vector (0 to 15);
CS : in std_logic;
OE : in std_logic );
end EPROM_64Kx16b;
O <=
"0011011111110000" when A(3 downto 0) = "0000" and A(4)='1' else
"0010011111110001" when A(3 downto 0) = "0000" and A(4)='0' else
"0011011111110001" when A(3 downto 0) = "0001" and A(4)='1' else
"0001011011110010" when A(3 downto 0) = "0001" and A(4)='0' else
"0001001011110011" when A(3 downto 0) = "0010" else
"0001011011110100" when A(3 downto 0) = "0011" else
"0011111111110101" when A(3 downto 0) = "0100" else
"0011011111110001" when A(3 downto 0) = "0101" and A(6)='0'else
"0010011111110110" when A(3 downto 0) = "0101" and A(6)='1'else
"0011010111010111" when A(3 downto 0) = "0110" else
102
invertor.vhdl
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity Inv_9 is
port(
X : in std_logic_vector (7 downto 2); --Input
Y : out std_logic_vector (7 downto 2) ); --Output
end Inv_9;
top_scheme.vhdl
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity top_design is
port(
CLK : in STD_LOGIC;
RA : in STD_LOGIC;
WR : in STD_LOGIC;
RR : out STD_LOGIC;
WA : out STD_LOGIC;
DATA_BUS : inout STD_LOGIC_VECTOR (7 downto 0) );
103
port (
CLK : in STD_LOGIC;
CLR : in STD_LOGIC;
D : in STD_LOGIC_VECTOR(3 downto 0);
ENP : in STD_LOGIC;
ENT : in STD_LOGIC;
LOAD : in STD_LOGIC;
Q : out STD_LOGIC_VECTOR(3 downto 0);
RCO : out STD_LOGIC
);
end component;
------------------------------------
component FULL_ADDR_4
port (
A : in STD_LOGIC_VECTOR(3 downto 0);
B : in STD_LOGIC_VECTOR(3 downto 0);
Cin : in STD_LOGIC;
Cout : out STD_LOGIC;
S : out STD_LOGIC_VECTOR(3 downto 0)
);
end component;
------------------------------------
component Inv_9
port (
X : in STD_LOGIC_VECTOR(7 downto 2);
Y : out STD_LOGIC_VECTOR(7 downto 2)
);
end component;
------------------------------------
component RAM_2Kx8
port (
A : in STD_LOGIC_VECTOR(10 downto 0);
CS : in STD_LOGIC;
OE : in STD_LOGIC;
WE : in STD_LOGIC;
I_O : inout STD_LOGIC_VECTOR(7 downto 0)
);
end component;
------------------------------------
component RG_8
port (
CLK : in STD_LOGIC;
D : in STD_LOGIC_VECTOR(7 downto 0);
MR : in STD_LOGIC;
SEL : in STD_LOGIC;
Q : out STD_LOGIC_VECTOR(7 downto 0)
105
);
end component;
--***********************************************************************--
begin
--------------------------------------------------
-- process(clk)
-- begin
-- if not(start_reset = '1') then
-- cur_state_b<=(others =>'0');
-- start_reset <='1';
-- end if;
-- end process;
--------------------------------------------------
process (clk)
begin
inv_clk <=not clk;
end process;
--------------------------------------------------
process (cntrl_signals)
begin
WA<=cntrl_signals(8);
RR<=cntrl_signals(7);
end process;
--------------------------------------------------
process (RCO , RA , WR , cntrl_signals (3 downto 0))
begin
rg_input <= ('0' & RCO & RA & WR & cntrl_signals (3 downto 0));
end process;
DD1_st_reg : rg_8
port map(
CLK => CLK,
D => rg_input,
MR => GND,
SEL => GND,
Q => cur_state_b (7 downto 0));
--------------------------------------------------
DD2_eprom : EPROM_64Kx16b
port map(
A => cur_state_b,
O => cntrl_signals,
cs => gnd,
oe => gnd);
--------------------------------------------------
106
DD3_adr_counter : COUNTER_4B
port map(
CLK => cntrl_signals(11),
CLR => VCC,
D => "0011",
ENP => VCC,
ENT => VCC,
LOAD => cntrl_signals(12),
Q => sram_adr_b(3 downto 0),
RCO => RCO);
--------------------------------------------------
DD4_sram : RAM_2Kx8
port map(
A => sram_adr_b,
CS => GND,
OE => cntrl_signals(9),
WE => cntrl_signals(10),
I_O => sram_b);
--------------------------------------------------
DD5_bt_inp : BT_8
port map(
DIR => GND,
OE => cntrl_signals(13),
A => data_bus,
B => sram_b);
--------------------------------------------------
DD6_Xi1_reg : RG_8
port map(
CLK => inv_clk,
D => sram_b,
MR => GND,
SEL => cntrl_signals(5),
Q => xi1_b);
--------------------------------------------------
DD9_Xi_reg : RG_8
port map(
CLK => inv_clk,
D => xi1_b,
MR => GND,
SEL => cntrl_signals(6),
Q => xi_rg_b);
--------------------------------------------------
DD7_Xi_addL : FULL_ADDR_4
port map(
A => xi_rg_b(6 downto 3),
B => xi_rg_b(4 downto 1),
107
--------------------------------------------------
DD13_bt_out : BT_8
port map(
DIR => GND,
OE => cntrl_signals(4),
A => result_b,
B => data_bus);
--------------------------------------------------
end architecture top_design;
test.vhdl
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
use IEEE.NUMERIC_STD.all;
entity testbench is
end entity testbench;
begin
109
process
begin
wait for 10 ns;
clk<=not clk;
end process;
comp_syst : top_design
port map(
CLK=> CLK,
RR=>RR,
RA=>RA,
WR=>WR,
WA=>WA,
DATA_BUS=>IO);
process
begin
if count1 = 0 then
wait for 100ns;
end if;
wait for 100ns;
if(count1<12) then
WR <= '0';
wait on wa;
if wa = '0' then
io <= data - count1*3;
tmp(count1) <= to_integer(unsigned(data - count1*3));
count1<=count1 +1;
wait on wa;
if wa='1' then
io <=(others=>'Z');
wr <='1';
end if;
end if;
end if;
if(count1 = 12 and count2 <11) then
ra<='1';
count2<=count2+1;
end if;
end if;
end process;
end testbench;
111
𝑌𝑖 = (𝑋𝑖 − 5𝑋𝑖+1)/8
N=12
В даному випадку
A0 – A39 – стани мікропрограмного автомата
Якщо наступний стан без вказаного рівня сигналу ENTER, то перехід
відбувається при його рівні в лог. 1;
Стан Дія, що виконується Наступний стан
CNT,RAM_UP,RAM_DN,RAM_CLR,WE,RE,ALU_IN,
A0 A1
ALU_OUT,MSK
В A2 коли подали
A1 RAM_UP,RAM_DN,WE,RE,ALU_IN,ALU_OUT,MSK
сигнал ENTER в лог. 0
A2 CNT,RAM_UP,RAM_DN,RE,ALU_IN,ALU_OUT,MSK A3
CNT,RAM_UP,RAM_DN,WE,RE,ALU_IN,ALU_OUT,
A3 A4
MSK
CNT,RAM_UP,RAM_DN,WE,RE,ALU_IN,ALU_OUT,
A4 A5
MSK
A5 CNT,RAM_DN,WE,RE,ALU_IN,ALU_OUT,MSK A6
CNT,RAM_UP,RAM_DN,WE,RE,ALU_IN,ALU_OUT,
A6 A7
MSK
CNT,RAM_UP,RAM_DN,WE,RE,ALU_IN,ALU_OUT, Якщо лічильник = 12 в
A7
MSK A8, інакше в А1
RAM_UP,RAM_DN,RAM_CLR,MODE,S1,S3,WE, В A9 коли подали
A8
ALU_OUT,INPUT,MAIN_DR,MSK сигнал ENTER в лог. 0
CNT,RAM_UP,RAM_DN,MODE,S1,S3,WE,ALU_OUT,
A9 A10
INPUT,MAIN_DR,MSK
CNT,RAM_DN,MODE,S1,S3,WE,ALU_OUT,INPUT,
A10 A11
MAIN_DR,MSK
CNT,RAM_UP,RAM_DN,CN,S1,S2,WE,ALU_OUT,
A11 A12
INPUT,MAIN_DR,MSK
CNT,RAM_UP,RAM_DN,CN,S1,S2,WE,ALU_OUT,
A12 A13
INPUT,MAIN_DR,MSK
CNT,RAM_UP,RAM_DN,CN,S1,S2,WE,ALU_OUT,
A13 A14
INPUT,MAIN_DR,MSK
112
CNT,RAM_UP,RAM_DN,CN,S1,S2,WE,ALU_OUT,
A14 A15
INPUT,MAIN_DR,MSK
CNT,RAM_UP,RAM_DN,CN,S1,S2,WE,ALU_OUT,
A15 A16
INPUT,MAIN_DR,MSK
CNT,RAM_UP,RAM_DN,MODE,S0,S2,S3,WE,
A16 A17
ALU_OUT,INPUT,MAIN_DR,MAIN_G,MSK1
CNT,RAM_UP,RAM_DN,SHIFT,S2,S3,WE,ALU_OUT,
A17 A18
INPUT,MAIN_DR,MSK
CNT,RAM_UP,RAM_DN,SHIFT,S2,S3,WE,ALU_OUT,
A18 A19
INPUT,MAIN_DR,MSK
CNT,RAM_UP,RAM_DN,SHIFT,S2,S3,WE,ALU_OUT,
A19 A20
INPUT,MAIN_DR,MSK
CNT,RAM_UP,RAM_DN,SHIFT,S2,S3,WE,ALU_OUT,
A20 A21
INPUT,MAIN_DR,MSK
CNT,RAM_UP,RAM_DN,SHIFT,S2,S3,WE,ALU_OUT,
A21 A22
INPUT,MAIN_DR,MSK
CNT,RAM_UP,RAM_DN,MODE,S0,S1,S3,WE,
A22 A23
ALU_OUT,INPUT,MAIN_DR,MAIN_G,MSK2
CNT,RAM_UP,RAM_DN,S0,S1,S2,S3,WE,ALU_OUT,
A23 A24
INPUT,MAIN_DR,SIGN_DIV,MSK
CNT,RAM_UP,MODE,S0,S1,S2,S3,WE,ALU_OUT,
A24 A25
INPUT,MAIN_DR,MSK
CNT,RAM_UP,RAM_DN,MODE,S0,S1,S2,S3,WE,
A25 A26
ALU_OUT,INPUT,MAIN_DR,MSK
CNT,RAM_UP,RAM_DN,MODE,S0,S1,S2,S3,WE,RE,
A26 A27
ALU_IN,INPUT,MSK
CNT,RAM_UP,RAM_DN,MODE,S0,S1,S2,S3,RE,
A27 A28
ALU_IN,INPUT,MSK
CNT,RAM_UP,RAM_DN,MODE,S0,S1,S2,S3,WE,RE,
A28 A29
ALU_IN,INPUT,MSK
CNT,RAM_UP,RAM_DN,MODE,S0,S1,S2,S3,WE,RE,
A29 A30
ALU_IN,INPUT,MAIN_DR,MSK
CNT,RAM_DN,MODE,S0,S1,S2,S3,WE,ALU_OUT,
A30 A31
INPUT,MAIN_DR,MSK
CNT,RAM_UP,RAM_DN,MODE,S0,S1,S2,S3,WE,
A31 A32
ALU_OUT,INPUT,MAIN_DR,MSK
CNT,RAM_UP,RAM_DN,MODE,S0,S1,S2,S3,WE, Якщо лічильник = 12 в
A32
ALU_OUT,INPUT,MAIN_DR,MSK A33, інакше в А9
CNT,RAM_UP,RAM_DN,RAM_CLR,MODE,S0,S1,S2,
A33 A34
S3,WE,ALU_OUT,INPUT,MAIN_DR,MSK
CNT,RAM_UP,RAM_DN,MODE,S1,S3,WE,ALU_OUT,
A34 A35
INPUT,MAIN_DR,MSK
RAM_UP,RAM_DN,MODE,S1,S3,WE,ALU_OUT, В A36 коли подали
A35
INPUT,MAIN_DR,OUT_SIGNAL,MSK сигнал ENTER в лог. 0
113
CNT,RAM_UP,RAM_DN,MODE,S1,S3,WE,ALU_OUT,
A36 A37
INPUT,MAIN_DR,MSK
CNT,RAM_DN,MODE,S1,S3,WE,ALU_OUT,INPUT,
A37 MAIN_DR,MSK A38
Додатки
Структурна схема
117
Функцональна схема
118
Принципована схема
119
Комбінаційна схема
A B C D E F G H I J
U38
U25 3 2 REZ7
ROM RES0
2
A0 F0
9 4
D0
D1
Q0
Q1
5
REZ6
U1 23 10 7 6
RES1 A1 F1 D2 Q2 REZ5
8 9 21 11 8 9
ROM_A0 A0 D0 CNT RES2 A2 F2 D3 Q3 REZ4
7 10 19 13 13 12
0 ROM_A1 A1 D1 RESET U23 RES3 A3 F3 D4 Q4 REZ3 0
6 11 14 15
ROM_A2 A2 D2 RAM_UP D5 Q5 REZ2
5 13 2 3 1 14 17 16
ROM_A3 A3 D3 RAM_DN ALU_IN0 A1 Y1 B0 A=B D6 Q6 REZ1
4 14 4 5 22 16 18 19
ROM_A4 A4 D4 RAM_CLR ALU_IN1 A2 Y2 B1 CN+4 ALU1_OF D7 Q7 REZ0
3 15 6 7 20 17
ROM_A5 A5 D5 SHIFT ALU_IN2 A3 Y3 B2 G
2 16 10 9 18 15 1
ROM_A6 A6 D6 CN ALU_IN3 A4 Y4 B3 P GND OE
1 17 12 11 11
ROM_A7 A7 D7 MODE A5 Y5 OUT_SIGNAL CLK
23 14 13 7
GND A8 A6 Y6 ALU1_CN CN U7 RN7 U8 RN3
22 74LS374
GND A9
19 1 6 8 9 1 16 2 18 16 1
GND A10 GND OE1 ALU_S0 S0 RAM_A0 A0 D0 A0 B0 P0
21 15 5 7 10 2 15 3 17 15 2
GND A11 GND OE2 ALU_S1 S1 RAM_A1 A1 D1 A1 B1 P1
4 6 11 3 14 4 16 14 3
ALU_S2 S2 RAM_A2 A2 D2 A2 B2 P2
18 74366 3 5 13 4 13 5 15 13 4
GND CE ALU_S3 S3 U27 RAM_A3 A3 D3 A3 B3 P3
20 8 4 14 5 12 6 14 12 5
GND OE/VPP MODE M GND A4 D4 A4 B4 P4
3 2 3 15 6 11 7 13 11 6
D0 Q0 RES0 GND A5 D5 A5 B5 P5
2732 74LS181 4 5 2 16 7 10 8 12 10 7
1 D1 Q1 RES1 GND A6 D6 A6 B6 P6 1
7 6 1 17 8 9 9 11 9 8
U2 U26 D2 Q2 RES2 GND A7 D7 A7 B7 P7
8 9 23
D3 Q3 RES3 GND A8
8 9 2 9 13 12 22 470 19 470
ROM_A0 A0 D0 ALU_S0 RES4 A0 F0 D4 Q4 RES4 GND A9 MAIN_G CE
7 10 23 10 14 15 19 1
ROM_A1 A1 D1 ALU_S1 RES5 A1 F1 D5 Q5 RES5 GND A10 MAIN_DIR AB/BA
6 11 21 11 17 16
ROM_A2 A2 D2 ALU_S2 RES6 A2 F2 D6 Q6 RES6
5 13 19 13 18 19 18 74LS245
ROM_A3 A3 D3 ALU_S3 U24 RES7 A3 F3 D7 Q7 RES7 GND CE
4 14 U14:D 20
ROM_A4 A4 D4 WE RE OE
3 15 2 3 1 14 1 21
ROM_A5 A5 D5 RE ALU_IN4 A1 Y1 B0 A=B ALU2_OF GND OE WE WE
2 16 4 5 22 16 13 12 11 ENTER NUMBER
ROM_A6 A6 D6 ALU_IN ALU_IN5 A2 Y2 B1 CN+4 CLK
1 17 6 7 20 17 6116
ROM_A7 A7 D7 ALU_OUT ALU_IN6 A3 Y3 B2 G
23 10 9 18 15 74LS374
GND A8 ALU_IN7 A4 Y4 B3 P 7404 U37 U36 U9 RN2
22 12 11
GND A9 A5 Y5
19 14 13 7 15 3 8 9 2 18 1 16
CLK
GND A10 A6 Y6 ALU1_OF CN GND D0 Q0 A0 D0 A0 B0
21 1 2 7 10 3 17 2 15
2
GND
GND
18
A11
CE
GND
GND
1
15
OE1
OE2
ALU_S0
ALU_S1
6
5
S0
S1
GND
GND
GND
10
9
D1
D2
D3
Q1
Q2
Q3
6
7
6
5
A1
A2
A3
D1
D2
D3
11
13
4
5
A1
A2
A3
B1
B2
B3
16
15
3
4
14
13
2 Y[i] = (X[i] - 5 * X[i+1])/8
20 4 4 14 6 14 5 12
GND OE/VPP ALU_S2 S2 GND A4 D4 A4 B4
74366 3 5 12 3 15 7 13 6 11
ALU_S3 S3 UP TCU GND A5 D5 A5 B5
2732 8 4 13 2 16 8 12 7 10
MODE M CONST DN TCD GND A6 D6 A6 B6
11 1 17 9 11 8 9
U3 CONST PL GND A7 D7 A7 B7 +5V +5V
74LS181 14 23
ROM_A0
8
A0 D0
9
INPUT
ROM_RST MR GND
GND
22
A8
A9 INPUT
19
CE
470 PORT IN/OUT
7 10 U12:C 74193 19 1
ROM_A1 A1 D1 MAIN_DIR GND A10 CONST AB/BA
6 11 9 21
ROM_A2 A2 D2 MAIN_G SIGN_DIV GND A11
5 13 8 74LS245 ALU_IN
ROM_A3 A3 D3 SIGN_DIV
ROM_A4
4
A4 D4
14
OUT_SIGNAL ALU_OUT7
10
GND
18
CE U15 RN4 R7 R8
3 15 20 300 300
ROM_A5 A5 D5 MSK GND OE/VPP
2 16 7408 2 18 1 16
ROM_A6 A6 D6 MSK1 ALU_IN0 A0 B0
1 17 2732 3 17 2 15
3 ROM_A7
23
A7 D7 MSK2 U12:B U28:A ALU_IN1
4
A1 B1
16 3 14 3
GND A8 ALU_IN2 A2 B2
22 4 1 5 15 4 13
GND A9 ALU2_OF ALU_IN3 A3 B3
GND
19
A10
6 2 9
ALU1_CN ALU_IN4
6
A4 B4
14 5 12 D1 D2
21 5 8 7 13 6 11 WAIT STATUS RAM UP COUNT
GND A11 SHIFT CN U21 ALU_IN5 A5 B5
8 12 7 10
ALU_IN6 A6 B6
18 7408 4075 2 3 9 11 8 9
GND CE RES0 A1 Y1 ALU_OUT0 ALU_IN7 A7 B7
20 4 5
GND OE/VPP RES1 A2 Y2 ALU_OUT1
6 7 19 470
RES2 A3 Y3 ALU_OUT2 ALU_IN CE
2732 10 9 1
CNT
P7
P6
P5
P4
P3
P2
P1
P0
RAM_UP
RES3 A4 Y4 ALU_OUT3 GND AB/BA
12 11
OUT_SIGNAL
A5 Y5
14 13 74LS245 ALU_OUT
OUTPUT LOOP A6 Y6
U13:C 1 U16 RN5
GND OE1
11 15 2 18 1 16
ROM_A5 U39:B GND OE2 ALU_OUT0 A0 B0
RAM ADDR RAM OUT
10
4 12 10 3 17 2 15 4
9
ROM_A2 U6:D ALU_OUT1 A1 B1
13 74366 4 16 3 14
ROM_A1 ALU_OUT2 A2 B2
12 5 15 4 13
Q
ALU_OUT3 A3 B3
4073 11 6 14 5 12
LOAD_3 U22 ALU_OUT4 A4 B4
13 11 15 7 13 6 11
S R ALU_OUT5 A5 B5
2 3 8 12 7 10
RES4 A1 Y1 ALU_OUT4 ALU_OUT6 A6 B6
CLK
4011
RES5
4
A2 Y2
5
ALU_OUT5 ALU_OUT7
9
A7 B7
11 8 9 D3
K
J
6 7 OUT_READY
U11:C RES6 A3 Y3 ALU_OUT6
10 9 19 470
RES7 A4 Y4 ALU_OUT7 ALU_OUT CE
14
12
13
9 74LS109 12 11 1
LOAD1_BIT A5 Y5 CONST AB/BA
10
8 14 13
9
LOAD_BIT1 A6 Y6
10 74LS245 MASK R12
LOAD2_BIT
U5:C 1
CLK
GND OE1 100
7432 7408 15 U17 RN6
U39:A GND OE2
2 18 1 16
7
MSK1 A0 B0 5
5 74366
MSK1
3
A1 B1
17 2 15
RAM_A3
RAM_A2
RAM_A1
RAM_A0
REZ7
REZ6
REZ5
REZ4
REZ3
REZ2
REZ1
REZ0
4 16 3 14
Q
U12:D MSK1 A2 B2
5 15 4 13
U35 U34 GND A3 B3
1 5 1 12 6 14 5 12
U13:B ROM_A5 S R MSK2 GND A4 B4
8
2 3 2 11 7 13 6 11
ROM_A4 A1 Y1 A5 B5
CLK
3 4 5 3 U33:B 13 8 12 7 10
LOAD_3 ROM_A3 A2 Y2 ALU_OUT4 A6 B6
K
J
4 6 6 7 4 9 11 8 9
LOAD_2 ROM_LOAD ROM_A2 A3 Y3 A7 B7
5 10 9 8 3 4 7408
CONST
LOAD_1 ROM_A1 A4 Y4
3
12 11 5 74LS109 19 470
LOAD3_BIT
ROM_A0 A5 Y5 MSK CE
4073 14 13 6 1
A6 Y6 7404 +5V CONST AB/BA
11
CONST
1 12 74LS245
CLK
GND OE1 CONST
15 74S30
GND OE2
74366 CALCULATE LOOP ROM_ADDR
6 ROM COUNTER 6
U6:A R3
U29 1 10k
U10:A
OUT
3 14 3 2
LOAD_BIT1 D0 Q0 ROM_A0 LOAD_2
4 13 2 1
LOAD3_BIT D1 Q1 ROM_A1
5 12 ENTER 3
6
GND D2 Q2 ROM_A2 U11:A
6 11 4011
5
LOAD2_BIT D3 Q3 ROM_A3
RCO
15
ROM_AOF U33:C R5 74LS02 1
ROM_UP
7
ENP U5:B 7404 GND CNT
3
10 7408 470 2
ROM_UP ENT +5V U12:A
5
U14:E CLK 2
CLK
9 1 7432
ROM_LOAD LOAD
11 10 1 3
ROM_RST MR
2
7 74LS163 7
7404
6
ROM_A7
ROM_A6
ROM_A5
ROM_A4
ROM_A3
ROM_A2
ROM_A1
ROM_A0
U30 R6 7408
10k
3 14
GND D0 Q0 ROM_A4
LOAD1_BIT
4 13
LOAD2_BIT
74LS163 5 11
8 7404 RESET ROM_RST 8
INPUT LOOP+Loader U31:A 13
7408 7432
+5V
7432
U18
RAM COUNTER ROM_A7
2
A1 Y1
3 1 U19
+5V
4 5 2
ROM_A6 A2 Y2
U4 ROM_A5
6
A3 Y3
7 3 U14:C U32:A R2
1
ALU_OUT7
ALU_OUT6
ALU_OUT5
ALU_OUT4
ALU_OUT3
ALU_OUT2
ALU_OUT1
ALU_OUT0
LD CONST
RAM_UP
1
RAM_DN
1
U/D CONST
3
CLK DESIGN TITLE: Happy_end_2.pdsprj 06.06.2022
3 10 4 6
ENT RAM_A2 GND
RAM_DN
2 7
ENP RAM_A3
5 PATH: C:\Users\Max\Desktop\4 семестр\КС\Курсач\Programs\Happy_end_2.pdsprj
PAGE:
7408 74LS169 7410 BY: @AUTHOR
1 of 1
REV:@REV
BOARD: @BOARD TIME: 18:09:01
A B C D E F G H I J
120
Таблиця прошиття
Поточний стан Керуючі сигнали Наступний стан Опис дії
RA RA SIG
RA AL ALU MAI MAI OUT_
A A A A A A A A CN RS M M SHIF C MOD S S S S W R INPU N MS MS MS А А А А А А А А
M U _OU N N SIGN
7 6 5 4 3 2 1 0 T T _D _CL T N E 0 1 2 3 E E T _DI K K1 K2 7 6 5 4 3 2 1 0
_UP _IN T _DR _G AL
N R V
Підготов
0 0 0 0 0 0 0 0 0 1 0 1 1 1 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1
ка
1 0 0 0 0 0 0 0 1 0 0 1 1 0 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0
2 0 0 0 0 0 0 1 0 1 0 1 1 0 0 0 0 0 0 0 0 0 1 1 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 1
3 0 0 0 0 0 0 1 1 1 0 1 1 0 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0
4 0 0 0 0 0 1 0 0 1 0 1 1 0 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 1 Ввід X[i]
5 0 0 0 0 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 1 0 0 0 0 0 0 0 1 1 0
6 0 0 0 0 0 1 1 0 1 0 1 1 0 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 1 0 0 0 0 0 0 0 1 1 1
7 0 0 0 0 0 1 1 1 1 0 1 1 0 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0
8 0 0 0 0 1 0 0 0 0 0 1 1 1 0 0 1 0 1 0 1 1 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 1 i=0
9 0 0 0 0 1 0 0 1 1 0 1 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 0 0 0 1 0 0 0 0 0 0 1 0 1 0
Y[i] =
1
0 0 0 0 1 0 1 0 1 0 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 0 0 0 1 0 0 0 0 0 0 1 0 1 1 X[i]
0
1 Y[i] -=
0 0 0 0 1 0 1 1 1 0 1 1 0 0 1 0 0 1 1 0 1 0 0 1 1 1 0 0 0 1 0 0 0 0 0 0 1 1 0 0
1 X[i+1]
1 Y[i] -=
0 0 0 0 1 1 0 0 1 0 1 1 0 0 1 0 0 1 1 0 1 0 0 1 1 1 0 0 0 1 0 0 0 0 0 0 1 1 0 1
2 X[i+1]
1 Y[i] -=
0 0 0 0 1 1 0 1 1 0 1 1 0 0 1 0 0 1 1 0 1 0 0 1 1 1 0 0 0 1 0 0 0 0 0 0 1 1 1 0
3 X[i+1]
1 Y[i] -=
0 0 0 0 1 1 1 0 1 0 1 1 0 0 1 0 0 1 1 0 1 0 0 1 1 1 0 0 0 1 0 0 0 0 0 0 1 1 1 1
4 X[i+1]
1 Y[i] -=
0 0 0 0 1 1 1 1 1 0 1 1 0 0 1 0 0 1 1 0 1 0 0 1 1 1 0 0 0 1 0 0 0 0 0 1 0 0 0 0
5 X[i+1]
1
0 0 0 1 0 0 0 0 1 0 1 1 0 0 0 1 1 0 1 1 1 0 0 1 1 1 1 0 0 0 1 0 0 0 0 1 0 0 0 1 Y[i]&=/3
6
1
0 0 0 1 0 0 0 1 1 0 1 1 0 1 0 0 0 0 1 1 1 0 0 1 1 1 0 0 0 1 0 0 0 0 0 1 0 0 1 0
7
Циклічни
1
0 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 0 0 1 1 1 0 0 1 1 1 0 0 0 1 0 0 0 0 0 1 0 0 1 1 й здвиг
8
Y[i] вліво
1
0 0 0 1 0 0 1 1 1 0 1 1 0 1 0 0 0 0 1 1 1 0 0 1 1 1 0 0 0 1 0 0 0 0 0 1 0 1 0 0 на 5
9
позицій
2
0 0 0 1 0 1 0 0 1 0 1 1 0 1 0 0 0 0 1 1 1 0 0 1 1 1 0 0 0 1 0 0 0 0 0 1 0 1 0 1
0
121
2
0 0 0 1 0 1 0 1 1 0 1 1 0 1 0 0 0 0 1 1 1 0 0 1 1 1 0 0 0 1 0 0 0 0 0 1 0 1 1 0
1
2
0 0 0 1 0 1 1 0 1 0 1 1 0 0 0 1 1 1 0 1 1 0 0 1 1 1 1 0 0 0 0 1 0 0 0 1 0 1 1 1
2 Поверне
2 ння знаку
0 0 0 1 0 1 1 1 1 0 1 1 0 0 0 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 0 0 0 0 0 1 1 0 0 0
3 для
2 старших
0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 1 1 1 1 1 0 0 1 1 1 0 0 0 1 0 0 0 0 0 1 1 0 0 1
4 3-х
2 знаків
0 0 0 1 1 0 0 1 1 0 1 1 0 0 0 1 1 1 1 1 1 0 0 1 1 1 0 0 0 1 0 0 0 0 0 1 1 0 1 0
5
2
0 0 0 1 1 0 1 0 1 0 1 1 0 0 0 1 1 1 1 1 1 1 1 0 1 0 0 0 0 1 0 0 0 0 0 1 1 0 1 1
6
2 Запис
0 0 0 1 1 0 1 1 1 0 1 1 0 0 0 1 1 1 1 1 0 1 1 0 1 0 0 0 0 1 0 0 0 0 0 1 1 1 0 0
7 Y[i]
2
0 0 0 1 1 1 0 0 1 0 1 1 0 0 0 1 1 1 1 1 1 1 1 0 1 0 0 0 0 1 0 0 0 0 0 1 1 1 0 1
8
2
0 0 0 1 1 1 0 1 1 0 1 1 0 0 0 1 1 1 1 1 1 1 1 0 1 1 0 0 0 1 0 0 0 0 0 1 1 1 1 0
9
3
0 0 0 1 1 1 1 0 1 0 0 1 0 0 0 1 1 1 1 1 1 0 0 1 1 1 0 0 0 1 0 0 0 0 0 1 1 1 1 1
0
i=i+1
3
0 0 0 1 1 1 1 1 1 0 1 1 0 0 0 1 1 1 1 1 1 0 0 1 1 1 0 0 0 1 0 0 0 0 1 0 0 0 0 0
1
3
0 0 1 0 0 0 0 0 1 0 1 1 0 0 0 1 1 1 1 1 1 0 0 1 1 1 0 0 0 1 0 0 0 0 1 0 0 0 0 1
2
3
0 0 1 0 0 0 0 1 1 0 1 1 1 0 0 1 1 1 1 1 1 0 0 1 1 1 0 0 0 1 0 0 0 0 1 0 0 0 1 0 i=0
3
3 Вивід
0 0 1 0 0 0 1 0 0 0 1 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 0 0 0 1 0 0 0 0 1 0 0 0 1 1
4 Y[i]
3 Вивід
0 0 1 0 0 0 1 1 1 0 1 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 0 0 1 1 0 0 0 0 1 0 0 1 0 0
5 Y[i]
3 Вивід
0 0 1 0 0 1 0 0 1 0 1 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 0 0 0 1 0 0 0 0 1 0 0 1 0 1
6 Y[i]
3
0 0 1 0 0 1 0 1 1 0 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 0 0 0 1 0 0 0 0 1 0 0 1 1 0
7
i=i+1
3
0 0 1 0 0 1 1 0 1 0 1 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 0 0 0 1 0 0 0 0 1 0 0 1 1 1
8
3 Скид
0 0 1 0 0 1 1 1 1 1 1 1 0 0 0 1 1 1 1 1 1 0 1 1 1 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0
9 автомата
122
Часові діаграми
Діаграма вводу даних
Перелік елементів
DD9, DD15
DD18,DD24
DD30 74LS245 5
DD2, DD11 74LS181 2
DD49 SN74LS10 1
Інв. №
дубл.
DD28,DD44 3
DD10,DD47 DM74LS32 2
DD8,DD41 DM7404 2
Інв. № подл
Аркуш
№ n Формула № n Формула
ТЕРМІНОЛОГІЧНИЙ СЛОВНИК.
2D - структура ЗП з однокоординатною вибіркою слів шляхом порушення
лінії вибірки від дешифратора адреси.
2DM — структура ЗП (модифікація структури 2D), в якій слова
вибираються поетапно — спочатку вибираються "довгі" слова за допомогою
дешифрації однієї частини адреси, а потім слова потрібної розрядності за
допомогою дешифрації іншої частини адреси.
3D — структура ЗП з двокоординатною вибіркою елементів, що
запам'ятовують, на перетині двох ліній вибірки, що збуджуються виходами двох
дешифраторів адреси.
х86 - сімейство процесорів фірми Intel, сумісних за системою команд:
8086, 80186, 80286, 80386, 80486, Pentium-Pentium 4.
А
Автомат Милі — автомат із пам'яттю, вихідні сигнали якого залежать як
від стану, так і від вхідних сигналів.
Автомат Мура — це автомат із пам'яттю, вихідні сигнали якого залежать
тільки від стану автомата.
Адресація абсолютна - адресація, при якій осередку пам'яті або
зовнішньому пристрої відповідає одна-єдина адреса.
Адресація неабсолютна — адресація, коли комірці пам'яті чи
зовнішньому пристрою відповідає деяка зона адрес.
Адресне ЗП - ЗП, в якому доступ до одиниць зберігання інформації
здійснюється за їх адресою (розташування в пам'яті).
Адресний простір — це діапазон адрес, до яких може звертатися
процесор.
Аналогове моделювання — моделювання, що спирається на дійсні
значення параметрів і сигналів у пристроях і системах (струмів і напруг в
електронних схемах) на відміну від моделювання зі спрощеним дискретним
131
Б
Базовий матричний кристал (БМК) — напівзамовна БІС/НВІС, що
містить нескомутовані схемні елементи, основа для створення необхідного
пристрою шляхом реалізації міжз'єднань елементів методом масочного
програмування металізації.
Безканальний БМК - базовий матричний кристал, внутрішня область
якого суцільно заповнена базовими осередками і не містить вільних каналів,
заздалегідь відведених для трасування (цей тип БМК називають кристалами типу
"море вентилів" або "море транзисторів").
БМК блокової структури — базовий матричний кристал, що містить
спеціалізовані області (логічної обробки, пам'яті, реалізації окремих операцій і т.
п.).
Бібліотека функціональних осередків - сукупність функціональних
осередків, що використовуються при проектуванні на основі БМК, створюється
при його розробці.
В
Вектор переривання — відомості про місцезнаходження в пам'яті
підпрограми обслуговування цього переривання, що надсилаються в процесор
джерелом запиту переривання або контролером переривань.
Векторне переривання — переривання, обслуговування якого потрібно
передати в процесор вектор переривання.
132
Г
Гарвардська архітектура процесора - архітектура з роздільною
пам'яттю програм та даних.
Д
Двійковий дешифратор - пристрій, що перетворює двійковий код код "1
з N".
Двійковий лічильник — лічильник, модуль рахунку якого дорівнює
цілого ступеня числа 2, а стани кодуються двійковими числами.
Двонаправлений висновок — висновок, який залежно від
програмування може бути використаний як вхід або вихід мікросхеми.
Двопортове ЗУ - ЗУ, в якому можливі одночасне читання за однією
адресою і запис за іншою.
Декомпозиція - процедура зведення завдання верхнього рівня ієрархії до
групи найпростіших завдань нижчого рівня.
Демультиплексор - пристрій, що передає вхідну величину в один із
декількох вихідних каналів залежно від вхідного коду, що адресує.
Динамічна реконфігурація (Run-Time Reconfiguration) — швидка
133
Е
Еквівалентний вентиль - група схемних елементів, що відповідає
можливості реалізації на ній функції вентиля (найчастіше 2І-НЕ, 2АБО-НЕ)
І
Інформаційна ємність ЗУ — максимальний об'єм ЗУ інформації, що
зберігається. '
Інтерфейс — сукупність апаратних та програмних засобів, що
уніфікують процеси обміну між модулями системи.
Інтерфейс із загальною шиною — інтерфейс, у якому адреси осередків
пам'яті та зовнішні пристрої мають спільний адресний простір.
Інтерфейс з роздільною шиною - інтерфейс, в якому для адрес зовнішніх
пристроїв є окремий адресний простір.
Інтерфейс з подвійною швидкістю передач (DDR-технологія) —
організація передач лініями зв'язку, при якій операції прийому (видачі)
134
З
Згортка за модулем — додавання по модулю значень розрядів кодової
комбінації.
Зовнішня синхронізація — процес координації подій у схемі з станами
тактуючої системи, що не належить самій схемі.
К
Канал трасування - вільна зона на кристалі БМК, виділена для реалізації
міжз'єднань осередків.
Канальний БМК - базовий матричний кристал, у конструкції якого
передбачені певні канали трасування.
Клонування проектів — несанкціоноване копіювання проектів без
усвідомленого розкриття їхньої внутрішньої структури та принципів роботи.
Код - сукупність кодових комбінацій; використовуються для
представлення інформації. Цей же термін використовується як синонім поняття
"кодова комбінація" в тих випадках, коли це не може викликати будь-яких
непорозумінь.
Код Грея - код, в якому сусідні кодові комбінації відрізняються одна від
одної лише в одному розряді.
Код Хеммінгу — код, кодові комбінації якого містять кілька контрольних
розрядів для перевірки на парність/непарність ваг певних груп розрядів. Має
властивості не тільки виявлення, а й виправлення помилок одиничної кратності.
Кодова комбінація — набір символів прийнятого алфавіту.
Командний цикл - інтервал часу, що відповідає виконанню однієї
135
команди програми.
Комбінаційний ланцюг - схема, що встановилися значення вихідних
сигналів якої залежать тільки від поточних значень вхідних сигналів.
Компаратор (цифровий) – пристрій, що визначає відносини між двома
словами.
Компіляція - етап автоматизованого проектування електронних
пристроїв, що полягає в їх синтезі.
Конвеєризація — спосіб підвищення частоти тактування в тракті
обробки даних, для реалізації якого комбінаційні ланцюги тракту розбиваються
на щаблі.
Конвертація проектів - переклад проектів на реалізацію на інших засобах.
Найбільш широко використовуються переходи від ПЛІС до БМК і від ПЛІС до
реалізації на основі стандартних осередків.
Контролер ПДП - контролер прямого доступу до пам'яті, пристрій, що
керує обміном даними між пам'яттю та зовнішніми пристроями без участі
процесора.
Контроль за парністю/непарністю — контроль з перевіркою
парності/непарності ваги кодових комбінацій. Має властивість виявлення
помилок одиничної кратності.
Контрольний розряд — додатковий розряд, який вводиться в
інформаційне слово для забезпечення парності/непарності його ваги або ваги
окремих груп розрядів при контролі за модулем два або за допомогою коду
Хеммінга.
Конфігурований логічний блок (Configurable Logic Block) — логічний
блок мікросхем програмованої логіки, що настроюється (програмується) на
відтворення необхідних функцій.
Коефіцієнт розгалуження — число входів, які можуть бути підключені
до одного виходу у схемі з однотипних елементів. Максимально допустимий
коефіцієнт розгалуження визначається паспортом елемента.
Кратність помилки — кількість неправильних розрядів у цій кодовій
136
комбінації.
Критичний шлях - маршрут поширення сигналу у пристрої (схемі), що
визначає його (її) максимально можливу швидкодію.
Кеш-пам'ять — особливо швидкодіюча пам'ять, що зберігає копії
інформації, яка використовується в поточних операціях обміну з процесором.
Кеш-пам'ять набірно-асоціативного типу — варіант кеш-пам'яті,
проміжний щодо варіантів з повною асоціацією та прямим розміщенням.
Кеш-пам'ять із повною асоціацією — асоціативна кеш-пам'ять із
довільним завантаженням даних.
Кеш-пам'ять з прямим розташуванням — кеш-пам'ять, в якій одна або
кілька сторінок основної пам'яті суворо відповідають одному рядку кеш-пам'яті.
Кеш першого рівня (L1) – внутрішньопроцесорна кеш-пам'ять,
розміщена на одному кристалі з процесором.
Кеш другого рівня (L2) - кеш-пам'ять, розташована поза кристалом, на
якому розміщений процесор. Місткість кеш-пам'яті другого рівня, як правило,
перевищує ємність кеш-пам'яті першого рівня.
Л
ЛІЗМОН - МОН-транзистор з лавинною інжекцією заряду. Має
"плаваючий затвор", тобто ізольовану область над каналом, в якій можна
створювати або не створювати електричний заряд, відображаючи тим самим
логічні стани 1 і 0. Крім того, може мати або не мати звичайний затвор, що
управляє (варіанти " з плаваючим затвором" та "з подвійним за твором").
Лічильник асинхронний - лічильник, розряди якого при переході до
нового стану формуються не одночасно.
Лічильник Джонсона (лічильник Мебіуса, що зсуває регістр з
перехресним зворотним зв'язком) - лічильник, що працює в коді Лібау-Крейга.
Лічильник синхронний — лічильник, розряди якого при переході в
новий стан перемикаються одночасно під впливом вхідного (тактовного)
сигналу.
137
М
Магістрально-модульна структура — структура мікропроцесорної
системи, в якій до тих самих шин підключаються різні модулі.
Мажоритарний елемент - логічний елемент з непарним числом входів,
вихідна величина якого визначається тим, які сигнали (0 або 1) становлять
більшість серед вхідних сигналів.
Маскування запитів - вплив на сигнали запитів переривання, прямого
доступу до пам'яті та ін, забороняє обслуговування цих запитів.
Масочне програмування — запис даних у ПЗП або завдання міжз'єднань
у БМК, які здійснюються під час виробництва кристалів методами інтегральної
технології (за допомогою шаблонів металізації).
Матричний базовий осередок - базовий осередок внутрішньої області
БМК, призначений для реалізації на її основі функціональних осередків.
Машинний цикл - інтервал часу, що становить частину командного
циклу, що відповідає в основному зверненню процесора до пам'яті або
зовнішнього пристрою та передачі байта (слова) у процесор або з нього.
Метастабільний стан - аномальний стан тригера, в якому він тривалий
час знаходиться поблизу рівноважного стану. Викликається порушенням умов
попереднього встановлення та витримки інформаційних сигналів щодо
тактуючого або іншими факторами, що вводять тригер у режим, близький до
рівноважного (симетричного).
Мікроконтролер — однокристальна мікроЕОМ, орієнтована на
виконання щодо простих алгоритмів управління технічними об'єктами та
технологічними процесами.
Мікропроцесор - реалізований на одному або декількох кристалах
програмно-керований пристрій, що здійснює процес обробки інформації та
управління ним.
Мікропроцесорний комплект БІС — набір мікросхем, придатних для
спільного застосування при побудові мікропроцесорної системи.
138
Н
Навантажувальна здатність — параметр мікросхеми, який визначається
величинами допустимих вихідних струмів у станах логічного нуля та одиниці.
Значення максимально допустимого ємнісного навантаження зазвичай
визначається окремо.
Наскрізний струм — короткочасний імпульс струму споживання
мікросхеми, характерний для елементів ТТЛ(Ш) і КМОП і що виникає при їх
перемиканні.
139
О
Однофазна синхронізація — система синхронізації, в якій на всі
елементи пам'яті (тригери) подаються одні й ті сигнали, що тактують.
Операція монтажної логіки — логічна операція, що реалізується
шляхом з'єднання в одній точці виходів кількох логічних елементів з відкритим
колектором або емітером.
Організація ЗУ - параметр ЗУ, що виражається добутком максимально
можливої кількості слів, що зберігаються, на їх розрядність.
Основна пам'ять - пам'ять, що працює в режимі оперативного обміну
даними з процесором і, на відміну від кеш-пам'яті, що зберігає весь обсяг
П
Паралельний периферійний адаптер (PPI, Parallel Peripheral
Interface) - пристрій, що обслуговує обмін паралельними даними між процесом
сорому і зовнішніми пристроями.
Передній фронт - перепад сигналу під час його переходу від пасивного
рівня до активного. Для Н-активного сигналу переднім є позитивний фронт, для
L-активного - негативний.
Перехресна перешкода - перешкода, що породжується взаємним
впливом біля спраглих сигнальних ліній.
Периферійне сканування - синонім терміна "Граничне сканування", в
цій книзі не використовується.
Поведінкова модель — високорівневе уявлення електронного проекту,
яке описує поведінку різних модулів або підсистем проекту (зазвичай без
урахування технології, що використовується при його реалізації).
Поліноміальний лічильник - зсувний регістр з лінійними зворотними
зв'язками, тобто зв'язками, реалізованими за допомогою елементів додавання по
модулю два. Використовуються як генератори псевдовипадкових після
тривалостей.
140
Р
Радіальне переривання - переривання, місцезнаходження підпрограми
про служіння якого заздалегідь відомо, і передача в процесор відомостей про
нього не потрібно.
Реверсивний лічильник — лічильник, напрямок рахунку в якому може
змінюватися під впливом сигналу, що управляє.
Регенерація даних - необхідний для динамічних ЗУ режим відновлення
збережених даних, періодична реалізація якого запобігає втраті інформації
внаслідок перезаряду запам'ятовують конденсаторів струмами витоку.
142
С
Самовідновлення після збою - властивість автомата входити в робочий
цикл після попадання в "зайві" (не використовуються) стани без впливу
спеціальних сигналів установок.
Сегментована система міжз'єднань — система комутації, властива
головним чином схемам FPGA, в якій лінії зв'язків складаються з окремих
сегментів, тобто провідних ділянок, що не містять програмованих ключів. Самі
сегменти з'єднуються один з одним програмованими ключами.
Семисегментний індикатор - індикатор для візуального сприйняття
символів, в якому ці символи відображаються за допомогою семи відрізків
прямих (сегментів).
Синдром помилки — слово, складене з розрядів, значення яких
визначаються результатами перевірок груп, що входять до кодових комбінацій
коду Хеммінга. Синдром вказує номер неправильного розряду, що підлягає
виправленню.
Симуляція - моделювання поведінки системи (цільової) за допомогою
системи з іншою фізичною природою.
Синтез - процес перекладу опису проекту від одного рівня абстракції до
іншого (як правило, у напрямку до певної фізичної реалізації).
143
Т
Табличний функціональний перетворювач (LUT, Look-Up Table) —
логічний блок програмованих ВІС/СВІС, реалізований на основі схем
програмованої пам'яті.
Тег - додаткові дані, що супроводжують одиницю інформації, що
зберігається в кеш-пам'яті, і визначають, копією вмісту якої комірки основної
пам'яті є ця одиниця інформації.
Терм — у цій книзі під цим терміном розуміється кон'юнктивний терм, т.
е. логічний твір змінних (їх прямих чи інверсних значень).
Тестування - перевірка збігу необхідної та реальної поведінки пристроїв
та систем, що проводиться з використанням певних методів та засобів.
Третій стан - стан "відключено", в якому вихід логічного
елемента практично від'єднується від навантаження. Елементи з трьома
станами виходу (0, 1 і "відключено") можуть підключатися до магістралей
систем з магістрально-модульною структурою.
Тимчасова діаграма - графічне представлення сигналів електричних
схем, що показує, як змінюються сигнали в часі і як вони взаємно
співвідносяться.
Тимчасове моделювання - моделювання електричних схем з
урахуванням дійсних часових співвідношень між вхідними та вихідними
сигналами всіх елементів схеми.
Тригер - елементарний автомат, що містить елемент пам'яті з ємністю
один біт і схему керування записом цього елемента пам'яті.
Тригер асинхронний — тригер, який сприймає вплив інформаційних
вхідних сигналів безпосередньо в моменти їх змін.
145
У
Універсальний логічний модуль - пристрій, який відтворює будь-яку
функцію заданої кількості аргументів.
Ф
Фіксований пріоритет - пріоритет, наданий даному запиту (входу) і не
змінюється у процесі роботи системи.
Флеш-пам'ять — пам'ять, що репрограмується, в якій стирання даних
проводиться електричними сигналами для всього кристала або для окремих
блоків (симетричних або несиметричних).
Флеш-пам'ять із дзеркальним бітом (Mirror-bit Memory) — флеш-
пам'ять із зберіганням двох бітів в одному запам'ятовуючому елементі за
допомогою дорожньогоого поділу областей зберігання двох зарядів у
плаваючому затворі транзистора.
Флеш-пам'ять з несиметричними блоками (Boot-Block Flash Memory)
146
Х
Хвильовий опір - параметр лінії передачі сигналів, що трактується як
"довга лінія".
Час витримки (Hold Time) - (1) для тригера - інтервал часу після
надходження синхросигналу, протягом якого вхідні інформаційні сигнали
повинні залишатися незмінними; (2) у більш загальному сенсі для двох сигналів
147
Ц
Цикл ЗУ - мінімальний інтервал часу між сусідніми однотипними
зверненнями до ЗУ. Відповідно до типу звернення розрізняють цикли читання,
записи та ін.
Циклічний (круговий) пріоритет - порядок обслуговування запитів
(переривання, прямого доступу до пам'яті та ін), для якого джерела просів
рівноправні. Рівноправність джерел запитів досягається тим, що їхні пріоритети
змінюються під час роботи системи — після обслуговування джерело отримує
нижчий пріоритет, який поступово підвищується з обслуговуванням інших
джерел запитів.
Ч
Час попереднього встановлення (Set-Up Time) - (1) для тригера -
інтервал часу до надходження синхросигналу, протягом якого вхідні
інформаційні сигнали повинні залишатися незмінними; (2) у більш загальному
сенсі для двох сигналів А і це інтервал часу між початком сигналу А і початком
сигналу.
Ш
Швидкий сторінковий доступ (FPM, Fast Page Mode) — прискорений
доступ до даних в динамічних ЗУ, можливий за умови "куповості" їх адрес, коли
дані, що запитуються, належать одній і тій же сторінці (рядку матриці
запам'ятовуючих елементів).
Доповнення.
Словник іноземних скорочень та термінів
AGP – Advanced Graphics Port – стандартний інтерфейс графічних систем.
148
НАВЧАЛЬНЕ ВИДАННЯ
НАВЧАЛЬНИЙ ПОСІБНИК
Курсове проектування з дисципліни «Комп’ютерна електроніка»
для студентiв
спеціальності 123 “Комп’ютерна інженерія”
Редактор
Комп’ютерне верстання