You are on page 1of 8

Letter

pubs.acs.org/NanoLett

A Vertically Integrated Junctionless Nanowire Transistor


Byung-Hyun Lee,†,‡ Jae Hur,† Min-Ho Kang,§ Tewook Bang,† Dae-Chul Ahn,† Dongil Lee,†
Kwang-Hee Kim,§ and Yang-Kyu Choi*,†

School of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu,
Daejeon 34141, Republic of Korea

Memory Business, Samsung Electronics, San #16 Banwol-Dong, Hwasung-City, Gyeonggi-Do, 18448, Republic of Korea
§
Department of Nano-process, National Nanofab Center (NNFC), 291 Daehak-ro, Yuseong-gu, Daejeon 34141, Republic of Korea
*
S Supporting Information

ABSTRACT: A vertically integrated junctionless field-effect


transistor (VJ-FET), which is composed of vertically stacked
multiple silicon nanowires (SiNWs) with a gate-all-around
(GAA) structure, is demonstrated on a bulk silicon wafer for
the first time. The proposed VJ-FET mitigates the issues of
variability and fabrication complexity that are encountered in
the vertically integrated multi-NW FET (VM-FET) based on
an identical structure in which the VM-FET, as recently
reported, harnesses a source and drain (S/D) junction for its
operation and is thus based on the inversion mode. Variability
is alleviated by bulk conduction in a junctionless FET (JL-
FET), where current flows through the core of the SiNW, whereas it is not mitigated by surface conduction in an inversion mode
FET (IM-FET), where current flows via the surface of the SiNW. The fabrication complexity is reduced by the inherent JL
structure of the JL-FET because S/D formation is not required. In contrast, it is very difficult to dope the S/D when it is
positioned at each floor of a tall SiNW with greater uniformity and with less damage to the crystalline structure of the SiNW in a
VM-FET. Moreover, when the proposed VJ-FET is used as nonvolatile flash memory, the endurance and retention characteristics
are improved due to the above-mentioned bulk conduction.
KEYWORDS: Silicon nanowire (SiNW), gate-all-around (GAA), vertical integration, junctionless transistor,
three-dimensional nonvolatile memory, one-route all-dry etch

ver the past five decades, the aggressive miniaturization of


O transistors based on metal-oxide-semiconductor field-
effect transistors (MOSFETs) has led to noteworthy achieve-
this regard, the vertical stacking of SiNWs compensates for the
decreased on-state current without increasing the footprint of the
transistor.16−20 The on-state current can be further increased by
ments, such as lower costs, improved productivity, and upgraded increasing the number of SiNWs. This can serve as a strategy to
performance capabilities.1 Consequently, the transistor is today’s accomplish two things at one time, that is, the vertically stacked
chief workhorse powering the semiconductor industry and serves SiNWs provide both high on-state and low off-state currents.
as a fundamental building block for a variety of electronic Very recently, a vertically integrated multi-NW FET (VM-FET)
systems. The short-channel effects (SCEs) that have arisen in with a five-story nanowire based on inversion mode (IM)
conjunction with the aggressive miniaturization efforts have been operation enabled by a p−n junction in the source and drain (S/
the most difficult technical challenge in relation to maintaining D) region was reported. The five-story nanowire was fabricated
Moore’s law; hence, much effort has been devoted to suppressing
using the one-route all-dry etching process (ORADEP). It
these effects during the fabrication of complementary metal−
showed good feasibility for use in a 3D integration-based high-
oxide−semiconductor (CMOS) devices.2,3 One approach is to
performance transistor.21 Nevertheless, the variability originating
employ a three-dimensional (3D) structured transistor beyond
the conventional two-dimensional (2D) transistor.4 Among the from vertically stacked SiNWs is problematic because the
various 3D structures investigated recently,5−7 the gate-all- geometric shape and size of each nanowire are not always
around (GAA)-based silicon nanowire (SiNW), which is identical. Additionally, the S/D resistance and effective channel
positioned at the end of the roadmap and has been applied in length can vary for each SiNW. These concerns would become
a range of uses,8−11 has shown the strongest gate controllability more serious with a greater number of SiNWs. Thus, a novel
to effectively suppress SCEs.12−14 In the structure, SiNWs with
smaller diameters are preferred to suppress the SCEs more Received: December 2, 2015
effectively, that is, to lower the off-state leakage current. Revised: February 12, 2016
However, this inevitably sacrifices the on-state current.15 In Published: February 17, 2016

© 2016 American Chemical Society 1840 DOI: 10.1021/acs.nanolett.5b04926


Nano Lett. 2016, 16, 1840−1847
Nano Letters Letter

approach is required to resolve the previously mentioned additional S/D implantation process was applied to achieve a
problems. compromise between the two competing factors, but it
A conventional MOSFET consists of a junction and its aggravated the SCEs and increased the degree of process
connection. The junction facilitates the flow and cutoff of charges complexity.35 The dimensions of the SiNWs also demand a
in the transistor and thereby enables the switching operation. proper compromise between the gate controllability to minimize
However, in terms of the literal meaning of a transistor, that is, a the off-state current by decreasing the SiNW diameter and the
combination of “trans-” and “resistor”, the transistor does not current drivability to maximize the on-state current by increasing
directly connote the existence of a junction. In other words, the the SiNW diameter, as mentioned above. Under these
junction is optional in the transistor but not essential. Such a circumstances, an innovative JL-FET structure is required to
paradigm shift from a conventional junction-embedded tran- achieve high performance and good switching capability
sistor resulted in the invention of a MOSFET based on the simultaneously.
configuration of a gated resistor without a junction, referred to as In this study, a vertically integrated junctionless FET (simply
a junctionless FET (JL-FET).22−25 Because of the various referred to as a VJ-FET) with a five-story nanowire is
advantages originating from its inherent process simplicity and demonstrated for the first time on a bulk-Si substrate. The
unique operation mechanism,26−28 the JL-FET has attracted VM-FET, which is based on an IM with p−n junctions, was
considerable attention from both academia and industry. An S/D reported in our previous work.21 In the present study, a VM-FET
region for the JL-FET that is homogeneously doped with the with a junctionless (junction-free) structure, that is, the VJ-FET,
channel (e.g., n (source)−n (channel)−n (drain)) is formed that reduces the variability and process complexity, is proposed.
simultaneously with an ion implantation process for the The VJ-FET is a revamped structure derived from the previous
formation of the channel, simplifying the process because it is VM-FET in which the p−n junction is removed from the S/D
not necessary to create a p−n junction for the S/D. Thus, due to region. In the VM-FET, there are five technical challenges that
its intrinsic junction-free structure, variability issues such as the need to be addressed: (1) achieving a uniform shape and size for
fluctuation of the junction profile are no longer a concern. The each nanowire among the five-story nanowires, (2) obtaining a
charge transport occurs at the core of the Si channel in the JL- uniform doping concentration for each nanowire, (3) achieving a
FET, whereas it occurs at the surface of the Si channel in a uniform S/D and channel resistance, (4) mitigating the corner
conventional junction-embedded MOSFET, that is, an inver- effect of the sharpened nanowire, and (5) creating the S/D at the
sion-mode FET (IM-FET). Mobile charges in the JL-FET are exterior of the gate straddling the five-story nanowire. First, it is
therefore less sensitive to the corner effect arising from the difficult to realize a uniform shape and size for each nanowire
intensified electric field at the apex of the Si channel while also among the five-story nanowires when using the previously
being less sensitive to surface roughness and Si-to-SiO2 interface
mentioned ORADEP owing to the intrinsic variability of the
traps.22 On the basis of these advantages, the JL-FET is a
plasma etching process. Second, it is challenging to ensure a
promising candidate for future CMOS technology.
uniform doping concentration for each nanowire because the
Prior to the actual implementation of the JL-FET for mass
energy and dose during the ion implantation step must account
production, a few concerns should be resolved to enhance its
for changes in the vertical depth of the SiNW at each level. Third,
performance. Because the performance of the JL-FET strongly
depends on the channel resistance,29 the doping concentration it is accordingly problematic to achieve a uniform S/D and
should be as high as possible for low resistance. However, it is proper channel resistance. Fourth, the corner effect can be
difficult to fully deplete a heavily doped channel; consequently, serious in the VM-FET, especially because it is based on IM
the JL-FET is not effectively turned off and produces a high level operation, when the cross-sectional shape of the nanowire has a
of off-state leakage current. Note that the increased off-state sharpened apex.36 The electric field stemming from the gate
leakage gives rise to an undesirable increase in the amount of voltage is intensified at the apex, causing the distribution of the
stand-by power consumption. In this regard, the channel should mobile charges (inversion carriers) to be uneven over the cross-
be as thin as possible to form a fully depleted body, and the sectional area of the channel. This becomes more severe in the
thinned channel thereby suppresses the off-state leakage current. IM-FET because the flow of carriers primarily occurs at the
In terms of the performance and power consumption, a 3D surface of the nanowire rather than in the core. Fifth, the
simulation analysis of the correlation between the channel fabrication process used to make the p−n junction in the S/D
doping concentration and the channel thickness is included in becomes increasingly complicated as the number of SiNWs is
the Supporting Information. While a silicon-on-insulator (SOI) increased. This necessitates additional lithography, harsh
wafer has been used for this purpose, it is costly compared to a implantation conditions with high energy and dose levels, and
bulk-Si wafer. Therefore, the fabrication of the JL-FET on a bulk- high throughput. Given that the fabricated five-story nanowire
Si wafer has been attempted as an alternative approach.30−32 A has a height of 1.2 μm, the need for high-energy implantation
GAA structure that completely wraps bridge-type SiNWs, with a high dose and high throughput, which is not yet
separate from bulk-Si, can lead to the realization of a bulk-Si- commercially available, gives rise to process complexity
based JL-FET with high performance and good switching stemming from the multiple ion implantation steps customized
capability due to the enhanced gate controllability of such a for each level of the nanowire. The damage to the crystalline
structure.33,34 Despite the use of the GAA SiNW structure, the structure of the SiNWs during the harsh ion implantation step,
use of a heavily doped channel will inevitably lead to another which requires a recrystallization process, can increase the
problem that prevents high performance. Heavy doping for low complexity even further. In general, recrystallization is possible
channel resistance can reduce the mobility owing to the increased when there is a referenced bulky crystalline substrate that
amount of impurity scattering and hence may result in provides a well-ordered reference lattice. However, it is difficult
performance degradation.22,29 Therefore, two counteracting to apply the recrystallization process to small-scale and
factors, that is, the channel resistance and impurity scattering, suspended SiNWs, which have a very limited reference crystalline
should be carefully optimized to achieve high performance. An phase only in the channel covered by the gate.
1841 DOI: 10.1021/acs.nanolett.5b04926
Nano Lett. 2016, 16, 1840−1847
Nano Letters Letter

Figure 1. Overall process flow and device structure of the VJ-FET. (a) Schematic of the one-route all-dry etching process (ORADEP). “HM” denotes
the oxide hard mask layer prepatterned to form a stable nanowire during the ORADEP. The ORADEP does not require carving oxidation or a sequential
wet etching process to separate each nanowire. Thus, a vertically integrated multinanowire structure without stiction is achieved with good process
simplicity. (b) SEM and TEM images of the vertically integrated multinanowire structure. The left and right images show a tilted SEM image and cross-
sectional TEM image along the a-a′ direction of the SEM image, respectively. The ORADEP permits the formation of uniform multiple nanowires via
the complete separation of each nanowire. In the TEM image, silicon nitride (Si3N4) surrounding the SiNW serves as a passivation layer to protect the
device during the focused-ion-beam process for the preparation of the TEM sample. (c) Comparative SIMS results showing the doping profile by
iterative channel implantation processes with different energy levels in the case of no annealing versus annealing. (d) Schematics of the fabricated VJ-
FET along the channel direction (a-a′) and the gate direction (b-b′).

In comparison, the VJ-FET, which does not require the caused by the all-dry etching process, whereas this was
formation of an S/D, can fundamentally solve the above- problematic in previous works reported by other groups.16−20
mentioned problems of variability and process complexity. The One cycle of the ORADEP shown in Figure 1a is a one-route
immunity of the JL-FET based on bulk charge transport against etching consisting of two steps, (1) a C4F8-based polymer
variability is verified by the simulations in this study. Simplified passivation process to protect the sidewalls of the SiNW and (2)
fabrication is achieved by avoiding the formation of an S/D. a SF6-based isotropic dry etching process to carve and gradually
Furthermore, compared to the JL-FET with a single nanowire, separate the vertically adjacent SiNWs. As shown in Figure 1a,
the VJ-FET with five-story SiNW channels experimentally the C4F8-based polymer begins to passivate the entire region,
exhibits 5-fold improved performance without significant including the sidewalls of the HM. The suspended nanowire is
degradation. The VJ-FET with oxide/nitride/oxide (ONO) then formed by means of SF6-based isotropic dry etching. The
gate dielectrics is successfully applied to nonvolatile memory vertically integrated multinanowires are achieved via iterative
(NVM), improving its endurance and retention characteristics. etching cycles, that is, the number of etching cycles is equal to the
Considering the continuous miniaturization trend of transistors, number of SiNWs (see the Supporting Information). In the
the combination of the junctionless structure, the vertically ORADEP, various parameters, including the etching time, the
stacked multinanowire structure, and the GAA SiNW structure is gas flow amount, and the plasma power, impact the shape of the
a very timely approach. The synergy arising from this final SiNWs. Mismatches of such parameters can result in
combination can allow the realization of an ultimately scaled undesirable formations of nanowires, such as broken nanowires,
CMOS device capable of high performance, suppressed SCEs, nonseparated nanowires, and even unformed nanowires (see the
and reduced variability for use in future electronics. Supporting Information). Therefore, the critical parameters in
The fabrication process for the VJ-FET is nearly identical to the ORADEP should carefully be optimized. The ORADEP
that of the VM-FET described in our previous work, except for conditions optimized in this study and promising approaches for
the absence of an S/D formation step.21 Details of the fabrication further improvement with regard to the uniformity of the SiNWs
processes are provided in the Supporting Information. To are provided in the Supporting Information. Relevant images of
complete the VJ-FET fabrication, one of the most important the vertically integrated multinanowire structure achieved by the
steps is to create the vertically integrated multinanowire structure optimized ORADEP are shown in Figure 1b. The image on the
using a bulk-Si substrate. In this study, this was formed with the left, obtained from a scanning electron microscope (SEM),
aid of the optimized one-route all-dry etching process shows the stable formation of a vertically integrated multi-
(ORADEP) illustrated in Figure 1a. There is no stiction failure nanowire without stiction. The image on the right displays a
1842 DOI: 10.1021/acs.nanolett.5b04926
Nano Lett. 2016, 16, 1840−1847
Nano Letters Letter

Figure 2. TEM images of the fabricated VJ-FET and various analysis results. (a) Cross-sectional TEM image of the VJ-FET along the b-b′ direction in
Figure 1d, where thermally grown oxide is employed as the gate dielectric. Five vertically integrated SiNWs with a uniform rhombus type profile were
identified, showing a complete GAA configuration for all SiNWs. (b) Cross-sectional TEM image of the VJ-FET with the ONO gate dielectrics to serve
as flash NVM. (c,d) Enlarged TEM images of individual SiNWs in panel a and b, respectively. A 5 nm thick gate oxide is identified in panel c. In panel d,
the thickness of the tunnel oxide is 3 nm, that of the charge-trapping nitride is 6 nm, and that of the barrier oxide is 8 nm, as clearly defined within the
ONO structure. (e,f) EDS mapping images of (c) and (d). These images clearly identify each layer. (g) FFT image of each layer.

Figure 3. Three-dimensional numerical simulation results. (a) Cross-sectional images of the electron concentration in the variously shaped SiNWs
(circle, rhombus, rectangle, and triangle) with the same gate oxide thickness. Red and violet colors signify the highest and lowest electron concentrations,
respectively. The direction of the arrow indicates an increase in the electron concentration. The electron concentration is highest at the corner of the
SiNW in the IM-FET, while it is highest at the core of the SiNW in the JL-FET. Thus, the JL-FET is less sensitive to the corner effect in terms of the
charge transport behavior. (b) Transfer curves of the GAA SiNW FET with various shapes and channel doping concentrations. Boron was used for the
IM-FET and phosphorus was used for the JL-FET with the same doping concentration. The direction of the arrow denotes an increase in the doping
concentration. (c) Changes in ΔVT and VT as a function of the channel doping concentration of each FET (IM-FET and JL-FET), where the bar for ΔVT
(left Y-axis) and the line for VT (right Y-axis) are illustrated together. ΔVT = VT(circle shape) − VT(triangle shape) and VT in the JL-FET are presented as
absolute values. Regardless of the shape of the SiNW, the JL-FET shows negligible values of ΔVT at the same channel doping concentration, proving its
high immunity to variability arising from fluctuations of the geometric shape of the SiNW.

cross-sectional transmission electron microscope (TEM) image story SiNW. Afterward, thermal annealing was applied at 900 °C
along the a-a′ direction of the SEM image in Figure 1b. for 60 min to activate the dopants and flatten the multipeaked
Doping by an iterative, high-energy and high-dose implanta- Gaussian doping, that is, the dopants are uniformly distributed
tion process was carried out prior to the formation of the five- along the vertical direction of the wafer, as shown in Figure 1c.
1843 DOI: 10.1021/acs.nanolett.5b04926
Nano Lett. 2016, 16, 1840−1847
Nano Letters Letter

Figure 4. I−V characteristics (a) ID−VD characteristics of the JL-FET with the single nanowire and the VJ-FET with five nanowires. LG, WNW, and HNW
denote the gate length, nanowire width, and height, respectively. (b) Comparison of ID with identical VOD values (= VG − VT). The VJ-FET shows high
current drivability, increased by nearly 5-fold. (c) ID−VG characteristics of the JL-FET with the single nanowire and the VJ-FET with five nanowires. The
VJ-FET shows remarkably improved performance without a significant degradation of the important switching parameters, such as the SS and the off-
state leakage current. Even in the five-level stacked multinanowire structure, robust immunity to process variability is verified. Compared to a typical IM-
FET with a single nanowire channel, enhanced current drivability of the VJ-FET is shown in the inset of panel c.

This process flow achieved channel doping and S/D doping at used for the gate electrode completely wraps the five-story
the same time, removing the need to separately form an extra S/ SiNWs, showing a successful GAA configuration. Close-up views
D. A uniform doping profile throughout the five-story SiNW was of the SiNW with the oxide gate dielectric and the oxide/nitride/
verified by secondary ion mass spectrometry (SIMS), as shown in oxide (ONO) gate dielectrics are shown in Figure 2 panels c and
Figure 1c. In contrast, S/D implantation and a subsequent d, respectively. Each layer is clearly distinguished via an energy-
posterior annealing process are indispensable in a conventional dispersive X-ray spectroscopy (EDS) mapping analysis, as shown
junction-embedded IM-FET. Therefore, the effective channel in Figure 2e,f. Figure 2g shows fast Fourier transform (FFT)
length of the FET is strongly influenced by the post S/D images of each layer, that is, SiNWs for the channel, poly-Si for
formation and annealing step because the overlap length between the gate electrode, and oxide for the gate dielectric. A plain
the metallurgical S/D junction boundary and the gate can vary crystalline phase of the SiNW is observed compared to that of the
depending on the lateral straggling of the dopants originating other layers, supporting the stability of the ORADEP and the
from high-energy ion implantation and the lateral diffusion of the junction-free structure.
dopants in the subsequent annealing step. As a result, there may The corner effect of the GAA MOSFET is a crucial problem
be extra variability in the conventional junction-embedded IM- due to the inverted surface and it becomes more severe as the
FET. This problem becomes more severe as the implantation doping concentration is increased.36,37 A novel strategy is thus
energy and the dose are increased with an increase in the number required to mitigate it. Owing to the nonuniformity of the SiNW
of vertically integrated nanowires. In comparison, the VJ-FET shape originating from the process variability, the corner effect
without the S/D formation process after gate patterning has can be increasingly severe with a greater number of SiNWs in the
strong immunity against this type of variability. Moreover, the VM-FET. However, when bulk conduction occurs in the core of
VJ-FET does not require a process to make gate spacers and to the SiNW, this effect is weakened. A junctionless transistor is
form a thick masking layer to protect the channel region during then a possible solution. This claim is supported by numerical 3D
the high-energy S/D implantation step. These reductions of cost simulations. Four different cross-sectional geometric shapes of
and time realized by the simplicity of the process make this SiNWs with the same channel area and the same gate oxide
process suitable for mass production in CMOS manufacturing. thickness are prepared for a fair comparison: (1) a circle, (2) a
Considering the mitigation of the technical challenges rectangle, (3) a triangle, and (4) a rhombus. Figure 3a shows the
commonly encountered during the fabrication of a VM-FET, it peak electron concentrations of the four differently shaped
is very timely to demonstrate the VJ-FET, which fully exploits the devices under the IM and the junctionless (JL) mode. The peak
above-mentioned advantages. Figure 1d schematically shows the electron concentration is placed at the sharpened corner of the
fabricated VJ-FET. High-resolution images of the fabricated VJ- SiNW in the IM-FET, whereas it is positioned in the core of the
FET harnessing the five-story SiNWs are shown in Figure 2a for a SiNW in the JL-FET. This is attributed to the different operating
logic application and in Figure 2b for an NVM application device. mechanisms of each FET, which are responsible for the surface
They show cross-sectional TEM images along the a-a′ direction charge transport in the IM-FET and the bulk charge transport in
of the schematic in Figure 1d. The polycrystalline Si (poly-Si) the JL-FET. In Figure 3b,c, the simulated results show the
1844 DOI: 10.1021/acs.nanolett.5b04926
Nano Lett. 2016, 16, 1840−1847
Nano Letters Letter

Figure 5. Memory effects of the VJ-FET with ONO gate dielectrics. (a) Programming and erasing transient characteristics of the VJ-FET. ‘PV” and “EV”
denote the gate biases for the programming and erasing of the data, respectively. A high memory window of 5 V was achieved from the device without
erasing saturation. (b) Comparison of the data retention characteristics between the JL-FET with the single nanowire and the VJ-FET with five
nanowires. VPGM and VERS are the voltage levels for programming and erasing, respectively. Similarly, tPGM and tERS denote the times for programming
and erasing, respectively. (c) Comparison of the switching endurance characteristics between the two devices in (b). A negligible difference is found
between the two sets of experimental results, proving the high stability of the overall fabrication process. (d) ISPP programming and program inhibition
mode of the VJ-FET, where VPGM is the gate bias applied for the programming operation. The program inhibition mode of the VJ-FET is easily attained
by raising the potential of the drain, where the drain can be considered as an unselected bit line in practical flash NVM. A high ISPP of 0.7 V proves the
suitability of the MLC operation of the VJ-FET, supported by the result of panel a. (e) Comparison of the switching endurance characteristic between
the VM-FET operating in inversion mode and the VJ-FET operating in junctionless mode. From the optimum conditions for the programming and
erasing of each device, the initial memory window was adjusted to fairly compare the switching endurance. Compared with the VM-FET relying on the
surface charge transport, negligible variation of the memory window is identified in the VJ-FET by virtue of the bulk charge transport, which is attractive
for the reliability of the flash NVM. Here, “P/E” represents the programming and erasing operations of the data. (f) Comparison of postcycling data
retention characteristic between devices in two modes in (e). Because of the reliable switching endurance, the VJ-FET shows a larger memory window
for the same retention time.

variation of the threshold voltage (VT) and its fluctuation (ΔVT) for the suppression of SCEs because more carriers are flowing
resulting from the SiNW shape and channel doping concen- through the area far from the corner. Therefore, robust immunity
tration under the IM and JL mode, where ΔVT denotes the to the corner effect makes the JL-FET suitable for the
maximum difference in VT caused by the change of the SiNW implementation of the VJ-FET with a great number of SiNWs.
shape at the same channel doping concentration, that is, ΔVT = Figure 4 exhibits the electrical characteristics of the fabricated
VT(circle shape) − VT(polygon shape: rhombus, rectangle, VJ-FET. Compared to a single-nanowire-based JL-FET, the
triangle). Both are increased as the doping concentration is remarkably improved performance of the VJ-FET with the five-
increased, as expected. However, ΔVT is insensitive to the change story SiNW is identified from the drain current (ID)−drain
in the doping concentration regardless of the SiNW shape in the voltage (VD) characteristic shown in Figure 4a. These results are
JL-FET, whereas ΔVT is sensitive to this factor in the IM-FET. summarized in Figure 4b at the same overdrive voltage (VOD =
This trend can be understood by considering that the carriers are VG − VT) for a fair comparison of the performance between two
flowing through the core of the SiNW in the JL-FET, causing FETs with different VT values. Figure 4c exhibits the ID−VG
ΔVT to be less sensitive to changes in the doping concentration characteristics of the two FETs, showing that the current
and the SiNW shape in the JL-FET compared to in the IM-FET. drivability of the VJ-FET is improved by more than 5-fold. With
If the cross-sectional channel size of the JL-FET is small enough the improvement of the performance, there was no noticeable
such that the gate completely controls the potential of the core variation in the crucial parameters that can severely degrade the
SiNW in the fully depleted state, the peak electron concentration device performance. This high performance is favorable for the
would be more confined at the center compared to other configuration of a logic circuit. However, too low a value of VT to
locations. Thus, the VT variation is no longer a concern as the normally maintain an ON state at a zero gate voltage may be a
cross-sectional size of the SiNW is more aggressively scaled down severe obstacle preventing practical applications. Although this
1845 DOI: 10.1021/acs.nanolett.5b04926
Nano Lett. 2016, 16, 1840−1847
Nano Letters Letter

low VT value of the JL-FET can be increased by reducing the this regard, compared to a conventional IM-FET with surface
channel doping concentration and the SiNW dimensions, this conduction, because the charge transport of the JL-FET with
cannot be a fundamental solution due to the direct degradation bulk conduction inherently occurs in the center of the SiNW
of the current drivability.38 In this regard, the design of the VJ- channel, it can be less sensitive to the Si/SiO2 interface damage
FET with vertically integrated multiple-SiNW channels is caused by repetitive programming and erasing operations.
suitable for the optimization of VT and the enhancement of the Therefore, this result is ascribed to the bulk charge transport of
performance. In addition, compared to a conventional IM-FET, the VJ-FET, which is less susceptible to the Si-to-SiO2 interface
the scaling of the gate oxide is also a good suggestion for characteristics being degraded by identical Fowler−Nordheim
controlling the VT without severe degradation of the perform- (FN) stress levels.40,41
ance, which is attributed to the unique operation of the JL-FET as In summary, a VJ-FET with a five-story GAA SiNW channel
differentiated from that of an IM-FET.26,38 The inset in Figure 4c was demonstrated on a bulk-Si substrate for the first time. The
shows a comparison of the current drivability of each FET with proposed VJ-FET based on the inherent characteristics of the JL-
regard to the operation mode and the number of nanowire FET showed strong immunity to process variability and a simple
channels. Although the JL-FET exhibits lower current drivability fabrication process stemming from the absence of the need to
than the IM-FET, the design of the VJ-FET, which is based on a create an S/D. These aspects were proven by experiments and
vertically integrated multinanowire channel, shows remarkably simulations. The VM-FET suggested in our previous study,21
improved current drivability compared to a typical IM-FET with which offers high performance, good scalability, and effective
a single nanowire channel. Considering the attractive advantages suppression of SCEs, may have a few possible vulnerabilities
of the JL-FET22,26 and the synergistic effects originating from the originating from the 3D S/D formation onto the tall vertically
combination of the JL mode and the vertical integration of the integrated multinanowires and the variation of the geometric
nanowire channel, this result is meaningful for future CMOS shape and size of each nanowire. However, the proposed VJ-FET
architectures. fundamentally resolves these concerns via its use of a junctionless
A VJ-FET with ONO gate dielectrics was applied to NVM. structure, maximizing the strengths of the VM-FET. Compared
Figure 5a shows the change in VT, which corresponds to the to the JL-FET composed of a single-nanowire channel, the VJ-
memory window, as a function of the pulse time. The VJ-FET FET with the five-story GAA SiNW channels exhibited a 5-fold
shows stable transition characteristics, that is, a parallel shift improvement in its performance without significant degradation,
without degradation of SS for the programming and erasing of even after the vertical stacking of multiple nanowires. Moreover,
data, and a large memory window wider than 5 V (see the the VJ-FET with ONO gate dielectrics was successfully applied
Supporting Information). In particular, the distinctive change of to nonvolatile flash memory, which automatically harnessed the
the memory window according to the various voltages for simplified program-inhibition mode and improved the switching
programming and erasing proves the adaptability of the VJ-FET endurance compared to the VM-FET with five-story SiNWs.
for multilevel cell (MLC) operation. Figure 5b,c shows the data This will indeed increase the feasibility of the reliable memory
retention and switching endurance characteristics. Despite the based on 3D integration with high packing density levels. The
vertical integration of the five-story SiNWs, the robust retention synergy effect originating from the combination of the
time and switching endurance in the VJ-FET are comparable to junctionless structure and the vertically integrated GAA SiNW
those in the single nanowire-based JL-FET (Figure 5b,c). These structure can advance an extremely scaled CMOS with high
results show the potential for the effective mitigation of critical performance, effective suppression of SCEs, good scalability, and
problems that can stem from the use of a great number of SiNWs reduced process variability based on a low-cost fabrication
in multinanowire FETs. This immunity to variability was process, prolonging the validity of Moore’s law into the next
accomplished by employing a junctionless structure. Compared decade. More generally, this work will steadily gain acceptance as
to the VM-FET, the VJ-FET has advantages in flash memory an attractive option in versatile electronics that can change our
operation, including the ease of the program inhibition mode and lives in the future.
a robust switching endurance. Figure 5d−f presents these
strengths. Because the body of the VJ-FET including the S/D
region is homogeneously doped, that is, n+ throughout the entire

*
ASSOCIATED CONTENT
S Supporting Information
nanowire, program inhibition at an adjacent cell can be easily The Supporting Information is available free of charge on the
achieved by enhancing the potential of the S/D region, which ACS Publications website at DOI: 10.1021/acs.nano-
serves as a bit line in practical memory. That is, an increase in the lett.5b04926.
drain voltage reduces the potential of the gate to the SiNW for
charge trapping, thereby prohibiting the programming operation Fabrication process, optimization of the one-route all-dry
(Figure 5d). Hence, the VJ-FET does not need an additional self- etching process (ORADEP), experimental equipment,
boosting operation39 to retain the data of the other cells during flash memory operation, promising approaches to
the programming operation. The suitability of the VJ-FET for improve the uniformity of the SiNWs in the ORADEP,
MLC operation is verified by the high incremental step pulse simulation on the correlation of the channel doping
programming (ISPP) of 0.7 V, as shown in Figure 5d. Figure 5e concentration, and the channel thickness for the perform-
shows a comparison of the switching endurance capabilities of ance of the JL-FET. (PDF)


the VJ-FET and the VM-FET. The VJ-FET shows more reliable
switching endurance than the VM-FET. This results in a larger AUTHOR INFORMATION
memory window for a long retention time of 108 seconds after
Corresponding Author
switching cycles (Figure 5f). Because the flash memory operates
based on the movement of charges between the silicon body and *E-mail: ykchoi@ee.kaist.ac.kr.
the charge-trapping layer, damage to the Si/SiO2 interface Notes
inevitably occurs, which can give rise to the reliability problem. In The authors declare no competing financial interest.
1846 DOI: 10.1021/acs.nanolett.5b04926
Nano Lett. 2016, 16, 1840−1847
Nano Letters Letter

■ ACKNOWLEDGMENTS
This work was supported by the Center for Integrated Smart
(23) Sorée, B.; Magnus, W.; Pourtois, G. J. Comput. Electron. 2008, 7,
380−383.
(24) Lee, C.-W.; Afzalian, A.; Akhavan, N. D.; Yan, R.; Ferain, I.;
Sensors funded by the Ministry of Science, ICT, and Future Colinge, J.-P. Appl. Phys. Lett. 2009, 94, 053511.
Planning as Global Frontier Project (CISS-2011-0031848) in (25) Migita, S.; Morita, Y.; Matsukawa, T.; Masahara, M.; Ota, H. IEEE
part. This research was partially sponsored by the Pioneer Trans. Nanotechnol. 2014, 13, 208−214.
Research Center Program through the National Research (26) Ionescu, A. M. Nat. Nanotechnol. 2010, 5, 178−179.
Foundation of Korea funded by the Ministry of Science, ICT, (27) Lee, C.-W.; Ferain, I.; Afzalian, A.; Yan, R.; Akhavan, N. D.;
and Future Planning (Grant 2012-0009594). This work was also Razavi, P.; Colinge, J.-P. Solid-State Electron. 2010, 54, 97−103.
partially supported by Open Innovation Lab Project from the (28) Colinge, J.-P.; Lee, C.-W.; Ferain, I.; Akhavan, N. D.; Yan, R.;
Razavi, P.; Yu, R.; Nazarov, A. N.; Doriac, R. T. Appl. Phys. Lett. 2010, 96,
National Nanofab Center (NNFC).


073510.
(29) Colinge, J.-P.; Kranti, A.; Yan, R.; Lee, C.-W.; Ferain, I.; Yu, R.;
REFERENCES Dehdashti, A.; Razavi, P. Solid-State Electron. 2011, 65-66, 33−37.
(30) Kranti, A.; Lee, C.-W.; Ferain, I.; Yu, R.; Akhavan, N. D.; Razavi,
(1) Deshpande, V.; Barraud, S.; Jehl, X.; Wacquez, R.; Vinet, M.;
P.; Colinge, J.-P. Proc. IEEE Eur. Solid-State Device Res. Conf. 2010, 357−
Coquand, R.; Roche, B.; Voisin, B.; Triozon, F.; Vizioz, C.; Tosti, L.;
360.
Previtali, B.; Perreau, P.; Poiroux, T.; Sanquer, M.; Faynot, O. Solid-State
(31) Tai, C.-H.; Lin, J.-T.; Eng, Y.-C.; Lin, P.-H. Proc. IEEE Eur. Solid-
Electron. 2013, 84, 179−184.
State Device Res. Conf. 2010, 108−110.
(2) Frank, D. J.; Dennard, R. H.; Nowak, E.; Solomon, P. M.; Taur, Y.;
(32) Gundapaneni, S.; Ganguly, S.; Kottantharayil, A. IEEE Electron
Wong, H.-S. P. Proc. IEEE 2001, 89, 259−288.
Device Lett. 2011, 32, 261−263.
(3) Xu, M.; Zhu, H.; Zhao, L.; Yin, H.; Zhong, J.; Li, j.; Zhao, C.; Chen,
(33) Liu, T.-Y.; Pan, F.-M.; Sheu, J.-T. IEEE J. Electron Devices Soc.
D.; Ye, T. IEEE Electron Device Lett. 2015, 36, 648−650.
2015, 3, 405−409.
(4) Ferain, I.; Colinge, C. A.; Colinge, J.-P. Nature 2011, 479, 310−
(34) Su, C.-J.; Tsai, T.-I.; Liou, Y.-L.; Lin, Z.-M.; Lin, H.-C.; Chao, T.-S.
316.
IEEE Electron Device Lett. 2011, 32, 521−523.
(5) Sun, X.; Lu, Q.; Moroz, V.; Takeuchi, H.; Gebara, G.; Wetzel, J.;
(35) Moon, D.-I.; Choi, S.-J.; Duarte, J. P.; Choi, Y.-K. IEEE Trans.
Ikeda, S.; Shin, C.; Liu, T. − J. K. IEEE Electron Device Lett. 2008, 29,
Electron Devices 2013, 60, 1355−1360.
491−493.
(36) De Michielis, L. D.; Moselund, K. E.; Selmi, L.; Ionescu, A. M.
(6) Barraud, S.; Coquand, R.; Casse, M.; Koyama, M.; Hartmann, J.-
IEEE Trans. Nanotechnol. 2011, 10, 810−816.
M.; Maffini-Alvaro, V.; Comboroure, C.; Vizioz, C.; Aussenac, F.;
(37) Yu, Y. L. J.; Cai, F. X.; Tang, W. M.; Lai, P. T. In 2013 IEEE
Faynot, O.; Porioux, T. IEEE Electron Device Lett. 2012, 33, 1526−1528.
International Conference of Electron Devices and Solid-State Circuits
(7) Colinge, J. P. Microelectron. Eng. 2007, 84, 2071−2076.
(EDSSC); 2013, DOI: 10.1109/EDSSC.2013.6628054.
(8) Barrelet, C. J.; Greytak, A. N.; Lieber, C. M. Nano Lett. 2004, 4,
(38) Trevisoli, R. D.; Doria, R. T.; de Souza, M.; Pavanello, M. A.
1981−1985.
Semicond. Sci. Technol. 2011, 26, 105009.
(9) Hood, L.; Heath, J. R.; Phelps, M. E.; Lin, B. Science 2004, 306,
(39) Suh, K.-D.; Suh, B.-H.; Lim, Y.-H.; Kim, J.-K.; Choi, Y.-J.; Koh, Y.-
640−643.
N.; Lee, S.-S.; Kwon, S.-C.; Choi, B.-S.; Yum, J.-S.; Choi, J.-H.; Kim, J.-
(10) Patolsky, F.; Zheng, G.; Lieber, C. M. Anal. Chem. 2006, 78,
R.; Lim, H.-K. IEEE J. Solid-State Circuits 1995, 30, 1149−1156.
4260−4269.
(40) Singh, P.; Singh, N.; Miao, J.; Park, W.-T.; Kwong, D.-L. IEEE
(11) Lieber, C. M. MRS Bull. 2003, 28, 486−491.
Electron Device Lett. 2011, 32, 1752−1754.
(12) Iwai, H. Solid-State Electron. 2015, 112, 56−67.
(41) Choi, S.-J.; Moon, D.-I.; Duarte, J. P.; Kim, S.; Choi, Y.-K. VLSI
(13) Singh, N.; Agarwal, A.; Bera, L. K.; Liow, T. Y.; Yang, R.; Rustagi,
Symp. Technol. Dig. 2011, 74−75.
S. C.; Tung, C. H.; Kumar, R.; Lo, G. Q.; Balasubramanian, N.; Kwong,
D. − L. IEEE Electron Device Lett. 2006, 27, 383−386.
(14) Lee, H.; Yu, L. − E.; Ry u, S. − W.; Han, J. − W.; Jeon, K.; Jang, D.
− Y.; Kim, K. − H.; Lee, J.; Kim, J. − H.; Jeon, S. C.; Lee, G. S.; Oh, J. S.;
Park, Y. C.; Bae, W. H.; Lee, H. M.; Yang, J. M.; Yoo, J. J.; Kim, S. I.;
Choi, Y. − K. VLSI Symp. Technol. Dig. 2006, 58−59.
(15) Kyogoku, S.; Iwata, J.; Oshiyama, A. Phys. Rev. B: Condens. Matter
Mater. Phys. 2013, 87, 165418.
(16) Fang, W. W.; Singh, N.; Bera, L. K.; Nguyen, H. S.; Rustagi, S. C.;
Lo, G. Q.; Balasubramanian, N.; Kwong, D. − L. IEEE Electron Device
Lett. 2007, 28, 211−213.
(17) Dupre, C.; Hubert, A.; Becu, S.; Jublot, M.; Maffini-Alvaro, V.;
Vizioz, C.; Aussenac, F.; Arvet, C.; Barnola, S.; Hartmann, J.-M.;
Garnier, G.; Allain, F.; Colonna, J.-P.; Rivoire, M.; Baud, L.; Pauliac, S.;
Loup, V.; Chevolleau, T.; Rivallin, P.; Guillaumot, B.; Ghibaudo, G.;
Faynot, O.; Ernst, T.; Deleonibus, S. Technol. Digest IEEE Electron
Devices Meet 2008, 1−4.
(18) Bernard, E.; Ernst, T.; Guillaumot, B.; Vulliet, N.; Coronel, P.;
Skotnicki, T.; Deleonibus, S.; Faynot, O. IEEE Trans. Electron Devices
2009, 56, 1243−1251.
(19) Sacchetto, D.; Ben-Jamaa, M. H.; DeMicheli, G.; Leblebici, Y.
ESSDERC. Proceedings of the European 2009, 245−248.
(20) Ng, R. M. Y.; Wang, T.; Liu, F.; Zuo, X.; He, J.; Chan, M. IEEE
Electron Device Lett. 2009, 30, 520−522.
(21) Lee, B.-H.; Kang, M.-H.; Ahn, D.-C.; Park, J.-Y.; Bang, T.; Jeon, S.-
B.; Hur, J.; Lee, D.; Choi, Y.-K. Nano Lett. 2015, 15, 8056.
(22) Colinge, J.-P.; Lee, C.-W.; Afzalian, A.; Akhavan, N. D.; Yan, R.;
Ferain, I.; Razavi, P.; O’Neill, B.; Blake, A.; White, M.; Kelleher, A.-M.;
McCarthy, B.; Murphy, R. Nat. Nanotechnol. 2010, 5, 225−229.

1847 DOI: 10.1021/acs.nanolett.5b04926


Nano Lett. 2016, 16, 1840−1847

You might also like