You are on page 1of 12

Microelectronics Journal 114 (2021) 105141

Contents lists available at ScienceDirect

Microelectronics Journal
journal homepage: www.elsevier.com/locate/mejo

Nanosheet field effect transistors-A next generation device to keep Moore’s


law alive: An intensive study
J. Ajayan a , D. Nirmal b, ∗ , Shubham Tayal a , Sandip Bhattacharya a , L. Arivazhagan c ,
A.S. Augustine Fletcher b , P. Murugapandiyan d , D. Ajitha e
a
SR University, Warangal, Telangana, India
b
Karunya Institute of Technology and Sciences, Coimbatore, Tamilnadu, India
c Sri Ramakrishna Engineering College, Coimbatore, Tamilnadu, India
d
Anil Neerukonda Institute of Technology & Sciences, Visakhapatnam, Andhra Pradesh, India
e Sreenidhi Institute of Science and Technology, Hyderabad, Telangana, India

A R T I C L E I N F O A B S T R A C T

Keywords: Incessant downscaling of feature size of multi-gate devices such as FinFETs and gate-all-around (GAA) nanowire
FinFET (NW)-FETs leads to unadorned effects like short channel effects (SCEs) and self-heating effects (SHEs) which lim-
Gate-all-around (GAA)
its their performance and causes reliability issues. FinFET technology has resulted in a remarkable performance
Nanosheet (NS)
up to a feature size of 7 nm. The research community is expecting that GAA NW-FETs will take over FinFET
RC Delay
Sub-7-nm node
technology from 7 nm to 5 nm. However, further shrinking of feature size to 3 nm will impose severe challenges
TreeFET to the performance of these aforesaid multi-gate devices. Subsequently, the electron device designer community
Work function (WF) needs to look for alternative device designs like nanosheet FETs (NS-FETs) to overcome the limitations of the
FinFET and GAA NW-FETs technologies. The driving force behind the emergence of these NS-FETs is their ability
to scale down even below a feature size of 5 nm with negligible short channel effects. Therefore, in this review
article we have intensively investigated the NS-FETs in terms of impact of geometrical scaling, substrate mate-
rial effects, parasitic channel effects, thermal effects, compatibility with different metal gates, and source/drain
(S/D) metal depth effect. Consequently, it can be concluded that vertically stacked NS-FET is the most promis-
ing solution for future digital/analog integrated circuit applications due to their outstanding capability to keep
Moore’s Law alive.

1. Introduction with FinFET technology is that its gate covers only the three sides of
the rectangular Fin due to which the bottom side of the Fin comes in
Modern processors embedded with billions of transistors are one contact with the substrate. This results in a high leakage current even
of the most complex systems in the world. To fulfill the fast-changing the transistor is in off-state. To overcome this limitation, the gate-all-
consumer demands for tiny and high-performance gadgets, the tran- around (GAA) FETs were investigated by the semiconductor industry
sistor density in processors along with their performance needs to be [4–6].
enhanced. In other words, Moore’s law needs to be kept alive, that The better electrostatic channel control and reduced SCEs even for
is, downscaling of transistors must be continued. The semiconductors sub-7 nm technology node made GAA nanowire (NW) FETs one of the
giants like Intel, Samsung, and TSMC have already succeeded in devel- most promising solutions for future devices. However, the low drive
oping ICs with 7 nm technology node and working towards 5 nm tech- current in GAA NW-FETs caused by the lower ratio of effective chan-
nology node. FinFET technology was a breakthrough in achieving a nel width (Weff ) to layout foot print (LFP), i.e. Weff /LFP, limits their
7 nm technology node [1–3]. However, at future lower technology switching performance. To get rid of this problem, NWs can be stacked
nodes such as 5 (or 3) nm, FinFET technology will face some critical in a vertical manner. Further, these vertically stacked NWs result in
challenges like self-heating effects, severe short channel effects, transis- large parasitic capacitance of the device which is another severe chal-
tor performance, patterning, cost, and layout, etc. Another limitation lenge. The nanosheet (NS) transistors are the best solution to overcome

∗ Corresponding author.
E-mail addresses: j.ajayan@sru.edu.in (J. Ajayan), dnirmalphd@gmail.com (D. Nirmal).

https://doi.org/10.1016/j.mejo.2021.105141
Received 21 March 2021; Received in revised form 2 June 2021; Accepted 2 June 2021
Available online 16 June 2021
0026-2692/© 2021 Elsevier Ltd. All rights reserved.
J. Ajayan et al. Microelectronics Journal 114 (2021) 105141

the experimental demonstration of first ever vertically stacked p-type


GeSn GAA NS-FET using CVD process. GeSn has a higher hole mobility
than Ge, therefore, GeSn have recently emerged as a channel material
for p-type GAA NS-FETs. The introduction of Sn into Ge helps to reduce
the effective mass of holes which in turn leads to the improvement of
hole mobility. At 7-nm technology node NS-FETs exhibits relatively low
RC delay and higher ION (ON current) compared with FinFETs [7]. RC
delay (intrinsic delay) can be computed as [8].

RC = Cgg .Vdd ∕ION (2)

where Cgg and VDD represents gate capacitance and operating voltage
Fig. 1. 3-D views of (a) FinFET (b) stacked NW-FET (c) vertically stacked NS- respectively. This indicates that at sub-7 nm technology node, NS-FET
FET. outperforms FinFETs and exhibit outstanding potential to aggressively
scaling down as well as performance improvement compared with Fin-
FETs for future analog/digital integrated circuit applications [9–14].
these challenges because of their higher Weff /LFP and lower parasitic
The process of release of channel by selective sacrificial layer etch-
capacitances as compared to FinFET and GAA NW-FETs which in turn
ing is the key difference in fabrication of vertically stacked NS-FET
will provide high drive current [7–9]. The computing world always
compared to FinFET. Sacrificial layer is nothing but the layer inserted
aligns towards the advancement of transistors for better performance.
between the nanosheets in the vertically stacked NS-FETs. Usually SiGe
The compatibility of NS-FETs with different materials like Ge, InGaAs, is used as the sacrificial layer in Si-NS-FETs. Techniques such as chem-
InAs, InSb, SiGe, and GeSn, etc. makes it suitable for high computing
ical dry etching [11] and vapour HCl [12] are widely used for SiGe
needs. Consequently, there is no doubt that these NS FETs will lead the
etching process and these techniques have a limited selectivity to sil-
semiconductor device industry in the years to come.
icon and there is a possibility of unintentional etching of nanosheet
channels that may results in the variation in nanosheet channel thick-
2. Impact of nanosheet width/thickness scaling ness. It will also create an issue of different WNS in vertically stacked
NS-FETs with in a single substrate. In 2020, Sihyun Kim et al. [13]
The concept of GAA NS-FET is first introduced by IBM research investigated the impact of WNS on the electrical behaviour of stacked
group in 2015 [1]. In 2017, IBM research group experimentally demon- lateral Si-NS-FET with 3 stacked nanosheets and found that a low WNS
strated the first ever horizontally stacked GAA NS-FET which is consid- is required for achieving high electron density in the channels (Fig. 2
ered as a serious contender to replace FinFET technology at the 5-nm (a)), lower threshold voltage and higher ION (Fig. 2 (b)). Stacked lateral
node and beyond [2]. Large Weff /LFP ratio is the major advantage of NS-FETs with lower WNS exhibits reduced SS due to better gate con-
GAA NS-FETs. The first ever fabricated horizontally stacked GAA NS- trol. Sihyun Kim et al. also observed that a higher WNS results in the
FET had a gate length (LG ) of 12 nm and contacted poly pitch (CPP) increase of threshold voltage and SS due to poor gate controllability.
of 44/48 nm [2]. The 3-D view of FinFET, NW-FET and NS-FETs are When WNS was scaled down from 75 nm to 25 nm, CGS (gate-source
shown in Fig. 1. In FinFET, three sides of the Fins are covered by parasitic capacitance) was found to be increased by 8.31% [13]. WNS
the gate (Fig. 1 (a)). Therefore, at lower technology nodes, the elec- also affects the Dit (interface trap density) in stacked lateral NS-FETs
trostatic control is not as good as NW-FETs and NS-FETs. The aggres- due to the induction of Ge diffusion into the Si-nanosheets during the
sive miniaturization of FinFET leads to the reduction of contact area, thermal processes carried out before the process of releasing the chan-
fin depopulation and increase of sub-Fin leakage current which causes nel. Dit of narrow channel NS-FET is found to be smaller than that of
the severe degradation of its DC/RF performance. In Fig. 1 (b), the wide channel devices [13]. The impact of WNS on the SS and DIBL of
nanowires are stacked horizontally and vertically to improve the drive stacked lateral NS-FETs are illustrated in Fig. 2 (c) and Fig. 2 (d) respec-
current of GAA NW-FETs. The limited effective width of the channel tively. As the WNS of stacked lateral NS-FET increases, its gate control
(nanowire) is the only disadvantage of NW-FETs. In NS-FETs, width of over the nanosheets decreases and therefore SS and DIBL also increases
the nanosheet (WNS ) is not limited by Fin quantization and Fin pitch, [14]. In shorter gate length devices the impact will be more.
therefore, the device designers will get maximum freedom to increase Shuo Zhang et al. [15] demonstrated the performance of p-type sin-
WNS to achieve higher drive current [3]. Beyond 7-nm technology node, gle nanosheet and vertically stacked horizontal NS-FETs (Fig. 3) with
quantum mechanical effects comes into picture. Therefore, ballistic cur- Si and Ge channels. Shuo Zhang et al. has have investigated the impact
rent in FinFET, GAA NW-FET and GAA NS-FET usually decreases with of channel crystal orientations and channel width (Wch ) on the electri-
reduction in channel width. The ballistic current (Iballistic ) can be com- cal characteristics of p-type vertically stacked horizontal NS-FET with
puted as [4]. Si and Ge channels and observed that p-type NS-FET with vertically
stacked horizontal nanosheets exhibits higher ION compared with sin-
Iballistic = q.Ninv .vinj (1)
gle NS-FET for both Ge and Si channels. Crystal orientation of Si and Ge
where q, Ninv and vinj represent electron charge, inversion charge den- channels also plays a key role in determining ION of the devices (Fig. 4
sity and injection velocity respectively. (a) & (b)). In p-type vertically stacked horizontal Si-NS-FET, [111] crys-
D. Jang et al. [3] reported that the vinj in p-type SiGe NS-FET can be tal oriented channel exhibited higher ION than [110] and [100] crystal
enhanced significantly by reducing the WNS , however, vinj in n-type Si- oriented Si- channels. On the other hand, for p-type vertically stacked
NS-FET does not depend on WNS . Channel strain also enhances the vinj horizontal Ge-NS-FET, [100] crystal oriented channel provided highest
in NS-FETs. A lower nanosheet thickness is required to achieve low SS ION than [111] and [110] crystal oriented Ge channels. Increase in Wch
and DIBL in NS-FETs because reducing the nanosheet thickness helps to results in the decrease of ION and increase of SS and DIBL in p-type
improve the gate control over the nanosheets. In 2018, Chun-Lin Chu et NS-FETs with both Si and Ge channels. In both Si and Ge channel based
al. [5] experimentally demonstrated the first ever horizontally stacked p-type NS-FETs, a [100] crystal oriented channel provided lowest SS
p-channel and n-channel type Ge based GAA NS-FETs. The major bene- compared with [110] and [111] crystal oriented channels (Fig. 4 (c) &
fit of using Ge as a channel material is that it has higher charge mobil- (d)). When Wch increases, source to drain tunnelling rate also increases
ity compared with Si and SiGe materials. LPCVD (low pressure chem- due to the poor gate control of the nanosheets. Therefore, with increase
ical vapour deposition) process was used to fabricate the horizontally in Wch in p-type Si and Ge channel based NS-FETs, IOFF and SS also
stacked Ge-GAA NS-FET. Sooner, Yu-Shiang Huang et al. [6] reported increases.

2
J. Ajayan et al. Microelectronics Journal 114 (2021) 105141

Fig. 2. Impact of WNS on (a) electron density in the channel [13] (b) transfer curves [13] (c) subthreshold swing (SS) [14] and (d) DIBL of Lateral NS-FETs [14].

Fig. 3. (a) NS-FET with single nanosheet (b) NS-FET with vertically stacked horizontal nanosheets [15].

The impact of nanosheet thickness (NSH_TH) and nanosheet width a significant impact on the electrical characteristics of NS-FETs because
(NSH_W) on the ION of vertically stacked NS-FET is illustrated in Fig. 5 the substrate material can affect the carrier mobility in the channel. NS-
(a). A wider and thinner nanosheet is required to achieve higher ION . FET with SOI wafer exhibited higher electron mobility in the nanosheet
IOFF can also be reduced by using thin nanosheet channels. As NSH_TH channel due to elimination of dopants intrusion from the wafer. On
increases, the potential barrier height in the conduction band energy of the other hand, NS-FET with PTS-Si substrate provides lowest electron
the channel decreases which results in the increase of IOFF . DIBL of ver- mobility in the nanosheet channel due to dopant diffusion into the chan-
tically stacked NS-FET is also a strong dependent of both NSH_W and nel from the wafer during the annealing treatments. The diffusion of
NSH_TH and it is found that DIBL increases with increase in NSH_W as dopants from PTS-Si substrate to the Si-nanosheet channel enhances
well as NSH_TH (Fig. 5 (b)). Therefore, thinner and wider nanosheet the Coulomb scattering effects which degrade the electron mobility in
channels are essential for enhancing the ION /IOFF ratio of vertically the nanosheet. Therefore, NS-FETs with SOI and SSR-Si substrates pro-
stacked GAA NS-FETs (Fig. 6 (a)). fT and fmax of vertically stacked vide higher transconductance (Fig. 7 (a)) and drain current compared
GAA NS-FET are also found to be increasing with respect to increase with PTS-Si substrate. Due to the absence of parasitic channel for lower
in NAS_W (Fig. 6 (b) & (c) respectively). However, a thicker nanosheet NSH_W in vertically stacked GAA NS-FETs with SOI and PTS-Si sub-
is required to improve both fmax and fT . This is due to the fact that the strates, IOFF will be minimum in these devices compared with SSR-Si
Cgs /Cgd ratio decreases with increase in NSH_TH (Fig. 6 (d)). A large substrate based NS-FETs. For wider NSH_W, NS-FETs with SOI wafer
NSH_TH also results in the increase of drain conductance and decrease exhibits higher gds (drain conductance) (Fig. 7 (b)) due to the lowering
of maximum intrinsic gain [16]. of energy barrier in parasitic channel.

3. Impact of substrate materials 4. Impact of parasitic nanosheet channel height

In 2019, V. Jegadheesan et al. [16] investigated the impact of SOI Parasitic nanosheet channel is nothing but the nanosheet channel
(silicon on insulator), SSR-Si (Super steep retrograde-Si) and PTS-Si which is placed below the vertically stacked nanosheet channels in NS-
(Punch-through stopper-Si) substrates on the RF/analog performance FETs. The height of the parasitic nanosheet channel (Hch ) significantly
of vertically stacked NS-FETs and observed that the wafer material has affects the DC characteristics of the vertically stacked GAA NS-FETs. In

3
J. Ajayan et al. Microelectronics Journal 114 (2021) 105141

Fig. 4. (a) Impact of Wch on ION of Si-NS-FET (b) impact of Wch on ION of Ge-NS-FET (c) impact of Wch on SS of Si-NS-FET (d) impact of Wch on SS of Ge-NS-FET
[15].

Fig. 5. Impact of NSH_W and NSH_TH on the (a) ION and (b) DIBL of NS-FETs [16].

2020, Yunho Choi et al. [17] investigated the impact of Hch on the DC their gate lengths [18–21]. Aggressive scaling down of LG in NS-FETs
performance of vertically stacked GAA NS-FET and observed that SS, severely degrade the SCEs. The electron velocity as a function of trans-
DIBL and ION /IOFF ratio improves with increase in Hch . The impact of port direction for NS-FET, NW-FET and FinFET are plotted in Fig. 9
Hch on the transfer curves of vertically stacked GAA NS-FET is illus- (a). GAA NS-FET exhibits highest electron velocity under the gate com-
trated in Fig. 8 (a). The impact of Hch and ground plane doping con- pared to NW-FET and FinFET and this may be due to their outstanding
centration on the SS, DIBL and ION /IOFF ratio of the vertically stacked sub-band occupation and better gate control over the nanosheet chan-
GAA NS-FET is depicted in Fig. 8 (b)–(d) respectively. The use of ground nel. The impact of LG down scaling and S/D doping density (NS/D ) on
plane doping helps to minimize the effect of parasitic nanosheet channel the SS, VT and ION /IOFF ratio of FinFET, NW-FET and NS-FET are illus-
in vertically stacked GAA NS-FETs. The ground plane doping can effec- trated in Fig. 9 (b)–(d) respectively. SS of all the three devices severely
tively minimize the punch through and it can also increase the thresh- degrades with aggressive downscaling of LG . Among the three devices,
old voltage of the parasitic nanosheet channel. Gate capacitance and NW-FET exhibits least SS. SS is also found to be degrading severely with
RC delay of vertically stacked GAA NS-FET also increases with increase increase in NS/D . Moreover, a higher NS/D leads to the reduction in VT
in Hch . SS and DIBL of vertically stacked GAA NS-FET can be reduced and ION /IOFF ratio of the devices. GAA NS-FET exhibits better ION /IOFF
effectively by increasing Hch and ground plane doping concentration. A ratio compared with NW-FET and FinFET for the same device feature
large Hch and high ground plane doping also results in the enhancement sizes. Aggressive scaling down of LG leads to the reduction of VT which
of ION /IOFF ratio. in turn increases the IOFF and degrades the ION /IOFF ratio of NS-FETs.
GAA NS-FET offers higher drive current compared to GAA NW-FETs
5. Impact of gate length scaling and FinFETs due to their large effective WNS per layout footprint and
reduced parasitic capacitances. The large effective WNS of GAA NS-FETs
Self heating effect is a serious concern in multi-gate transistors since help to improve the volume inversion under ON state conditions that
it limit the reliability and performance with aggressive down scaling of also results in the improvement of ION . In 2019, Meng-Ju Tsai et al.

4
J. Ajayan et al. Microelectronics Journal 114 (2021) 105141

Fig. 6. Impact of NSH_TH and NSH_W on the (a) ION /IOFF ratio (b) cut off frequency (fT ) (c) maximum oscillation frequency (fmax ) and (d) Cgs /Cgd ratio of vertically
stacked GAA NS-FET [16].

Fig. 7. Impact of SOI, SSR-Si and PTS-Si substrates on the (a) transconductance (gm ) and (b) drain conductance (gds ) of vertically stacked GAA NS-FET [16].

[23] experimentally demonstrated the impact of tri-gate, omega-gate ature dependent scattering mechanisms in n-type Si0.15 Ge0.85 NS-FET.
and GAA structures on the scalability of NS-FETs and observed that NS- At 300 K, impurity scattering dominates where as phonon scattering
FET with GAA structure is preferable for achieving reduced SCEs. That will be dominant at 80 K. Lattice temperature of the device also sig-
is, NS-FET with GAA structure exhibits extremely low SS (Fig. 10 (a)) nificantly degrade the carrier mobility by enhancing phonon scattering
and DIBL (Fig. 10 (b)) compared to NS-FET with tri-gate and omega- [25]. In 2020, Sankatali Venkateswarlu et al. [25] reported that the
gate structures. This extremely low SS and DIBL of GAA NS-FET indi- thermal conductivity of the nanosheets decreases with increase in tem-
cates their outstanding scalability compared to NS-FET with tri-gate and perature. Increasing the width of nanosheet helps to reduce the ther-
omega-gate structures. mal resistance of the device and hence to improve the ION . In 2019,
Meng-Ju Tsai et al. [23] investigated the impact of temperature on the
6. Impact of temperature DC performance of vertically stacked p-type NS-FET with GAA, omega
– gate and tri-gate architectures and observed that vertically stacked
Temperature is a key parameter in the design of NS-FETs because p-channel NS-FET with GAA architecture exhibited least SS (Fig. 11
it can significantly affect its AC/DC performance. In 2019, Po-Hsiang (a)) and least threshold voltage (Fig. 11 (b)) compared with NS-FET
Liao et al. [24] experimentally demonstrated the impact of tempera- with omega-gate and tri-gate structures. It clearly points out that ver-
ture on the ON/OFF state performance of n-type Si0.15 Ge0.85 NS-FET. tically stacked NS-FET with GAA technology is the most promising
Po-Hsiang Liao et al. observed that when temperature is varied from solution for improving the scalability towards future 3-nm technology
300 K to 80 K, the ION /IOFF ratio of n-type Si0.15 Ge0.85 NS-FET is found node.
to be increasing from 5 × 107 to 5 × 108 at VDS = 0.5 V. The SS of
the n-type Si0.15 Ge0.85 NS-FET was found to be increasing when tem- 7. Impact of gate metal work function
perature was raised from 80 K to 300 K. A rise in temperature leads to
the increase of IOFF which in turn degrade the SS of the device. Phonon Work function of the gate metal and gate metal thickness are two
scattering and impurity scattering are the two most important temper- important parameters which can be used to modulate the threshold

5
J. Ajayan et al. Microelectronics Journal 114 (2021) 105141

Fig. 8. The impact of Hch on the (a) transfer curves (b) SS (c) DIBL and (d) ION /IOFF ratio of vertically stacked GAA NS-FET [17].

Fig. 9. (a) The electron velocity as a function of transport direction for NS-FET, NW-FET and FinFET (b) The impact of LG down scaling and S/D doping density
(NS/D ) on the SS (c) The impact of LG down scaling and S/D doping density (NS/D ) on the threshold voltage (VT ) (d) The impact of LG down scaling and S/D doping
density (NS/D ) on the ION /IOFF ratio [22].

voltage of NS-FETs. In 2018, Jun-Sik Yoon et al. [26] investigated the threshold voltage decreases with increase in gate metal work function
impact of gate metal work function and gate metal thickness on the (Fig. 12 (a)). The increase of gate metal work function leads to the
DC performance of both n and p type vertically stacked GAA NS-FETs increase in threshold voltage of the device which in turn reduces both
using TCAD simulations by varying the work function from 4.22 eV ION and IOFF of the device. Bottom side nanosheet width (Wbot )/top side
to 4.82 eV. It was observed that in n-type vertically stacked GAA NS- nanosheet width (Wtop ) ratio also modulates the threshold voltage and
FETs, threshold voltage increases with respect to increase in work func- drive current of both n and p channel vertically stacked GAA NS-FETs.
tion. On the other hand, in p-type vertically stacked GAA NS-FET, the Both n and p-channel NS-FETs with large Wbot and Wtop exhibit rela-

6
J. Ajayan et al. Microelectronics Journal 114 (2021) 105141

Fig. 10. The impact of LG downscaling on the SS and DIBL of NS-FETs with tri-gate, omega-gate and GAA structures [23].

Fig. 11. Impact of temperature on the (a) SS and (b) threshold voltage of the vertically stacked NS-FET with omega-gate, tri-gate and GAA structures [23].

Fig. 12. The impact of work function on the (a) threshold voltage (Vth ) and (b) drive current of n and p channel vertically stacked GAA NS-FETs [26].

tively low threshold voltage compared to NS-FETs with smaller Wbot On the other hand, n-type NS-FET exhibits an opposite trend in electron
and Wtop (Fig. 12 (a)). The drive current of both n and p-channel ver- density in the nanosheets compared with p-type NS-FETs.
tically stacked GAA NS-FETs decreases when gate metal work func-
tion is increased or decreased from 4.52 eV due to the shifting of 8. Impact of source/drain metal depth
threshold voltage. Moreover, both n and p channel vertically stacked
GAA NS-FETs with large Wbot and Wtop (Wbot/Wtop = 50/45 nm) Scaling down of nanosheet/channel width or depopulation of Fin
exhibits relatively high drive current compared to devices with smaller along with the reduction of cell height results in the drastic increase
(Wbot /Wtop = 20/15 nm) Wbot and Wtop due to the large effective in source-drain parasitic resistance (RSD ) which severely degrade the
width of the channel (Fig. 12 (b)). The carrier density in the nanosheet DC performance of multi-gate transistors including NS-FETs [27–38].
changes significantly with variation in gate metal work function. This is Metallic source/drain (S/D) structure can be used to effectively mini-
also a major reason for the shifting of threshold voltage and drive cur- mize RSD beyond 7-nm technology node. The S/D metal depth (TM0 )
rent in NS-FETs. For a p-type NS-FET with a work function of 4.22 eV, can significantly affect the DC/AC performance of NS-FETs. The impact
a large amount of hole density will be created at the centre of the of TM0 on the transfer curves of p and n channel NS-FETs with metal-
nanosheet and the hole density formed near the top and edge of the lic S/D contacts are illustrated in Fig. 13 (a) and Fig. 13 (b) respec-
nanosheets are very small. When work function increases from 4.22 eV tively. In 2019, Jun-Sik Yoon [39] reported that increasing the TM0
to 4.82 eV, the hole density created at the edge of nanosheet nearer from 0 nm to 50 nm resulted in the enhancement of drain current in
to the work function metal increases and only a very small amount of both p and n channel GAA vertically stacked NS-FETs. This may be due
hole density will be created at the edge of bottom nanosheet channel. to the increase of gate capacitance with increase in TM0 . RC delay and

7
J. Ajayan et al. Microelectronics Journal 114 (2021) 105141

Fig. 13. The impact of S/D metal depth (TM0 ) on (a) transfer curves of P-type vertically stacked GAA NS-FET (b) transfer curves of N-type vertically stacked GAA
NS-FET (c) ION of P-type vertically stacked GAA NS-FET and (d) ION of N-type vertically stacked GAA NS-FET [39].

Fig. 14. 2-D cross sectional view of vertically stacked NS-FET (TreeFET) and its comparison with FinFET and NS-FET [40].

RSD of the vertically stacked GAA NS-FET can also be minimized effec- difference in threshold voltages between the nanosheet and interbridge
tively by increasing the TM0 . The impact of TM0 and contact resistivity channels for the optimization of ION in TreeFETs. The TreeFET with low
(𝜌con ) on the ION of both p and n type vertically stacked GAA NS-FETs HIB (7.5 nm & 10 nm) provides very low channel charge density (nch )
are illustrated in Fig. 13 (c) and Fig. 13 (d) respectively. A low contact compared with stacked NS-FET without interbridge structure. This indi-
resistivity and high TM0 is essential for enhancing the ION of vertically cates that the interbridge channel requires a sufficient height (greater
stacked GAA NS-FETs. than 10 nm) to improve the nch and ION . The impact of HIB on the
nch of the TreeFET is plotted in Fig. 15 (a). It was observed that the
nch of the TreeFET increases with increase in HIB . ION of the TreeFET
9. Future research directions IN NS-FETs
increases with increase in HIB due to the enhancement of electron den-
sity in the inter bridge channel. ION of TreeFET also increases with
TreeFETs and GAA negative capacitance NS-FETs (GAA NC NS-
increase in WNS Fig. 15 (b). The HIB does not affect the charge den-
FETs) are considered as the future of NS-FETs towards 3 nm node. Verti-
sity in nanosheet channels, however, the charge density in the inter
cally stacked NS-FET with Fin shaped inter bridge channel, also known
bridge channel improves with increase in HIB .
as TreeFET, can effectively improve ION compared with conventional
Voltage scaling in GAA NS-FETs can be enabled by introducing neg-
vertically stacked GAA NS-FETs due to the additional channel area for
ative capacitance (NC) effects. The introduction of NC effect also help
carrier transport from source to drain. In 2020, Hung-Yu Ye et al. [40]
to achieve sub-60 mV/dec SS in GAA NS-FETs. In 2020, Fahimul Islam
investigated the influence of height of the inter bridge (HIB ) and width
Sakib et al. [41] investigated the DC performance of Si-GAA NC-NS-
of the inter bridge (WIB ) on the DC performance of vertically stacked
FET using TCAD simulations. In order to introduce the NC effect, a
Ge-NS-FETs. The 2-D cross sectional view of vertically stacked NS-FET
metal-ferroelectric-metal-insulator-semiconductor (MFMIS) was used in
(TreeFET) is shown in Fig. 14. The geometry of TreeFET is considered
the gate stack of GAA NS-FETs. It was observed that Si-GAA NC NS-FET
as a combination of FinFET and stacked NS-FET. The TreeFET consists
exhibited 9% and 38% lower SS compared with NC-NW FET and NC-
of a vertical interbridge channel (Fin-shaped structure) that connects
FinFET respectively. Thickness of the ferroelectric layer (TFE ) and gate
the vertically stacked nanosheets. It is very important to reduce the

8
J. Ajayan et al. Microelectronics Journal 114 (2021) 105141

Fig. 15. (a) The impact of HIB on the channel charge density (nch ) of the TreeFET (b) The impact of WNS on the ION of the TreeFET [40].

Fig. 16. (a) The impact of TFE on the ION of NC NW-FET, NC FinFET and NC NS-FET (b) The impact of TFE on the SS of NC NW-FET, NC FinFET and NC NS-FET (c)
The transfer curves of NC FinFET, NC NW-FET and NC NS-FETs (d) The variation in SS with voltage (VDD ) scaling of single and double stacked NC NS-FETs [41].

charge (QG ) are the two critical parameters that influences the voltage Si-GAA NC NS-FET is illustrated in Fig. 17 (d). The threshold voltage of
across the ferroelectric layer (VFE ). The impact of TFE on the ION and the Si-GAA NC NS-FET is found to be increasing with increase in WF of
SS of NC NW-FET, FinFET and NS-FET are plotted in Fig. 16 (a) and the gate metal. However, a large WF is required to achieve lower IOFF
(b) respectively. The ION of all the devices were found to be increasing and higher ION /IOFF ratio in NC NS-FETs. An overview of state of the
with increase in TFE . Moreover, the SS of the devices were found to be art DC performance of NS FETs are given in Table 1. There is no doubt
decreasing with increase in TFE . Therefore, a large TFE is desirable to that the NS-FETs are going to dominate the semiconductor industry in
achieve higher ION and lower SS. NC NS-FET exhibits ION and lower the coming years due to their outstanding scalability without degrading
SS compared with NC NW-FET and NC FinFET. The transfer curves of the performance [42–62].
NC FinFET, NC NW-FET and NC NS-FETs are plotted in Fig. 16 (c). For incessant scaling with silicon to keep Moore’s la alive, NS-FETs
Single stack NC NS-FET exhibits higher ION and lower SS compared to are considered as the most promising solution especially for future 3-
double stack NC NS-FET due to reduced parasitic effects. The variation nm technology node [42–63]. At 7-nm technology node, Si-NS-FET pro-
in SS with voltage (VDD ) scaling of single and double stacked NC NS- vides higher ION and gm at reduced SCEs compared to FinFET and NW-
FETs are depicted in Fig. 16 (d). A lower LG is preferable to achieve FET technologies due to the large effective WNS for the same wafer
low SS as well as DIBL in GAA NC NS-FETs (Fig. 17 (b) and (c) respec- footprint. It is also possible to integrate flash memory and dynamic
tively). The impact of nanosheet dimensions (TNS xWNS ) on the transfer random access memory (DRAM) onto a single NS-FET because of its
curves of GAA NC NS-FET is depicted in Fig. 17 (a). A large TNS xWNS stacked nanosheet structure. This is a major advantage of these transis-
is required to achieve higher ION in Si-GAA NC NS-FETs. However, a tors which makes them attractive for future analog/digital system on
large TNS also results in the increase of IOFF due to its poor gate control chip (SoC) applications. NS-FETs with wider WNS offers very low RC
over the nanosheets. SS and DIBL also increases with increase in TNS . delay and very high ION , gm and fT which also makes them suitable for
The impact of metal work function (WF) on the threshold voltage of future high performance ultra low power integrated circuit applications

9
J. Ajayan et al. Microelectronics Journal 114 (2021) 105141

Fig. 17. (a) The impact of nanosheet dimensions (TNS xWNS ) on the transfer curves of GAA NC NS-FET (b) SS Vs LG characteristics (c) DIBL Vs LG characteristics (d)
Variation of threshold voltage with metal work function [41].

Table 1
An overview of DC performance of NS FETs (WNS : Nanosheet Width, SS: Subthreshold swing, Ref: Reference, LG :
Gate Length).
Ref. LG (nm) WNS (nm) Channel material ION 𝜇 A/𝜇 m ION /IOFF ratio SS mV/dec
[5] 80 90 Ge 1510 105 140
[5] 80 90 Ge 1650 104 130
[2] 12 15 Si – 106 75
[2] 12 15 Si – 106 85
[63] 200 20–100 InGaAs 1350 – –
[6] 60 18.7 GeSn 1975 7 × 104 108
[24] 75 60–100 SiGe >500 5 × 108 150
[13] 14 25 Si 290.5 – 68.10
[13] 14 50 Si 283.7 – 70.01
[13] 14 75 Si 283.1 – 71.04
[16] 7 10 Si 68 2.6 × 107 71
[20] 2500 1500 ZnO – 108 400
[23] 1000 1276 Si – 7.5 × 106 187
[23] 1000 1300 Si – 1.6 × 107 149
[23] 1000 4604 Si – 2.03 × 108 100
[55] 60 135 SiGe 37.6 1.8 × 105 86
[22] 12 50 Si 699 1.2 × 105 71
[60] 12 40 Si 1130 1.13 × 105 79.8
[60] 12 40 Si 1410 1.41 × 105 73.9

[64–71]. The drive current capability of vertically stacked NS-FETs can can be scaled down continuously even below 5 nm technology node
be further improved by replacing traditional silicon and germenium with negligible short channel effects. However, the quantum mechani-
channel materials with III-V materials such as InGaAs, InAs, InSb and cal effects become severe at these sub-5 nm technology nodes. To toss
GaN [72–78]. The use of High-K gate dielectric materials with permit- out this issue, GAA NS FETs in Vertical stacked horizontal configu-
tivity greater than 20 will help to scaledown the vertically stacked NS- ration is explored by the researchers. Further, the effective width of
FETs towards 3 nm technology node. nanosheets in NS-FETs is not limited by Fin quantization as well as
Fin-pitch, which in turn, gives the flexibility of width adjustment to
10. Conclusion the device/circuit designers for better performance and power manage-
ment. The NS-FETs compatibility with different metal gates in gate-
This review article emphasized the effect of geometrical scaling, stack configuration allows tuning its threshold voltage that in turn
the impact of substrate materials, parasitic channel height effects, the attracts the research community towards these devices for below 5 nm
influence of thermal and source/drain (S/D) metal depth effects on the technology node. Consequently, it can be concluded that NS-FETs is the
digital/analog performance of NS-FETs. The compatibility of NS-FETs most promising candidate for future digital/analog integrated circuit
with different metal gates along with the crystal orientation of the applications.
nanosheets have also been explored. It has been found that NS-FETs

10
J. Ajayan et al. Microelectronics Journal 114 (2021) 105141

Author statement [18] Wangyong Chen, Linlin Cai, Kunliang Wang, Xing Zhang, Xiaoyan Liu, Gang Du,
Statistical simulation of self-heating induced variability and reliability with
application to nanosheet-fets based sram, Microelectron. Reliab. 98 (2019) 63–68.
Dr. J. Ajayan and Dr. D. Nirmal have role in Conceptualization, [19] Ghader Darbandy, Sven Mothes, Michael Schröter, Kloes Alexander, Claus Martin,
Methodology and Writing Original Draft, Dr. Shubham Tayal, Dr. Performance analysis of parallel array of nanowires and a nanosheet in sg, dg and
Sandip Bhattacharya and Mr. L. Arivazhagan, have the credits to Soft- gaa fets, Solid State Electron. 162 (2019) 107641.
[20] Abhishek Singh Dahiya, R.A. Sporea, G. Poulin-Vittrant, D. Alquier, Stability
ware, Validation and Investigation, Dr. A. S. Augustine Fletcher, Dr. P. evaluation of zno nanosheet based source-gated transistors, Sci. Rep. 9 (1) (2019)
Murugapandiyan and Dr. D. Ajitha have their credits in Formal analysis, 1–11.
Resources, Data Curation, Writing Review and Editing. [21] Jun-Sik Yoon, Jinsu Jeong, Seunghwan Lee, Rock-Hyun Baek,
Punch-through-stopper free nanosheet fets with crescent inner-spacer and isolated
source/drain, IEEE Access 7 (2019) 38593–38596.
Declaration of competing interest [22] Daniel Nagy, Espineira Gabriel, Guillermo Indalecio, Antonio J. García-Loureiro,
Karol Kalna, Natalia Seoane, Benchmarking of finfet, nanosheet, and nanowire fet
The authors declare that they have no known competing financial architectures for future technology nodes, IEEE Access 8 (2020) 53196–53202.
[23] Meng-Ju Tsai, Kang-Hui Peng, Chong-Jhe Sun, Siao-Cheng Yan, Chieng-Chung
interests or personal relationships that could have appeared to influence Hsu, Yu-Ru Lin, Yu-Hsien Lin, Yung-Chun Wu, Fabrication and characterization of
the work reported in this paper. stacked poly-si nanosheet with gate-all-around and multi-gate junctionless field
effect transistors, IEEE J. Electron Dev. Soc. 7 (2019) 1133–1139.
[24] Po-Hsiang Liao, Kang-Ping Peng, Horng-Chih Lin, Thomas George, Pei-Wen Li,
References Self-organized ge nanospherical gate/sio 2/si 0.15 ge 0.85–nanosheet n-fets
featuring high on-off drain current ratio, IEEE J. Electron Dev. Soc. 7 (2018)
[1] Seong-Dong Kim, Michael Guillorn, Isaac Lauer, Phil Oldiges, Terence Hook, Na 46–51.
Myung-Hee, Performance trade-offs in finfet and gate-all-around device [25] Sankatali Venkateswarlu, Kaushik Nayak, Hetero-interfacial thermal resistance
architectures for 7nm-node and beyond, in: 2015 IEEE SOI-3D-Subthreshold effects on device performance of stacked gate-all-around nanosheet fet, IEEE
Microelectronics Technology Unified Conference (S3S), IEEE, 2015, pp. 1–3. Trans. Electron. Dev. 67 (10) (2020) 4493–4499.
[2] N. Loubet, T. Hook, P. Montanini, C.-W. Yeung, S. Kanakasabapathy, M. Guillom, [26] Jun-Sik Yoon, Jinsu Jeong, Seunghwan Lee, Rock-Hyun Baek, Multi Vth strategies
T. Yamashita, J. Zhang, X. Miao, J. Wang, et al., Stacked nanosheet of 7-nm node nanosheet fets with limited nanosheet spacing, IEEE J. Electron Dev.
gate-all-around transistor to enable scaling beyond finfet, in: 2017 Symposium on Soc. 6 (2018) 861–865.
VLSI Technology, IEEE, 2017, pp. T230–T231. [27] Jingyun Zhang, Takashi Ando, Chun Wing Yeung, Miaomiao Wang, Ohseong
[3] Doyoung Jang, Dmitry Yakimets, Geert Eneman, Pieter Schuddinck, Marie Garcia Kwon, Rohit Galatage, Robin Chao, Nicolas Loubet, Bum Ki Moon, Ruqiang Bao,
Bardon, Praveen Raghavan, Alessio Spessot, Diederik Verkest, Anda Mocuta, et al., High-k metal gate fundamental learning and multi-v t options for stacked
Device exploration of nanosheet transistors for sub-7-nm technology node, IEEE nanosheet gate-all-around transistor, in: 2017 IEEE International Electron Devices
Trans. Electron. Dev. 64 (6) (2017) 2707–2713. Meeting (IEDM), IEEE, 2017, 22–1.
[4] Doyoung Jang, Dmitry Yakimets, Geert Eneman, Pieter Schuddinck, Marie Garcia [28] S. Barraud, V. Lapras, B. Previtali, M.P. Samson, J. Lacord, S. Martinie, M.-A. Jaud,
Bardon, Praveen Raghavan, Alessio Spessot, Diederik Verkest, Anda Mocuta, S. Athanasiou, F. Triozon, O. Rozeau, et al., Performance and design
Device exploration of nanosheet transistors for sub-7-nm technology node, IEEE considerations for gate-all-around stacked-nanowires fets, in: 2017 IEEE
Trans. Electron. Dev. 64 (6) (2017) 2707–2713. International Electron Devices Meeting (IEDM), IEEE, 2017, 29–2.
[5] Chun-Lin Chu, Kehuey Wu, Guang-Li Luo, Bo-Yuan Chen, Shih-Hong Chen, Wen-Fa [29] R. Huang, X.B. Jiang, S.F. Guo, P.P. Ren, P. Hao, Z.Q. Yu, Z. Zhang, Y.Y. Wang,
Wu, Wen-Kuan Yeh, Stacked ge-nanosheet gaafets fabricated by ge/si multilayer R.S. Wang, Variability-and reliability-aware design for 16/14nm and beyond
epitaxy, IEEE Electron. Device Lett. 39 (8) (2018) 1133–1136. technology, in: 2017 IEEE International Electron Devices Meeting (IEDM), IEEE,
[6] Yu-Shiang Huang, Fang-Liang Lu, Ya-Jui Tsou, Hung-Yu Ye, Shih-Ya Lin, 2017, 12–4.
Wen-Hung Huang, C.W. Liu, Vertically stacked strained 3-gesn-nanosheet pgaafets [30] Siva Ramesh, Ts Ivanov, Vamsi Putcha, A. Alian, Arturo Sibaja-Hernandez, Rita
on si using gesn/ge cvd epitaxial growth and the optimum selective channel Rooyackers, Elisabeth Camerotto, Alexey Milenin, Nicolo Pinna, Salim El Kazzi,
release process, IEEE Electron. Device Lett. 39 (9) (2018) 1274–1277. et al., Record performance top-down in 0.53 ga 0.47 as vertical nanowire fets and
[7] Jun-Sik Yoon, Jinsu Jeong, Seunghwan Lee, Rock-Hyun Baek, Systematic dc/ac vertical nanosheets, in: 2017 IEEE International Electron Devices Meeting (IEDM),
performance benchmarking of sub-7-nm node finfets and nanosheet fets, IEEE J. IEEE, 2017, 17–1.
Electron Dev. Soc. 6 (2018) 942–947. [31] N. Yoshida, S. Hassan, W. Tang, Y. Yang, W. Zhang, S.C. Chen, L. Dong, H. Zhou,
[8] Edward J. Nowak, Ultimate cmos ulsi performance, in: Proceedings of IEEE M. Jin, M. Okazaki, et al., Highly conductive metal gate fill integration solution for
International Electron Devices Meeting, IEEE, 1993, pp. 115–118. extremely scaled rmg stack for 5 nm & beyond, in: 2017 IEEE International
[9] Jungsik Kim, Jeong-Soo Lee, Jin-Woo Han, M. Meyyappan, Single-event transient Electron Devices Meeting (IEDM), IEEE, 2017, 22–2.
in finfets and nanosheet fets, IEEE Electron. Device Lett. 39 (12) (2018) [32] X. He, J. Fronheiser, P. Zhao, Z. Hu, S. Uppal, X. Wu, Y. Hu, R. Sporer, L. Qin, R.
1840–1843. Krishnan, et al., Impact of aggressive fin width scaling on finfet device
[10] Gage Hills, Marie Garcia Bardon, Gerben Doornbos, Dmitry Yakimets, Pieter characteristics, in: 2017 IEEE International Electron Devices Meeting (IEDM),
Schuddinck, Rogier Baert, Doyoung Jang, Luca Mattii, Syed Muhammed, Yasser vols. 20–2, IEEE, 2017.
Sherazi, Dimitrios Rodopoulos, et al., Understanding energy efficiency benefits of [33] Zoran Krivokapic, U. Rana, R. Galatage, A. Razavieh, A. Aziz, J. Liu, J. Shi, H.J.
carbon nanotube field-effect transistors for digital vlsi, IEEE Trans. Nanotechnol. Kim, R. Sporer, C. Serrao, et al., 14nm ferroelectric finfet technology with steep
17 (6) (2018) 1259–1269. subthreshold slope for ultra low power applications, in: 2017 IEEE International
[11] S. Borel, C. Arvet, J. Bilde, S. Harrison, D. Louis, Isotropic etching of sige alloys Electron Devices Meeting (IEDM), IEEE, 2017, 15–1.
with high selectivity to similar materials, Microelectron. Eng. 73 (2004) 301–305. [34] Alon Vardi, Lisa Kong, Wenjie Lu, Xiaowei Cai, Xin Zhao, Jesús Grajal, Jesús A del
[12] Marius Orlowski, Coumba Ndoye, Liu Tong, Mantu Hudait, Si, sige, ge, and iii-v Alamo, Self-aligned ingaas finfets with 5-nm fin-width and 5-nm gate-contact
semiconductor nanomembranes and nanowires enabled by sige epitaxy, ECS separation, in: 2017 IEEE International Electron Devices Meeting (IEDM), IEEE,
Transactions 33 (6) (2010) 777. 2017, 17–6.
[13] Sihyun Kim, Munhyeon Kim, Donghyun Ryu, Kitae Lee, Soyoun Kim, Junil Lee, [35] X. Zhao, C. Heidelberger, E.A. Fitzgerald, W. Lu, A. Vardi, J.A. Del Alamo, Sub-10
Ryoongbin Lee, Sangwan Kim, Jong-Ho Lee, Byung-Gook Park, Investigation of nm diameter ingaas vertical nanowire mosfets, in: 2017 IEEE International
electrical characteristic behavior induced by channel-release process in stacked Electron Devices Meeting (IEDM), IEEE, 2017, 17–2.
nanosheet gate-all-around mosfets, IEEE Trans. Electron. Dev. 67 (6) (2020) [36] O. Badami, F. Driussi, P. Palestri, L. Selmi, D. Esseni, Performance comparison for
2648–2652. finfets, nanowire and stacked nanowires fets: focus on the influence of surface
[14] Sihyun Kim, Munhyeon Kim, Donghyun Ryu, Kitae Lee, Soyoun Kim, Junil Lee, roughness and thermal effects, in: 2017 IEEE International Electron Devices
Ryoongbin Lee, Sangwan Kim, Jong-Ho Lee, Byung-Gook Park, Investigation of Meeting (IEDM), IEEE, 2017, 13–2.
electrical characteristic behavior induced by channel-release process in stacked [37] Vita Pi-Ho Hu, Pin-Chieh Chiu, B Sachid Angada, Chenming Hu, Negative
nanosheet gate-all-around mosfets, IEEE Trans. Electron. Dev. 67 (6) (2020) capacitance enables finfet and fdsoi scaling to 2 nm node, in: 2017 IEEE
2648–2652. International Electron Devices Meeting (IEDM), IEEE, 2017, 23–1.
[15] Shuo Zhang, Jun Z. Huang, Hao Xie, Afshan Khaliq, Dawei Wang, Wenchao Chen, [38] D. Yakimets, M. Garcia Bardon, D. Jang, P. Schuddinck, Y. Sherazi, P. Weckx, K.
Kai Miao, Hongsheng Chen, Wen-Yan Yin, Design considerations for si-and Miyaguchi, B. Parvais, P. Raghavan, A. Spessot, et al., Power aware finfet and
ge-stacked nanosheet pmosfets based on quantum transport simulations, IEEE lateral nanosheet fet targeting for 3nm cmos technology, in: 2017 IEEE
Trans. Electron. Dev. 67 (1) (2019) 26–32. International Electron Devices Meeting (IEDM), IEEE, 2017, 20–4.
[16] V. Jegadheesan, K. Sivasankaran, Aniruddha Konar, Impact of geometrical [39] Jun-Sik Yoon, Jinsu Jeong, Seunghwan Lee, Rock-Hyun Baek, Metal
parameters and substrate on analog/rf performance of stacked nanosheet field source-/drain-induced performance boosting of sub-7-nm node nanosheet fets,
effect transistor, Mater. Sci. Semicond. Process. 93 (2019) 188–195. IEEE Trans. Electron. Dev. 66 (4) (2019) 1868–1873.
[17] Yunho Choi, Kitae Lee, Kyoung Yeon Kim, Sihyun Kim, Junil Lee, Ryoongbin Lee, [40] Hung-Yu Ye, C.W. Liu, On-current enhancement in treefet by combining vertically
Hyun-Min Kim, Suh Song Young, Sangwan Kim, Jong-Ho Lee, et al., Simulation of stacked nanosheets and interbridges, IEEE Electron. Device Lett. 41 (9) (2020)
the effect of parasitic channel height on characteristics of stacked gate-all-around 1292–1295.
nanosheet fet, Solid State Electron. 164 (2020) 107686.

11
J. Ajayan et al. Microelectronics Journal 114 (2021) 105141

[41] Fahimul Islam Sakib, Md Azizul Hasan, Mainul Hossain, Exploration of negative [60] Jinsu Jeong, Jun-Sik Yoon, Seunghwan Lee, Rock-Hyun Baek, Comprehensive
capacitance in gate-all-around si nanosheet transistors, IEEE Trans. Electron. Dev. analysis of source and drain recess depth variations on silicon nanosheet fets for
67 (11) (2020) 5236–5242. sub 5-nm node soc application, IEEE Access 8 (2020) 35873–35881.
[42] V de Oliveira Alberto, Anabela Veloso, Cor Claeys, Naoto Horiguchi, Simoen Eddy, [61] V. Jegadheesan, K. Sivasankaran, A source/drain-on-insulator structure to improve
Low–frequency noise in vertically stacked si n–channel nanosheet fets, IEEE the performance of stacked nanosheet field-effect transistors, J. Comput. Electron.
Electron. Device Lett. 41 (3) (2020) 317–320. 19 (3) (2020) 1136–1143.
[43] Avirup Dasgupta, Shivendra Singh Parihar, Pragya Kushwaha, Harshit Agarwal, [62] Donghyun Ryu, Munhyeon Kim, Sihyun Kim, Yunho Choi, Junsu Yu, Jong-Ho Lee,
Ming-Yen Kao, Sayeef Salahuddin, Yogesh Singh Chauhan, Chenming Hu, Bsim Byung-Gook Park, Design and optimization of triple-k spacer structure in two-stack
compact model of quantum confinement in advanced nanosheet fets, IEEE Trans. nanosheet fet from off-state leakage perspective, IEEE Trans. Electron. Dev. 67 (3)
Electron. Dev. 67 (2) (2020) 730–737. (2020) 1317–1322.
[44] Jun-Sik Yoon, Jinsu Jeong, Seunghwan Lee, Rock-Hyun Baek, Sensitivity of [63] J.J. Gu, X.W. Wang, J. Shao, A.T. Neal, M.J. Manfra, R.G. Gordon, P.D. Ye, Iii-v
source/drain critical dimension variations for sub-5-nm node fin and nanosheet gate-all-around nanowire mosfet process technology: from 3d to 4d, in: 2012
fets, IEEE Trans. Electron. Dev. 67 (1) (2019) 258–262. International Electron Devices Meeting, IEEE, 2012, 23–7.
[45] Alberto Oliveira, Anabela Veloso, Cor Claeys, Naoto Horiguchi, Simoen Eddy, [64] Te-kuang Chiang, Nanosheet fet: a new subthreshold current model caused by
Low-frequency noise assessment of vertically stacked si n-channel nanosheet fets interface-trapped-charge and its application for evaluation of subthreshold logic
with different metal gates, IEEE Trans. Electron. Dev. 67 (11) (2020) 4802–4807. gate, Microelectron. J. 104 (2020) 104893.
[46] Chandan Kumar Jha, Pritam Yogi, Charu Gupta, Anshul Gupta, A Vega Reinaldo, [65] Rajesh Saha, Simulation study on ferroelectric layer thickness dependence
Abhisek Dixit, Comparison of ler induced mismatch in nwfet and nsfet for 5-nm rf/analog and linearity parameters in ferroelectric tunnel junction tfet,
cmos, IEEE J. Electron Dev. Soc. 8 (2020) 1184–1192. Microelectron. J. (2021) 105081.
[47] Chandan Kumar Jha, Charu Gupta, Anshul Gupta, A Vega Reinaldo, Abhisek Dixit, [66] Abhay S. Vidhyadharan, Sanjay Vidhyadharan, A novel ultra-low-power cntfet and
Impact of ler on mismatch in nanosheet transistors for 5nm-cmos, in: 2020 4th 45 nm cmos based ternary sram, Microelectron. J. 111 (2021) 105033.
IEEE Electron Devices Technology & Manufacturing Conference (EDTM), IEEE, [67] Ravi Goel, Weike Wang, Yogesh Singh Chauhan, Improved modeling of flicker
2020, pp. 1–4. noise including velocity saturation effect in finfets and experimental validation,
[48] V. Jegadheesan, K. Sivasankaran, Aniruddha Konar, Optimized substrate for Microelectron. J. 110 (2021) 105020.
improved performance of stacked nanosheet field-effect transistor, IEEE Trans. [68] Kumari Nibha Priyadarshani, Sangeeta Singh, Alok Naugarhiya, Rf & linearity
Electron. Dev. 67 (10) (2020) 4079–4084. distortion sensitivity analysis of dmg-dg-ge pocket tfet with hetero dielectric,
[49] D Gaidhane Amol, Girish Pahwa, Avirup Dasgupta, Amit Verma, Yogesh Singh Microelectron. J. 108 (2021) 104973.
Chauhan, Compact modeling of surface potential, drain current and terminal [69] Vinay Vashishtha, Lawrence T. Clark, Comparing bulk-Si FinFET and
charges in negative capacitance nanosheet fet including quasi-ballistic transport, gate-all-around FETs for the 5 nm technology node, Microelectron. J. 107 (2021)
IEEE J. Electron Dev. Soc. 8 (2020) 1168–1176. 104942.
[50] Po-Jung Sung, Shu-Wei Chang, Kuo-Hsing Kao, Chien-Ting Wu, Chun-Jung Su, [70] Shanxue Xi, Qiwen Zheng, Wu Lu, Jiangwei Cui, Wei Ying, Qi Guo, Modeling of
Ta-Chun Cho, Fu-Kuo Hsueh, Wen-Hsi Lee, Yao-Jen Lee, Tien-Sheng Chao, tid-induced leakage current in ultra-deep submicron soi nmosfets, Microelectron.
Fabrication of vertically stacked nanosheet junctionless field-effect transistors and J. 102 (2020) 104829.
applications for the cmos and cfet inverters, IEEE Trans. Electron. Dev. 67 (9) [71] Tianyu Yu, Lü Weifeng, Zhifeng Zhao, Si Peng, Kai Zhang, Negative drain-induced
(2020) 3504–3509. barrier lowering and negative differential resistance effects in
[51] Avirup Dasgupta, Shivendra Singh Parihar, Harshit Agarwal, Pragya Kushwaha, negative-capacitance transistors, Microelectron. J. 108 (2021) 104981.
Yogesh Singh Chauhan, Chenming Hu, Compact model for geometry dependent [72] J. Ajayan, D. Nirmal, P. Mohankumar, Dheena Kuriyan, AS Augustine Fletcher, L.
mobility in nanosheet fets, IEEE Electron. Device Lett. 41 (3) (2020) 313–316. Arivazhagan, B Santhosh Kumar, Gaas metamorphic high electron mobility
[52] Neetu Gyanchandani, Santosh Pawar, Prashant Maheshwary, Kailash Nemade, transistors for future deep space-biomedical-millitary and communication system
Preparation of spintronically active ferromagnetic contacts based on fe, co and ni applications: a review, Microelectron. J. 92 (2019) 104604.
graphene nanosheets for spin-field effect transistor, Mater. Sci. Eng., B 261 (2020) [73] P. Murugapandiyan, D. Nirmal, J. Ajayan, Arathy Varghese, N. Ramkumar,
114772. Investigation of influence of sin and sio 2 passivation in gate field plate double
[53] Te-kuang Chiang, Nanosheet fet: a new subthreshold current model caused by heterojunction al 0.3 ga 0.7 n/gan/al 0.04 ga 0.96 n high electron mobility
interface-trapped-charge and its application for evaluation of subthreshold logic transistors, Silicon (2021) 1–9.
gate, Microelectron. J. 104 (2020) 104893. [74] R. Sridevi, J Charles Pravin, A. Ramesh Babu, J. Ajayan, Lowering the Schottky
[54] A. Veloso, T. Huynh-Bao, P. Matagne, D. Jang, G. Eneman, N. Horiguchi, J. barrier height by titanium contact for high-drain current in mono-layer mos 2
Ryckaert, Nanowire & nanosheet fets for ultra-scaled, high-density logic and transistor, J. Electron. Mater. (2021) 1–7.
memory applications, Solid State Electron. 168 (2020) 107736. [75] J. Ajayan, D. Nirmal, Ribu Mathew, Dheena Kurian, P. Mohankumar, L.
[55] Xiaogen Yin, Yongkui Zhang, Huilong Zhu, G.L. Wang, J.J. Li, A.Y. Du, C. Li, L.H. Arivazhagan, D. Ajitha, A critical review of design and fabrication challenges in
Zhao, W.X. Huang, H. Yang, et al., Vertical sandwich gate-all-around field-effect inp hemts for future terahertz frequency applications, Mater. Sci. Semicond.
transistors with self-aligned high-k metal gates and small effective-gate-length Process. 128 (2021) 105753.
variation, IEEE Electron. Device Lett. 41 (1) (2020) 8–11. [76] D. Nirmal, AS Augustine Fletcher, L. Arivazhagan, J. Ajayan, Ramkumar
[56] Uttam Kumar Das, Tarun Kanti Bhattacharyya, Opportunities in device scaling for Natarajan, et al., Highly scaled graded channel gan hemt with peak drain current
3-nm node and beyond: finfet versus gaa-fet versus ufet, IEEE Trans. Electron. Dev. of 2.48 a/mm, AEU-Int. J. Electron. Commun. (2021) 153774.
67 (6) (2020) 2633–2638. [77] Jincan Zhang, Shaowei Wang, Min Liu, Bo Liu, Jinchan Wang, An improved gan
[57] D.-I. Bae, B.-D. Choi, Short channels and mobility control of gaa multi stacked p-hemt small-signal equivalent circuit with its parameter extraction,
nanosheets through the perfect removal of sige and post treatment, Electron. Lett. Microelectron. J. (2021) 105042.
56 (8) (2020) 400–402. [78] Biswajit Jena, Krutideepa Bhol, Umakanta Nanda, Shubham Tayal, Soumya Ranjan
[58] Qiang Huo, Zhenhua Wu, Weixing Huang, Xingsheng Wang, Geyu Tang, Jiaxin Routray, Performance analysis of ferroelectric gaa mosfet with metal grain work
Yao, Yongpan Liu, Xiaojin Zhao, Feng Zhang, Ling Li, et al., A novel general function variability, Silicon (2021) 1–8.
compact model approach for 7-nm technology node circuit optimization from
device perspective and beyond, IEEE J. Electron Dev. Soc. 8 (2020) 295–301.
[59] Jun-Sik Yoon, Seunghwan Lee, Junjong Lee, Jinsu Jeong, Hyeok Yun, Rock-Hyun
Baek, Reduction of process variations for sub-5-nm node fin and nanosheet fets
using novel process scheme, IEEE Trans. Electron. Dev. 67 (7) (2020) 2732–2737.

12

You might also like