You are on page 1of 5

3896 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 61, NO.

11, NOVEMBER 2014

High-Performance Vertical Gate-All-Around Silicon


Nanowire FET With High-κ/Metal Gate
Yujia Zhai, Leo Mathew, Rajesh Rao, Marylene Palard, Sonali Chopra,
John G. Ekerdt, Leonard F. Register, and Sanjay K. Banerjee

Abstract— We present a vertical gate-all-around Si nanowire smaller natural length λ can be reached, and the wrapped
(SiNW) metal–oxide–semiconductor field-effect transistor with gate design provides optimal electrostatic control [3]–[7].
high-κ dielectric and TiN metal gate. The process flow is fully Therefore, vertical-channel nanowire gate-all-around metal–
compatible with CMOS technologies. SiNWs are fabricated by
deep Si reactive ion etching, gate-stack is formed by atomic layer oxide–semiconductor field-effect transistors (MOSFETs),
deposition, and metal salicide is utilized as drain contact. The fab- which are fabricated about a cylindrical pillar of Si, benefit
ricated p-type gate-all-around SiNW metal–oxide–semiconductor from both reduced short-channel effects and improved SS, as
field-effect transistors that have a gate length of 320 nm exhibit well as potentially higher packing densities. Extensive simu-
excellent characteristics with ION /IOFF > 104 , subthreshold lation and modeling studies have been done on 1-D nanowire
slope of 87 mV/decade, and 25 mV/V of drain-induced barrier
lowering. Low-temperature characteristics are also presented. FETs [8]. Simulation shows that the low DIBL and SS
The demonstrated devices have potential applications in novel can be maintained if scaling laws are followed [9], [10],
low-power logic circuits and as selection transistors for 4F 2 e.g., simulation based on Boltzmann transport equation
cross-point memory cells. indicates that silicon body diameter should be smaller than
Index Terms— CMOS technology, deep Si etching, gate-all- roughly 2/3 of the channel length to maintain an SS below
around, high-κ/metal gate, nanowire, salicide. 80 mV/decade [11]. Modeling of mobilities in silicon
nanowire (SiNW) and simulation of nanowire FET with
I. I NTRODUCTION high-κ dielectrics have also been reported [12], [13].
Vapor–liquid–solid nanowire growth is one well-known

T HE ongoing downscaling of electronic devices has


become more challenging since dimensions are
approaching the physical limits of performance [1]. Short-
approach to synthesizing SiNWs. In this technique, a metal
particle (typically Au) is used as catalyst for 1-D single-
crystal SiNW growth [14]. This approach is able to yield bulk
channel effects, e.g., subthreshold swing (SS) degradation quantities of SINWs, and they can be in situ doped during
and drain-induced barrier lowering (DIBL), are caused by growth. While the length and diameter of the synthesized
the encroachment of electric field lines from the drain into nanowires have some variability, FETs fabricated with VLS
the channel region, thereby competing with the gate for the SiNWs have been reported with high mobilities [15], [16].
channel depletion charge. DIBL effectively reduces the barrier SiNWs can also be fabricated by superlattice nanowire pattern
between source and channel [2], and consequently, reduces transfer (SNAP). SNAP utilizes a thin-film superlattice, e.g.,
the threshold voltage (Vth ). Theoretical studies indicate that GaAs/Alx Ga(1−x) As to translate the nanowire pattern from
the scaling requirement is more relaxed for the gate-all-around a template with nearly atomic level control over the width
structure than for the planar or double-gate structures since and spacing [17]. Another popular technique to fabricate
Manuscript received May 5, 2014; revised July 23, 2014 and SiNW for FETs is based on Si/SiGe thin-film superlattice.
August 7, 2014; accepted August 26, 2014. Date of publication September 18, Lithography defines the nanowire width, and following
2014; date of current version October 20, 2014. This work was supported in anisotropic and isotropic etching forms the 3-D stacked
part by the King Abdullah University of Science and Technology, Thuwal,
Saudi Arabia, in part by the National Science Foundation, Nanosystems nanowires [18]. FETs with sub-10-nm nanowire diameter and
Engineering Research Center, through the Nanomanufacturing Systems for high-κ/metal gate-stack have been reported with high gain
Mobile Computing and Mobile Energy Technologies, and in part by the transfer characteristics and low DIBL [19]. With a modified
National Nanotechnology Infrastructure Network Programs. The review of
this brief was arranged by Editor W. Tsai. process, -FET with independent gates operation has been
Y. Zhai, M. Palard, L. F. Register, and S. K. Banerjee are with the realized for potential power consumption reduction [20].
Microelectronics Research Center, University of Texas at Austin, Austin, An alternative approach to fabricating SiNW arrays for
TX 78758 USA (e-mail: yujia.zhai@utexas.edu; marylene@mer.utexas.edu;
banerjee@ece.utexas.edu). FETs is by deep silicon etching masked by dots pattern.
L. Mathew and R. Rao are with Applied Novel Device Inc., This scheme has the following merits. First, the fabrication
Austin, TX 78758 USA (e-mail: leomathew@appliednoveldevices.com; process is more straightforward and less complicated com-
rajesh.rao@appliednoveldevices.com).
S. Chopra and J. G. Ekerdt are with the Department of Chemical pared with SNAP or 3-D stacked techniques, as superlattice
Engineering, University of Texas at Austin, Austin, TX 78712 USA (e-mail: is not required. In addition, it is potentially more economical
snchopra@utexas.edu; ekerdt@utexas.edu). if high throughput lithography is utilized. For example, we
Color versions of one or more of the figures in this paper are available
online at http://ieeexplore.ieee.org. have used nanoimprint lithography to fabricate large arrays
Digital Object Identifier 10.1109/TED.2014.2353658 of highly ordered SiNW array [21]. Second, in our studies,
0018-9383 © 2014 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

Authorized licensed use limited to: VIT University- Chennai Campus. Downloaded on May 15,2023 at 08:54:13 UTC from IEEE Xplore. Restrictions apply.
ZHAI et al.: HIGH-PERFORMANCE VERTICAL GATE-ALL-AROUND SiNW FET 3897

we found that etched SiNWs have a more uniform length and


diameter distribution compared with VLS growth. Third, if
NW is etched in epitaxial layers, in situ source/drain/channel
doping can be achieved before the etch. Finally, the vertical
configuration may enable novel 3-D integration concepts, such
as 3-D memory plus CMOS logic, as described briefly later.
Although a few vertical NW FETs using thermal SiO2
dielectric and amorphous silicon gate electrode have be
reported [22], [23], downscaling of the effective oxide thick-
ness (EOT) requires high-κ dielectrics to reduce gate leakage
current. Metal gates are also favored not only for eliminating
the polydepletion effect but also for screening surface optical
phonons that lead to intrinsic mobility degradation in the
high-κ stacks [24]–[27].
We present a fabrication scheme of a p-type gate-all around
SiNW MOSFETs utilizing atomic layer deposition (ALD)
of high-κ dielectric and titanium nitride metal gate. While
these research devices are not at the limits of scaling,
smaller devices would be possible by scaling the process. The
fabricated devices exhibit excellent performance with steep
SS and low DIBL. SiNWs were patterned by electron-beam
(e-beam) lithography and formed by deep Si reactive ion
etching (DSE) with metal salicide mask. Source and drain
doping are achieved before the nanowires are etched, and metal
salicides reduce the contact resistance.

II. P ROCESS D EVELOPMENT


To achieve the desired the p-n-p doping profile for pMOS,
we used epitaxial silicon wafers and predoped the wafer before Fig. 1. Fabrication process flow of nanowire MOSFETs. (a) Fabricate SiNW.
the nanowires were etched. The wafer had a highly doped (b) ALD Al2 O3 , ALD TiN, and PECVD SiO2 . (c) Photolithography pattern
p-type substrate and a p-type epilayer (7–11-μm thick), where gate and resist thinning. (d) SiO2 etching by BOE. (e) Remove resist. (f) TiN
etching by SC-1. (g) Remove SiO2 . (h) PECVD SiO2 for 250 nm. (i) Resist
the substrate served as the common source for the nanowire spin on and thinning. (j) Anisotropic SiO2 etching. (k) Remove resist, deposit,
MOSFETs. The n-type channels were doped by phosphorus and pattern drain metal. (l) Photolithography and SiO2 etching to access gate
implantation with a dosage of 1 × 1013 cm−2 at 100 keV. metal.
After 1-h 1000 °C activation annealing, the junction depth was
diffused to 470 nm below the wafer surface. The drains were After the three-step deposition, photolithography defined
doped by boron implantation with a dosage of 5 × 1015 cm−2 gate contact pads and unexposed photoresist completely buried
at 20 keV, followed by a 10-s thermal activation at 1000 °C. the nanowires. To vertically pattern the gate metal, a control-
The simulation results predict a drain doping peak concentra- lable O2 plasma etch-back process was performed to thin the
tion of 1021 cm−3 and a junction depth of 150 nm, and peak resist. Therefore, the resist only covered the bottom portion of
concentration in the n-type channels of ∼1017 cm−3 and a nanowires, as shown in Fig. 1(c). The exposed SiO2 capping
channel length of ∼320 nm. layer was removed by a 15-s buffered oxide etch (BOE)
The sample was patterned by e-beam lithography after [Fig. 1(d)], and the resist was stripped off [Fig. 1(e)]. After-
doping. First, a 100-nm diameter hole array was patterned ward, SC-1 (NH4 OH:H2 O2 :H2 O = 1:1:5 at room temperature)
using ZEP e-beam resist. Next, 20 nm of titanium followed solution was employed to etch the upper portion of TiN to form
by 20 nm of nickel was deposited on the sample with e-beam the wrapped-gate pattern [Fig. 1(f)], followed by SiO2 wet
assisted evaporation, and a subsequent liftoff process formed etching [Fig. 1(g)]. Fig. 2 shows scanning electron microscopy
a metal dot array on the silicon surface. Metal/silicon salicide (SEM) images of a device with nine nanowires in successive
was formed after a 600 °C 10-s rapid thermal anneal in N2 . process steps: nanowires as-etched [Fig. 2(a)], resist etch back
Thirty cycles of DSE realized the nanowire array with a height [Fig. 2(b)], and TiN etch for gate pattern formation [Fig. 2(c)].
of ∼720 nm. The aspect ratio of the nanowire is ∼1:8. The gate covers the lower portion of the nanowires, and
The fabrication process flow of SiNW MOSFETs is shown the channel length is ∼320 nm. Gate overlap is primarily
in Fig. 1. First, 20 nm of Al2 O3 was deposited by ALD on the determined by the O2 plasma thinning process. Although the
nanowires as gate dielectric at 250 °C, followed by the plasma- subsequent SC-1 wet etch is isotropic and may undercut the
enhanced ALD 50-nm TiN for gate metal in NH3 atmosphere. gate metal, the impact can be minimized if the subsequent
Then, a 50-nm SiO2 capping layer was deposited by plasma- TiN wet etch is well controlled.
enhanced chemical vapor deposition (PECVD) to protect the To isolate the gate metal layer from the drain metal layer,
gate metal [Fig. 1(a) and (b)]. a 250-nm PECVD SiO2 film was deposited as the intermetal

Authorized licensed use limited to: VIT University- Chennai Campus. Downloaded on May 15,2023 at 08:54:13 UTC from IEEE Xplore. Restrictions apply.
3898 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 61, NO. 11, NOVEMBER 2014

Fig. 4. Measured transfer characteristics of silicon nanowire MOSFET.

Fig. 2. Tilted SEM image of the nine nanowires in successive fabrication


stages. (a) Nanowire as-etched, and the scale bar is 200 nm. (b) Resist etch
back. (c) TiN etch and gate pattern formation. (d) PECVD SiO2 deposition
and etch back.

Fig. 5. Measured output characteristics of SiNW MOSFET with 25 nanowires


in parallel.

III. D EVICE C HARACTERIZATION


Fig. 3. (a) Tilted SEM image of a single nanowire with surrounding TiN gate.
(b) Cross-sectional SEM image of a nanowire MOSFET. The cross section The fabricated vertical SiNW MOSFETs were characterized
that is created by FIB cuts through the nanowire core. at room temperature using the substrate as the source. The
transfer characteristics (Id –Vg ) indicate that the SiNW behaves
as a pMOSFET (Fig. 4). This device has 25 nanowires in
dielectric [Fig. 1(h)]. Similar to the gate vertical patterning, parallel, with a channel length of approximately 320 nm.
resist was spun-on and thinned with a 130-s O2 plasma etch Each nanowire has a diameter of 90 nm and a gate dielectric
back [Fig. 1(i)]. The PECVD SiO2 cap was removed by an of 20-nm Al2 O3 , i.e., ∼10-nm EOT. The gate voltage is swept
anisotropic CHF3 and O2 plasma etch [Fig. 1(j)] to uncover from 1 to −2 V with a step of −0.02 V, while VDS is kept at
the nanowires for drain metallization. As shown in Fig. 2(d), −0.2 and −1.0 V. The Id –Vg curves show good dc character-
a 5-min etch consumed approximately 350 nm of SiO2 and istics. The ON-state current achieved at VDS = −1 V and
the Al2 O3 gate dielectric on top of the nanowire was also VGS = −2 V is 11.8 μA, compared with an OFF-state
broken through. About ∼100-nm SiNW is exposed to the drain current below 10−10 A when VDS = −1 V and VGS = 1 V.
metallization. Considering that this device has 25 nanowires in parallel, each
The drain contact pad was patterned by the second pho- nanowire contributes a current of ∼ 0.47 μA. The ION /IOFF
tolithography. A 150-nm nickel film was deposited by e-beam ratio extracted is 104 –105(VGS = VDS = −1 V for ION , and
assisted evaporation so that the metallization was formed with VGS = 0 and VDS = −1 for IOFF ). The measured curve also
the salicide on the nanowires [Fig. 1(k)]. To access the buried shows a very small threshold voltage (Vth ) rolloff with VDS :
TiN gate metal, contact windows through the PECVD SiO2 the DIBL measured at IDS = 100 nA is 25 mV/V. The SS
were opened by the third photolithography and 2-min BOE of the device is 87 and 96 mV/decade at VDS = −0.2 and
[Fig. 1(l)]. −1.0 V (saturation region), respectively.
A focused ion beam (FIB) was utilized to create a cross The measured output characteristics (Id –Vd ) are plotted in
section through a particular nanowire to obtain the cross- Fig. 5. VDS is swept from 0 to −2 V, and VGS ramps from
sectional view of the fabricated nanowire MOSFET. A tilted 0 to −1.5 V with a step of −0.5 V. This device exhibits
SEM image of a single nanowire with surrounding TiN gate well-behaved long-channel p-MOSFET characteristics, with
is shown in Fig. 3(a), and a cross-sectional SEM image of the good saturation of drain current with increasing VDS . The
final device is shown in Fig. 3(b). transconductance (gm ) of the tested device is also extracted

Authorized licensed use limited to: VIT University- Chennai Campus. Downloaded on May 15,2023 at 08:54:13 UTC from IEEE Xplore. Restrictions apply.
ZHAI et al.: HIGH-PERFORMANCE VERTICAL GATE-ALL-AROUND SiNW FET 3899

Fig. 8. Illustration of 3-D architecture that has an NVM plane above the
logic plane.
Fig. 6. Transconductance of SiNW MOSFET measured at VDS = −0.2 V.
(from 10−11 to 10−13 A). The threshold voltage magnitude
|Vth | increases ∼0.24 V at 77 K.
We briefly describe some potential applications. These gate-
all-around nanowire MOSFETs can be utilized as selection
transistors for 4F 2 cross-point memory cells, where F is the
minimum lithographic dimension. The memory cells lie above
the selection transistors at the intersections of word lines and
bit lines. Another novel way of using these transistors could
be in vertical Flash nonvolatile memory (NVM) cells.
A novel 3-D architecture can also be envisioned with an
NVM plane using such SiNWs above a CMOS logic plane
for low-power computing. One could imagine storing the logic
values in registers or static random access cache memories in
the logic level in the NVM memory cells above, and shutting
off the logic blocks if they are not performing computing to
Fig. 7. Low-temperature transfer characteristics compared with room- conserve power. Subsequently, as needed, the logic values can
temperature results and output characteristics (inset).
be restored from the NVM plane to the logic plane. What
often makes such ideas impractical is the necessity to have
and plotted in Fig. 6 at VDS = −0.2 V. The maximum gm is very high alignment accuracy between fully random access
achieved at VGS = −0.56 V. The carrier mobility μ can be memory cells, with the underlying logic devices. We propose
approximately estimated by an innovative variation of this theme, by envisioning an ultra-
dense array of memory cells, which would have a much tighter
gm L pitch than the underlying logic nodes that have to be stored.
μ= (1)
W Cox VDS Metal-filled via holes would project above the logic plane,
where L is the channel length and W is the channel width. providing access to nodes that would be necessary for instantly
Cox is the gate oxide capacitance. The extracted hole mobility restoring the logic states in the underlying subcircuit. These
is 2.2 cm2 /V · s, which we believe is lower than the true value logic nodes could have a somewhat random and sparse spatial
because we cannot correct for source/drain series resistance in arrangement, depending on the subcircuit. If the memory cell
our test structure. We believe we have high contact resistance density above is much higher than the density of the required
at the top of the nanowire to drain electrode, as the nanowire logic nodes, one could guarantee that at least one memory
top was exposed to a sequence of etching processes. It should cell would be in contact with each logic node. The second
be improved by further optimization of the process flow. innovation is to recognize that if one simply needs to store the
The low-temperature performance of the fabricated devices logic state locally in a nonvolatile fashion in the immediate
was also characterized at 77 K. The sample was cooled vicinity of the logic node, it is not necessary to have truly
down by liquid nitrogen (LN2 ), and the transfer character- random access to each of these memory nodes. Fig. 8 shows
istic was measured. Fig. 7 shows the comparison of the the design of such a 3-D architecture with NVM Flash cells
low-temperature and room-temperature transfer characteristics made in vertical SiNW MOSFETs. For such a scheme, all the
with VDS = −0.2 V. Compared with the room-temperature NVM memory cells would be connected in parallel, with a
performance, steeper subthreshold slope, lower drive current, global word line and a global bit line (the universal source
and larger threshold voltage magnitude |Vth | are observed contact in Fig. 8).
at 77 K. The extracted SS at 77 K is 65 mV/decade, compared
with 87 mV/decade that was extracted at room tempera- IV. C ONCLUSION
ture. The ON-state drive current at VGS = −2 V reduces We have presented the process integration and device
from 2.58 μA at room temperature to 0.73 μA at 77 K, characterization of a gate-all-around SiNW-based p-type
and the OFF-state current has a reduction of two magnitude MOSFET. Nanowires are patterned by e-beam lithography

Authorized licensed use limited to: VIT University- Chennai Campus. Downloaded on May 15,2023 at 08:54:13 UTC from IEEE Xplore. Restrictions apply.
3900 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 61, NO. 11, NOVEMBER 2014

and DSE. Metal salicide is utilized as etch mask during [14] R. S. Wagner and W. C. Ellis, “Vapor-liquid-solid mechanism of
nanowire formation, and it also reduces the drain contact single crystal growth,” Appl. Phys. Lett., vol. 4, no. 5, pp. 89–90,
1964.
resistance of the MOSFET. ALD Al2 O3 and TiN are utilized [15] Y. Cui, Z. Zhong, D. Wang, W. U. Wang, and C. M. Lieber, “High
as the high-κ gate dielectric and gate metal. The device performance silicon nanowire field effect transistors,” Nano Lett., vol. 3,
exhibits a low SS of 87 mV/decade, a high ION /IOFF ratio no. 2, pp. 149–152, 2003.
of >105 and a small DIBL of 25 mV/V. Low-temperature [16] L. J. Lauhon, M. S. Gudiksen, D. Wang, and C. M. Lieber, “Epitax-
ial core–shell and core–multishell nanowire heterostructures,” Nature,
performance also was characterized at 77 K. We also proposed vol. 420, pp. 57–61, Nov. 2002.
a 3-D architecture that enables the applications of these NW [17] D. Wang, B. A. Sheriff, and J. R. Heath, “Silicon p-FETs from ultrahigh
MOSFETs as selection transistors for 4F 2 cross-point memory density nanowire arrays,” Nano Lett., vol. 6, no. 6, pp. 1096–1100,
Jun. 2006.
cells. [18] A. Hubert et al., “A stacked SONOS technology, up to 4 levels and
6 nm crystalline nanowires, with gate-all-around or independent gates
(-flash), suitable for full 3D integration,” in Proc. IEEE Int. Electron
R EFERENCES Devices Meeting (IEDM), Dec. 2009, pp. 1–4.
[1] C. Thelander et al., “Nanowire-based one-dimensional electronics,” [19] K. Tachi et al., “Relationship between mobility and high-κ interface
Mater. Today, vol. 9, no. 10, pp. 28–35, 2006. properties in advanced Si and SiGe nanowires,” in Proc. IEEE Int.
[2] S.-H. Oh, D. Monroe, and J. M. Hergenrother, “Analytic description Electron Devices Meeting (IEDM), Dec. 2009, pp. 1–4.
of short-channel effects in fully-depleted double-gate and cylindrical, [20] C. Dupré et al., “15 nm-diameter 3D stacked nanowires with inde-
surrounding-gate MOSFETs,” IEEE Electron Device Lett., vol. 21, no. 9, pendent gates operation: FET,” in Proc. IEEE Int. Electron Devices
pp. 445–447, Sep. 2000. Meeting (IEDM), Dec. 2008, pp. 1–4.
[3] H. Takato et al., “Impact of surrounding gate transistor (SGT) for [21] Y. Zhai et al., “Fabrication of three-dimensional MIS nano-capacitor
ultra-high-density LSI’s,” IEEE Trans. Electron Devices, vol. 38, no. 3, based on nanoimprinted single crystal silicon nanowire arrays,” Micro
pp. 573–578, Mar. 1991. Nanosyst., vol. 4, no. 4, pp. 333–338, 2012.
[4] A. Nitayama et al., “Multi-pillar surrounding gate transistor (M-SGT) [22] V. Schmidt, H. Riel, S. Senz, S. Karg, W. Riess, and U. Gösele,
for compact and high-speed circuits,” IEEE Trans. Electron Devices, “Realization of a silicon nanowire vertical surround-gate field-effect
vol. 38, no. 3, pp. 579–583, Mar. 1991. transistor,” Small, vol. 2, no. 1, pp. 85–88, 2006.
[5] S. Watanabe et al., “A novel circuit technology with surrounding gate [23] M. T. Björk, O. Hayden, H. Schmid, H. Riel, and W. Riess, “Vertical
transistors (SGT’s) for ultra high density DRAM’s,” IEEE J. Solid-State surround-gated silicon nanowire impact ionization field-effect tran-
Circuits, vol. 30, no. 9, pp. 960–971, Sep. 1995. sistors,” Appl. Phys. Lett., vol. 90, no. 14, pp. 142110-1–142110-3,
[6] J.-P. Colinge, “Multiple-gate SOI MOSFETs,” Solid-State Electron., Apr. 2007.
vol. 48, no. 6, pp. 897–905, 2004.
[24] K. Mistry et al., “A 45 nm logic technology with high-κ+metal gate
[7] R.-H. Yan, A. Ourmazd, and K. F. Lee, “Scaling the Si MOSFET: From
transistors, strained silicon, 9 Cu interconnect layers, 193 nm dry
bulk to SOI to bulk,” IEEE Trans. Electron Devices, vol. 39, no. 7,
patterning, and 100% Pb-free packaging,” in Proc. IEEE Int. Electron
pp. 1704–1710, Jul. 1992.
Devices Meeting (IEDM), Dec. 2007, pp. 247–250.
[8] H. Iwai et al., “Si nanowire FET and its modeling,” Sci. Chin. Inf. Sci.,
vol. 54, no. 5, pp. 1004–1011, May 2011. [25] R. Kotlyar et al., “Inversion mobility and gate leakage in high-κ/metal
[9] K. Suzuki, T. Tanaka, Y. Tosaka, H. Horie, and Y. Arimoto, “Scaling gate MOSFETs,” in IEEE Int. Electron Devices Meeting (IEDM) Tech.
theory for double-gate SOI MOSFET’s,” IEEE Trans. Electron Devices, Dig., Dec. 2004, pp. 391–394.
vol. 40, no. 12, pp. 2326–2329, Dec. 1993. [26] R. Chau, S. Datta, M. Doczy, B. Doyle, J. Kavalieros, and M. Metz,
[10] C. P. Auth and J. D. Plummer, “Scaling theory for cylindrical, fully- “High-κ/metal-gate stack and its MOSFET characteristics,” IEEE Elec-
depleted, surrounding-gate MOSFET’s,” IEEE Electron Device Lett., tron Device Lett., vol. 25, no. 6, pp. 408–410, Jun. 2004.
vol. 18, no. 2, pp. 74–76, Feb. 1997. [27] M. V. Fischetti, D. A. Neumayer, and E. A. Cartier, “Effective electron
[11] S. Jin, T.-W. Tang, and M. V. Fischetti, “Simulation of silicon mobility in Si inversion layers in metal–oxide–semiconductor systems
nanowire transistors using Boltzmann transport equation under relax- with a high-κ insulator: The role of remote phonon scattering,” J. Appl.
ation time approximation,” IEEE Trans. Electron Devices, vol. 55, no. 3, Phys., vol. 90, no. 9, pp. 4587–4608, Nov. 2001.
pp. 727–736, Mar. 2008.
[12] E. N. Ganesh, K. Ragavan, and K. Kumar, “Study and simulation of
silicon nanowire field effect transistor at subthreshold conditions using
high κ dielectric layer at room temperature,” GESJ, Phys., 2010.
[13] S. Jin, M. V. Fischetti, and T.-W. Tang, “Modeling of electron mobility
in gated silicon nanowires at room temperature: Surface roughness
scattering, dielectric screening, and band nonparabolicity,” J. Appl.
Phys., vol. 102, no. 8, p. 083715, 2007. Authors’ photographs and biographies not available at the time of publication.

Authorized licensed use limited to: VIT University- Chennai Campus. Downloaded on May 15,2023 at 08:54:13 UTC from IEEE Xplore. Restrictions apply.

You might also like