You are on page 1of 4

IEEE ELECTRON DEVICE LETTERS, VOL. 27, NO.

5, MAY 2006 383

High-Performance Fully Depleted Silicon Nanowire


(Diameter ≤ 5 nm) Gate-All-Around CMOS Devices
N. Singh, A. Agarwal, L. K. Bera, T. Y. Liow, R. Yang, S. C. Rustagi, C. H. Tung, R. Kumar,
G. Q. Lo, N. Balasubramanian, and D.-L. Kwong

Abstract—This paper demonstrates gate-all-around (GAA) In this paper, by using processes prevalent in conven-
n- and p-FETs on a silicon-on-insulator with ≤ 5-nm-diameter lat- tional CMOS technology, we demonstrate the fabrication of
erally formed Si nanowire channel. Alternating phase shift mask n-type and p-type GAA FETs on silicon-on-insulator (SOI)
lithography and self-limiting oxidation techniques were utilized to
form 140- to 1000-nm-long nanowires, followed by FET fabrica- with SiNW of diameter ≤ 5 nm. To define the small length
tion. The devices exhibit excellent electrostatic control, e.g., near wires down to 140 nm, we used alternating phase shift mask
ideal subthreshold slope (∼ 63 mV/dec), low drain-induced bar- (alt-PSM). Although the parasitic capacitance would be large,
rier lowering (∼ 10 mV/V), and with ION /IOFF ratio of ∼ 106 . to keep low series source/drain resistance and to surmount
High drive currents of ∼ 1.5 and ∼ 1.0 mA/µm were achieved the issue of defining the gate underneath the overhanged Si
for 180-nm-long n- and p-FETs, respectively. It is verified that the
threshold voltage of GAA FETs is independent of substrate bias body, we designed the gate slightly wider than the wire length
due to the complete electrostatic shielding of the channel body. [Fig. 1(a)]—similar to the method used in [4] for FinFET.
The fabricated GAA nanowire transistors show the best per-
Index Terms—CMOS-compatible process, gate-all-around
(GAA), silicon nanowire transistor, surround gate, wrap- formance among those reported so far in terms of high drive
around-gate. current, near ideal subthreshold slope (S.S.), low DIBL, and
high ION /IOFF ratio. The transistors also exhibit a substrate-
bias-independent threshold voltage (Vth ) as a result of the
I. I NTRODUCTION
complete electrostatic shielding of the channel.

F OR NANOSCALE CMOS, various nonplanar device


structures have been explored for better gate electrostatic
control of the channel potential [1], [2]. Among the many
II. F ABRICATION OF S I N ANOWIRE AND MOSFET S
innovative approaches, double-gated FinFET [3], [4], tri-gated 8 -(100) silicon-on-insulator (SOI) wafers with a top Si
[5], Π-gated [6], Ω-gated [7], nanowire body [8]–[11], and gate- (p-type, ∼ 1015 /cm3 ) of thickness 200 nm on a 150-nm-
all-around (GAA) [12]–[14] MOSFETs have attracted much thick buried oxide (BOX) were used as starting materials.
attention. As the name suggests, the GAA FET features the gate Active areas were patterned and etched down to the BOX
fully surrounding the channel body and thus providing the best to make 140- to 1000-nm-long 50-nm-wide Si fins between
possible electrostatic control [12]–[16]. The reduction in chan- wider source and drain using alt-PSM lithography in a KrF
nel width and thickness can further increase the effectiveness scanner and dry etch. Small length fins can be achieved us-
of the gate control. Therefore, an ultrathin and narrow body ing advanced lithography tools such as ArF with immersion
(nanowire) MOSFET, when combined with the GAA structure, and/or by some kind of spacer techniques. Fig. 1(b) shows the
is deemed to be a major candidate for extreme CMOS scaling scanning electron microscope (SEM) image of a 1000-nm-long
provided the process complexities such as fabrication of short and 50-nm-wide fin connecting the source and drain regions.
wires and the gate definition under the body are solved. The patterned Si was then oxidized in dry O2 at 875 ◦ C
Besides theoretical studies [15], [16], there have been several for 5 h, which due to stress limited oxidation results in two
experimental attempts (although several of them suffer in gate Si cores (nanowires) [17]—one at the bottom and the other
definition) that demonstrated the advantages of GAA, or near at the top of the Si fin. The top nanowire was etched out
GAA devices, e.g., relaxed body thickness yet gaining similar using dry etching, and the bottom nanowire was released from
short-channel control as thin-body double-sided FinFET [7], the oxide using wet etch process—6-min dip in 1:25 DHF.
[8], excellent transconductance [12], and drain-induced bar- The release was followed by 9-nm gate oxide deposition and
rier lowering (DIBL) suppression [13]. However, none of the 130-nm amorphous silicon (α-Si). Fig. 1(c) shows the released
experimental works demonstrated a complete surrounded-gate wire after gate oxide deposition. Fig. 1(d) shows the SEM im-
ultranarrow (diameter ≤ 5 nm) lateral silicon nanowire (SiNW) age after α-Si deposition. The α-Si deposition was followed by
structure with uniform gate dielectric. gate patterning and etching. To surmount the issue of defining
the gate under the body and to ensure that the gate dry etching
process does not damage the wire in case of misalignment, the
Manuscript received January 5, 2006. The review of this letter was arranged gate was defined with 70-nm overlapping source/drain (S/D)
by Editor B. Yu. regions [Fig. 1(a) and (d)]. This overlap increases the parasitic
The authors are with the Institute of Microelectronics, Singapore 117685
(e-mail: navab@ime.a-star.edu.sg). capacitance but on the other side reduces significantly the
Digital Object Identifier 10.1109/LED.2006.873381 series resistance. S/D and α-Si gate were then implanted using
0741-3106/$20.00 © 2006 IEEE

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on April 13,2020 at 10:04:49 UTC from IEEE Xplore. Restrictions apply.
384 IEEE ELECTRON DEVICE LETTERS, VOL. 27, NO. 5, MAY 2006

Fig. 2. Id –Vd and Id –Vg characteristics of ≤ 5-nm-diameter 180-nm-long


nanowire GAA n- and p-FETs. The currents are normalized to the wire diameter
(5 nm). The value shown in volts with each curve in Id –Vd plots is overdrive
(Vg − Vth ).

Fig. 1. (a) Layout of the masking layers used in the fabrication process.
conservative normalization could be due to the perimeter of the
(b) Tilt view SEM image of 1000-nm-long, 50-nm-wide, and 200-nm-tall Si wire [19]—divide reported current values by π. However, the
fins after top silicon etch with ends of the fin connected to S/D pads. (c) SiNW normalization does not affect the ION /IOFF ratio and that re-
after 9-nm-thick SiO2 deposition. (d) SiNW after 9-nm-thick gate oxide and
130-nm α-Si deposition. The drawn rectangle shows the gate pattern schematic.
mains ∼ 106 . In view of 180-nm channel length and 9-nm-thick
(e) TEM image perpendicular to the wire of 200-nm-long nanowire GAA gate oxide, the reported drive currents are high and are more
showing a circular 4-nm-thick wire 9-nm oxide with full coverage by α-Si from than earlier reports on nanowire transistors [8]–[11]. One can
all the sides.
expect much higher drive currents by further reducing the
channel length and gate oxide thickness.
As/4 × 1015 cm−2 /30 keV and BF2 /2 × 1015 cm−2 /35 keV We estimate the carrier mobility using the standard
for n- and p-FETs, respectively. A long S/D activation anneal MOSFET equation in the linear region: Ids = µC ∗ W/L ∗
(950 ◦ C/15 min) was used to ensure dopant diffusion uni- (Vgs − Vth − Vds /2) ∗ Vds . The capacitance per unit area “C”
formly in the gate α-Si and S/D overlap regions beneath the was calculated—which is too small to be measured—using the
gate—reducing the effective channel length. It was followed by formula C = 2ε/{a ∗ ln(b/a)} [20], where a (= 5 nm) and
the standard metal contact formation and sintering processes. b (= 23 nm) are the diameters of the nanowire and gate elec-
Fig. 1(e) shows the TEM cross section of ∼ 4-nm-diameter trode, respectively. The estimated electron and hole mobilities
SiNW surrounded by a uniform gate oxide and fully doped are ∼ 750 and ∼ 325 cm2 /V · s at high field (|Vgs − Vth | =
poly-Si gate. 1.0 V). High mobilities in nanowire FETs have been reported
previously [10], [21], [22] and can probably be due to one or
more of the following: cylindrical morphology of the channel
III. R ESULTS AND D ISCUSSION
body, reduced phonon scattering, strain in SiNW due to the
Fig. 2 shows the Id –Vd and Id –Vg plots of nanowire GAA oxidation process, and volume inversion.
n- and p-FETs with ≤ 5-nm-diameter 180-nm-long SiNW. The Despite the use of a 9-nm-thick gate oxide, n-FET ex-
ON -state Ids of ∼ 1.5 and ∼ 1.0 mA/µm are obtained for n- and hibits near ideal S.S. (∼ 63 mV/dec) and very low DIBL
p-FETs, respectively, with OFF-state Ids < nA at an operating (∼ 10 mV/V). p-FET also shows low S.S. (∼ 66 mV/dec)
voltage of 1.2 V (|Vgs − Vth | = 0.95 V and |Vds | = 1.2 V). and DIBL (∼ 20 mV/V). These show that the body thick-
Considering the volume inversion in the channel [18] and to ness and GAA feature can play more dominant roles than
facilitate comparison with previous works [4], [7], [9]–[11], gate oxide scaling. This is an advantage of GAA nanowire
we normalized the current to the diameter of the wire. More devices as oxide scaling becomes one of the limiting factors

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on April 13,2020 at 10:04:49 UTC from IEEE Xplore. Restrictions apply.
SINGH et al.: HIGH-PERFORMANCE FULLY DEPLETED SiNW (DIAMETER ≤ 5 nm) GAA CMOS DEVICES 385

Fig. 4. Substrate bias effect on a GAA nanowire device threshold compared


with a reference planar SOI device on the same wafer. The nanowire GAA has
Fig. 3. ION versus Vth plot for 200- and 250-nm-long channel devices with a channel length of 300 nm, whereas on the reference devices the gate length is
wire diameter as ∼ 4 nm. The inset shows the effect of wire length on ION 500 nm.
and IOFF .

further gate length reduction, one can expect extremely high


for conventional MOSFET. Also, the absence of a kink effect drive current from GAA SiNW structures. Besides excellent
on the current–voltage (I–V ) curves (Fig. 2) confirms that the electrical performance, these devices are immune to substrate
devices are fully depleted and there are no sharp corners on bias effects.
the body structure. The asymmetry in the threshold voltages
(Vthp > Vthn ) could be because of one or more of the following ACKNOWLEDGMENT
differences: confinement effects due to minor differences in
channel diameter, dopants in the SiNW channel, and gate poly The authors would like to thank the staff of the Semiconduc-
doping (n-FET poly is more heavily doped than p-FET poly). tor Process Technology Laboratory, Institute of Microelectron-
Fig. 3 shows absolute ION versus Vth plot for 200- and ics, Singapore, for their assistance in wafer processing.
250-nm-long GAA nanowire n-FETs. A reduction in ION with
increase in channel length as well as with Vth is clearly seen. R EFERENCES
The increase in Vth could be due to the confinement effect [1] C. Hu, “Device challenges and opportunities,” in VLSI Symp. Tech. Dig.,
2004, pp. 4–5.
caused by reduction or nonuniformity in the wire diameter. [2] H. S. P. Wong, D. J. Frank, P. M. Solomon, C. Wann, and J. Welser,
Once normalized, the effect of Vth on ION may disappear, but “Nanoscale CMOS,” Proc. IEEE, vol. 87, no. 4, pp. 537–570, Apr. 1999.
it would need TEM on all the measured devices to know the [3] D. Hisamoto, W.-C. Lee, J. Kedzierski, H. Takeuchi, K. Asano, C. Kuo,
E. Anderson, T.-J. King, J. Bokor, and C. Hu, “FinFET—A self-aligned
exact diameter. The inset in Fig. 3 shows the effect of channel double-date MOSFET scalable to 20 nm,” IEEE Trans. Electron Devices,
length on ION and IOFF for nanowire GAA n-FET, where vol. 47, no. 12, pp. 2320–2325, Dec. 2002.
with decrease in channel length ION keeps on increasing until [4] X. Huang, W.-C. Lee, C. Kuo, D. Hisamoto, L. Chang, J. Kedzierski,
H. Takeuchi, Y. K. Choi, K. Asano, V. Subramanian, T.-J. King,
the lowest fabricated channel length of 140 nm, which is an J. Bokor, and C. Hu, “Sub-50 nm P-channel FinFET,” IEEE Trans.
indication of nonballistic transport. Electron Devices, vol. 48, no. 5, pp. 880–886, May 2001.
Fig. 4 shows the immunity of GAA FETs to the substrate [5] B. Doyle, S. Datta, M. Doczy, S. Hareland, B. Jin, J. Kavalieros, T. Linton,
A. Murthy, R. Rios, and R. Chau, “High performance fully-depleted
biasing effects through a plot of Vth against the substrate bias tri-gate CMOS transistor,” IEEE Electron Device Lett., vol. 24, no. 4,
for n- and p-FETs and corresponding reference planar SOI pp. 263–265, Apr. 2003.
MOSFETs. There is no change in Vth for nanowire GAA FETs [6] J. T. Park, J.-P. Colinge, and C. H. Diaz, “Pi-gate SOI MOSFET,” IEEE
Electron Device Lett., vol. 22, no. 8, pp. 405–406, Aug. 2001.
with the applied substrate bias from −5 to 5 V (not shown, [7] F.-L. Yang, H. Y. Chen, F. C. Chen, C. C. Huang, C. Y. Chang,
no change was found from −20 to 20 V either). As expected, H. K. Chiu, C. C. Lee, C. C. Chen, H. T. Huang, C. J. Chen, H. J. Tao,
Vth of the reference devices changed significantly as expected. Y. C. Yeo, and C. Hu, “25 nm CMOS omega FETs,” in IEDM Tech. Dig.,
2002, pp. 255–258.
These data support the results presented in [22] on multibridge [8] F.-L. Yang, D. H. Lee, H. Y. Chen, C. Y. Chang, S. D. Liu, C. C. Huang,
channel MOSFETs. The immunity of nanowire GAA devices T. X. Chung, H. W. Chen, C. C. Huang, Y. H. Liu, C. C. Wu, C. C. Chen,
to substrate bias is a result of shielding of the transistor body by S. C. Chen, Y. T. Chen, Y. H. Chen, C. J. Chen, B. W. C. P. F. Hsu,
J. H. Shieh, H. J. Tao, Y. C. Yeo, Y. Li, J. W. Lee, P. Chne, M. S. Liang,
the gate poly. and C. Hu, “5 nm-gate nanowire FinFET,” in VLSI Symp. Tech. Dig., 2004,
pp. 196–197.
[9] J. Kedzierski, J. Bokor, and E. Anderson, “Novel method for silicon quan-
IV. C ONCLUSION tum wire transistor fabrication,” J. Vac. Sci. Technol. B, Microelectron.
Process. Phenom., vol. 17, no. 6, pp. 3244–3247, Nov./Dec. 1999.
We have demonstrated a fully CMOS-compatible fabrication [10] Y. Cui, Z. Zhong, D. Wang, W. U. Wang, and C. M. Lieber, “High
method for SiNW-based GAA n- and p-FETs. High drive performance silicon nanowire field effect transistors,” Nano Lett., vol. 3,
no. 2, pp. 149–152, 2003.
current and excellent gate control, revealed by low DIBL and [11] X. Duan, C. Niu, V. Sahi, J. Chen, J. W. Parce, S. Empedocles, and
near ideal S.S., were demonstrated in those devices. With J. L. Goldman, “High-performance thin-film transistors using

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on April 13,2020 at 10:04:49 UTC from IEEE Xplore. Restrictions apply.
386 IEEE ELECTRON DEVICE LETTERS, VOL. 27, NO. 5, MAY 2006

semiconductor nanowires and nanoribbons,” Nature, vol. 425, no. 6955, [17] J. Kedzierski, J. Bokor, and C. Kisielowski, “Fabrication of planar silicon
pp. 274–278, Sep. 2003. nanowires on silicon-on-insulator using stress limited oxidation,” J. Vac.
[12] J. P. Colinge, M. H. Gao, A. R. Rodriguez, H. Maes, and C. Claeys, Sci. Technol. B, Microelectron. Process. Phenom., vol. 15, no. 6, pp. 2825–
“Silicon-on-insulator: Gate-all-around device,” in IEDM Tech. Dig., 1990, 2828, Nov./Dec. 1997.
pp. 595–598. [18] F. Balestra, S. Cristoloveanu, M. Benachir, J. Brini, and T. Elewa,
[13] S. Monfray, T. Skotniki, Y. Morand, S. Descombes, P. Coronel, “Double-gate silicon-on-insulator transistor with volume inversion: A new
P. Mazoyer, S. Harrison, P. Ribot, A. Talbot, D. Dutartre, M. Haond, device with greatly enhanced performance,” IEEE Electron Device Lett.,
R. Palla, Y. Le Friec, F. Leverd. M. E. Nier, C. Vizioz, and D. Louis, vol. EDL-8, no. 9, pp. 410–412, Sep. 1987.
“50 nm-gate all around (GAA)–silicon on nothing (SON)–devices: A [19] R. Chau, S. Datta, M. Doczy, B. Doyle, B. Jin, J. Kavalieros,
simple way to co-integration of GAA transistors with bulk MOSFET A. Majumdar, and M. Radosavlijevic, “Benchmarking nanotechnology
process,” in VLSI Symp. Tech. Dig., 2002, pp. 108–109. for high-performance and low-power logic transistor applications,” IEEE
[14] E. Leobandung, J. Gu, L. Guo, and S. Y. Chou, “Wire-channel and Trans. Nanotechnol., vol. 4, no. 2, pp. 153–158, Mar. 2005.
wrap-around-gate metal-oxide-semiconductor field-effect transistors with [20] [Online]. Available: http://hyperphysics.phy-astr.gsu.edu/hbase/electric/
a significant reduction of short channel effects,” J. Vac. Sci. Technol. capcyl.html
B, Microelectron. Process. Phenom., vol. 15, no. 6, pp. 2791–2794, [21] A. K. Sharma, S. H. Zaidi, S. Lucero, S. R. J. Brueck, and N. E.
Nov./Dec. 1997. Islam, “Mobility and transverse field effects in channel conduction of
[15] J.-T. Park and J.-P. Colinge, “Multiple-gate SOI MOSFETs: Device wrap-around-gate nanowire MOSFETs,” Proc. Inst. Elect. Eng.—Circuits
design guidelines,” IEEE Trans. Electron Devices, vol. 49, no. 12, Device Syst., vol. 151, no. 5, pp. 422–430, Oct. 2004.
pp. 2222–2229, Dec. 2002. [22] S.-Y. Lee, S.-M. Kim, E.-J. Yoon, C.-W. Oh, I. Chung, D. Park, and
[16] C. P. Auth and J. D. Plummer, “Scaling theory for cylindrical, fully- K. Kim, “A novel multibridge-channel MOSFET (MBCFET): Fabrication
depleted, surrounding-gate MOSFETs,” IEEE Electron Device Lett., technologies and characterization,” IEEE Trans. Nanotechnol., vol. 2,
vol. 18, no. 2, pp. 74–76, Feb. 1997. no. 4, pp. 253–257, Dec. 2003.

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on April 13,2020 at 10:04:49 UTC from IEEE Xplore. Restrictions apply.

You might also like