You are on page 1of 1457

《模拟电子技术》课程简介

1/23

模拟电子技术

主讲:刘颖 教授
《模拟电子技术》课程组
北京交通大学电子信息工程学院
2/23

教材
[1] 《模拟集成电路基础》,路勇主编,中国铁道出
版社 ,2010

[2]《电子技术基础实验、综合设计实验与课程设计》,
侯建军、佟毅、刘颖、曾涛、马英新编著,高等教育
出版社,2007
3/23
参考教材
[1]华成英主编,《模拟电子技术基本教程》, 清华大学
出版社 ,2006
[2]孙肖子主编,《模拟电子电路及技术基础》, 西安电
子科技大学出版社, 2013
[3]王淑娟主编,《模拟电子技术基础》, 高等教育出版
社, 2013
[4]刘颖主编,刘颖 任希 曾涛编写,《模拟电子技术》,
清华大学出版社/北京交通大学出版社,2008
[5]刘颖 任希 曾涛编著,《模拟电子技术习题精解及考
试真题选编》,清华大学出版社/北京交通大学出版社,
2009年
4/23

理论课主要内容(40学时)
1. 绪论(0.5学时)
2. 晶体二极管及应用电路(3.5学时)
3. 晶体三极管及应用电路(8学时)
4. 场效应管及基本放大电路(4学时)
5. 放大电路的频率响应(4学时)
6. 负反馈放大电路(5学时)
7. 双极型模拟集成电路(6学时)
8. 双极型模拟集成电路的分析与应用(6学时)
9. MOS模拟集成电路(自学)
10.直流稳压电源电路(3学时)
5/23

实验课主要内容(8学时)
实验一:放大电路工作点分析与设计(2学时)

实验二:前置放大器设计(2学时)

实验三:电路故障检测设计(4学时)
6/23
研究性教学活动(16学时)
研讨专题
专题一:电子技术的发展与应用综述
专题二:集成电路内部结构分析
专题三:模拟放大电路中的保护应用
专题四:放大电路的高频特性分析
专题五:实用功能电路设计与仿真
研讨内容
一.知识点难点、应用案例讨论
二.专题研究报告陈述、研讨
7/23

课程性质
 “模拟电子技术”是高校电子与电气信息类专业
重要的专业基础课,也是本院后续电子技术相关
课程基础,如通信电子线路、数字电子技术、微
机原理与接口技术等 。
课程目的
 掌握模拟电子技术的基本概念、基本电路、基本
分析方法、基本实验技能。
 具有能够继续深入学习和接受电子技术新发展的
能力,以及将所学电子技术知识用于本专业的能
力。
8/23

基本要求
 会看:模拟电子电路的定性分析 (理论课学习)
会算:模拟电子电路定量计算、功能电路设计计
算(理论课学习)
 会选:电路形式、器件、参数 (理论课学习)
 会调:测试方法、仪器选用、EDA (实验课学习)

建议:立足基础,面向未来!绝不好高骛远!
9/23
学习方法
 发现问题及时找主讲老师、助教答疑,力争课
前预习,至少要课后复习,坚持独立完成作业。
 在学习过程中,要注重建立工程的观念、系统
的观念、实践的观念、科学进步的观念。
 温故知新,注意“电路分析”课程知识点在本
课程中的应用。
 在实验过程中,注重利用现代电子电路计算机
辅助工具(如Multisim等),对使用电子电路
进行分析、调试、观察。
10/23

课程考核方法
 学习过程考察40分
① 研讨10分
② 作业30分
 期末考试60分
11/23

MOOC平台答疑安排
MOOC平台学生答疑安排:每天均安排两位主讲
老师负责网上答疑。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
电子系统的基本概念
12/23

第1章 绪论Preface
1.1 电子系统的基本概念
1.2 模拟电子系统应用举例
1.3 电子技术的发展
13/23

1.1 电子系统的基本概念
电路分析与电子技术区别:
 电路分析:以分析电路中的电磁现象,研究电路
的基本规律和电路的分析方法的基本理论;是电
子科学技术的重要理论基础之一。

 电子技术:研究电子器件和电子系统的分析、设
计及制造的工程实用技术。
14/23
 电子器件device:组成电路的基本物理单元。

 无源器件(passive devices) :特性与外加电源无关的


电子器件,如电阻、电感、电容。
 有源器件(active devices ) :特性与外加电源有关的
电子器件。如二极管、三极管、场效应管等, 其特
性与外接电源有关,电气特性具有非线性特征 。
15/23
 电子信息系统(简称电子系统)组成:

 模拟电子系统的构成原则:
① 必须满足系统的功能和性能指标的要求;
② 在满足系统的功能和性能指标要求情况下,电路
要尽量简单;
③ 具有电磁兼容特性;
④ 系统调试应简单方便,生产工艺尽可能简单易行。
16/23
 电子电路:由电子器件按一定规律和功能要求组
成的电路叫做电子电路(或简称电路) 。

模拟电子电路
电子电路分类
数字电子电路

模拟电子电路:对模拟信号进行分析处理电路。

数字电子电路:对数字信号进行分析处理电路。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
模拟电子系统应用举例
17/23

1.2 模拟电子系统应用举例
 模拟电子系统应用案例一:语音放大电路。输入为
微弱小信号,有源元件控制电源使负载获得大信号,
使得输出与输入小信号保持线性关系。放大电路是
模拟电子电路研究的主要内容。
VCC
20V
C3
500μF
R1 C1
R2
1.5KΩ 0.5μF
1KΩ
R3
2.5KΩ
音频放大器
C2
0.1μF

R4
10KΩ

话 声
筒 器
扩音系统电路图
18/23
 模拟电子系统应用案例二:心电图放大器。将脉搏
传感器提取的微弱信号进行放大并显示、打印。心
电信号十分微弱,频率一般在0.5—100Hz之间,
能量主要集中在17Hz附近,幅度大约在10uV(胎
儿)~5mV(成人)之间,所需放大倍数大约为
500-1000倍。
19/23
模拟电子系统应用案例三:射频放大电路。射频是一
种高频交流变化电磁波的简称,英文缩写为RF。在
电磁波频率低于100khz时,电磁波会被地表吸收,
不能形成有效的传输,但电磁波频率高于100khz时
,电磁波可以在空气中传播,并经大气层外缘的电离
层反射,形成远距离传输能力,我们把具有远距离传
输能力的高频电磁波称为射频。
20/23

应用领域 Application area


1.通信系统 Telecommunication System
2.控制系统 Control System
3.测试系统 Testing System
4.计算机 Computers
5.例如家用电器 Family Electronic
6.农业机械 Agriculture Machine
7.生物医学工程 Biomedical Engineering
8.航空航天技术 Spaceflight and Airplane
9.现代智能交通(ITS)
10. 汽车电子……

诺利刀
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
电子技术的发展
21/23

1.3 电子技术的发展
 1904年:第一只真空二极电子管、 电子学诞生(一代)
 20世纪40年代末:贝尔实验室制成第一只晶体管(二代)
 20世界50年代末:集成电路IC (<102)(三代)
 20世界60年代末:大规模集成电路LSI (>103)
 20世界70年代中期:超大规模集成电路VLSI (>105)
22/23

电子技术与其他技术交叉学科----微电子学: 随
着集成电路技术的发展,使整机、电路与元件器
件之间的明确界限被打破,器件问题、电路问题
和整机系统问题已经结合在一起,体现在一小块
硅片上,这就形成了固体物理、器件工艺与电子
学三者交叉的新技术学科,称为微电子学。

其他交叉学科:随着集成电路技术的广泛渗透和延
拓,将会形成更为广泛的边缘性交叉学科。
电子技术发展方向:超高集成度、超高速、片上系
统、超高微细加工。
23/23

第1章 结束

制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
半导体基础知识及特征
1/65
课程主要内容
1. 绪论
2. 晶体二极管及应用电路(3.5学时)
3. 晶体三极管及应用电路
4. 场效应管及基本放大电路
5. 放大电路的频率响应
6. 负反馈放大电路
7. 双极型模拟集成电路
8. 双极型模拟集成电路的分析与应用
9. MOS模拟集成电路(自学)
10.直流稳压电源电路
2/65

部分半导体二极管图片
3/65

第二章 晶体二极管及应用电路
问题:
1. 二极管特性与电阻特性有何区别?
2. 二极管电阻是大还是小?
3. 二极管具有怎样的物理结构?
4. 二极管有哪些类型?
5. 二极管有哪些典型应用?
4/65

第二章 晶体二极管及应用电路

2.1 半导体基础知识
2.2 晶体二极管
2.3 二极管主要应用
5/65

2.1 半导体基础知识
2.1.1 半导体特性
2.1.2 本征半导体
2.1.3 杂质半导体
2.1.4 PN结
6/65

2.1.1 半导体特性
导 体: 导电率为105s.cm-1,量级,如金属。(S:西门子)

绝缘体: 导电率为10-22~10-14 s.cm-1量级,如:橡胶、云


母、塑料等。
半导体: 导电能力介于导体和绝缘体之间。如:硅、锗、
砷化镓等。

 半导体特性
掺杂特性 掺入杂质则导电率增加几百倍 半导体元件

温度特性 温度增加使导电率大为增加 热敏元件

光照特性 光照不仅使导电率大为增加还可以产生电动势
光敏元件
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
本征半导体
7/65
2.1.2本征半导体
本征半导体 完全纯净、结构完整的半导体晶体。纯度
为99.9999999%,“九个9”;它在物理结构
上呈单晶体形态。
晶体特征 在晶体中,质点的排列有一定的规律。

常用的本征半导体

价电子 +4

注意:为了方便,
正离子 硅(锗)的原子 原子结构常用二维
结构简化模型 结构描述,实际上
说明:硅原子有14个自由电子 是三维结构。
锗原子有32个自由电子
8/65
2.1.2本征半导体
 本征半导体的原子结构和共价键 自由电子定向移动
共价键内的电子 形成电子流
称为束缚电子
外电场E
+4 +4 +4

导带 高

+4 +4 +4
禁带EG


+4 +4 +4
价带
挣脱原子核束缚的电子
束缚电子填补空穴的
称为自由电子
定向移动形成空穴流
价带中留下的空位称为空穴半导体能带结构示意图
锗晶体的共价键结构示意图
9/65
1. 载流子: 运载电荷的粒子,如自由电子和空穴,统称为载
流子。
2. 本征半导体中有两种载流子:自由电子和空穴,它们是
成对出现的。
3.电子流和空穴流:在外电场的作用下,自由电子和空穴
和的定向运动产生电流,分别称为电子流和空穴流

电子流 自由电子作定向运动形成的;方向与外电场方向
相反;自由电子始终在导带内运动。

空穴流 价电子递补空穴形成的;方向与外电场方向相同;
始终在价带内运动。

注意:本征半导体在热力学零度(0K)和没有外界能量激发
下,晶体内无自由电子,不导电。
10/65
 本征半导体的载流子的浓度
电子浓度 ni :表示单位体积内的自由电子数
空穴浓度 pi :表示单位体积内的空穴数。
3
ni  pi  AoT e  EG0 /2kT
2

A0 — 与材料有关的常数
EG0 — 禁带宽度
T — 绝对温度
k — 玻尔曼常数

结论 1. 本征半导体中 电子浓度ni = 空穴浓度pi


2. 载流子的浓度与T、EG0有关
11/65

 载流子的产生与复合
载流子的产生率g:即每秒成对产生的电子空穴的浓度。
载流子的复合率R:即每秒成对复合的电子空穴的浓度。

当达到动态平衡时 g=R
R = r nipi
其中r—复合系数,与材料有关。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
杂质半导体
12/65
2.1.3 杂质半导体
掺入的三价元素如B(硼)、Al(铝)
等,形成P型半导体,也称空穴型半导体。

杂质半导体

掺入的五价元素如P(磷) 、砷等,
形成N型半导体,也称电子型半导体。

杂质半导体特点:掺入杂质的本征半导体。掺杂后半导体
的导电率大为提高。
13/65
2.1.3 杂质半导体
 N型半导体 在本征半导体中掺入的五价元素,如P。

由于五价元素很容易贡献电
子,因此将其称为施主杂质。
+4 +4
+5 +4
施主杂质原子因提供自由电
子而带正电荷成为正离子。
+4 +4 +4
导带
施主
+ + + + + + + 能级
+4 +4
+5 +4

杂质原子提供 价带 由热激发形成

自由电子是多子(即多数载流子) 空穴是少子
14/65

 P型半导体 在本征半导体中掺入的三价元素如 B。

因留下的空穴很容易俘获
电子,使杂质原子成为负
+4 +4
+3 +4
离子。三价杂质 因而也
称为受主杂质。
+4 +4 +4
导带

受主
+4 +4
+3 +4 - - - - - - - 能级

杂质原子提供 价带 由热激发形成
空穴是多子 自由电子是少子
15/65
举例:锗原子密度为4.4×1022/cm3 ,常温下锗本征半导
ni=2.5×1013/cm3,若每104个锗原子中掺入1个磷原子(掺
杂密度为万分之一),则在单位体积中就掺入了
10-4×4.4×1022=4.4×1018/cm3个磷原子。 则施主杂质浓
度为: ND= 4.4×1018/cm3 (比n 大十万多倍)
i

杂质半导体小结:
① 载流子的浓度主要取决于多子(即杂质)的浓度。尽管杂
质含量很少(如万分之一),但提供的载流子数量仍远大
于本征半导体中载流子的数量。故使导电能力激增 。
② 半导体的掺杂、温度等可人为控制。
16/65

结论:在杂质型半导体中,多子浓度比本征半导体
的浓度大得多,而少子浓度比本征半导体的浓度小
得多,但两者乘积保持不变。

n ·p = ni ·pi = ni2=C

其中:ni 表示本征材料中电子的浓度
pi 表示本征材料中空穴的浓度。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
1/23

模拟电子技术

主讲:刘颖 教授
《模拟电子技术》课程组
北京交通大学电子信息工程学院
2/23

教材
[1] 《模拟集成电路基础》,路勇主编,中国铁道出
版社 ,2010

[2]《电子技术基础实验、综合设计实验与课程设计》,
侯建军、佟毅、刘颖、曾涛、马英新编著,高等教育
出版社,2007
3/23
参考教材
[1]华成英主编,《模拟电子技术基本教程》, 清华大学
出版社 ,2006
[2]孙肖子主编,《模拟电子电路及技术基础》, 西安电
子科技大学出版社, 2013
[3]王淑娟主编,《模拟电子技术基础》, 高等教育出版
社, 2013
[4]刘颖主编,刘颖 任希 曾涛编写,《模拟电子技术》,
清华大学出版社/北京交通大学出版社,2008
[5]刘颖 任希 曾涛编著,《模拟电子技术习题精解及考
试真题选编》,清华大学出版社/北京交通大学出版社,
2009年
4/23

理论课主要内容(40学时)
1. 绪论(0.5学时)
2. 晶体二极管及应用电路(3.5学时)
3. 晶体三极管及应用电路(8学时)
4. 场效应管及基本放大电路(4学时)
5. 放大电路的频率响应(4学时)
6. 负反馈放大电路(5学时)
7. 双极型模拟集成电路(6学时)
8. 双极型模拟集成电路的分析与应用(6学时)
9. MOS模拟集成电路(自学)
10.直流稳压电源电路(3学时)
5/23

实验课主要内容(8学时)
实验一:放大电路工作点分析与设计(2学时)

实验二:前置放大器设计(2学时)

实验三:电路故障检测设计(4学时)
6/23
研究性教学活动(16学时)
研讨专题
专题一:电子技术的发展与应用综述
专题二:集成电路内部结构分析
专题三:模拟放大电路中的保护应用
专题四:放大电路的高频特性分析
专题五:实用功能电路设计与仿真
研讨内容
一.知识点难点、应用案例讨论
二.专题研究报告陈述、研讨
7/23

课程性质
 “模拟电子技术”是高校电子与电气信息类专业
重要的专业基础课,也是本院后续电子技术相关
课程基础,如通信电子线路、数字电子技术、微
机原理与接口技术等 。
课程目的
 掌握模拟电子技术的基本概念、基本电路、基本
分析方法、基本实验技能。
 具有能够继续深入学习和接受电子技术新发展的
能力,以及将所学电子技术知识用于本专业的能
力。
8/23

基本要求
 会看:模拟电子电路的定性分析 (理论课学习)
会算:模拟电子电路定量计算、功能电路设计计
算(理论课学习)
 会选:电路形式、器件、参数 (理论课学习)
 会调:测试方法、仪器选用、EDA (实验课学习)

建议:立足基础,面向未来!绝不好高骛远!
9/23
学习方法
 发现问题及时找主讲老师、助教答疑,力争课
前预习,至少要课后复习,坚持独立完成作业。
 在学习过程中,要注重建立工程的观念、系统
的观念、实践的观念、科学进步的观念。
 温故知新,注意“电路分析”课程知识点在本
课程中的应用。
 在实验过程中,注重利用现代电子电路计算机
辅助工具(如Multisim等),对使用电子电路
进行分析、调试、观察。
10/23
课程考核方法
 学习过程考察40分
① 研讨10分
② 作业30分
 期末考试60分
11/23

MOOC平台答疑安排
MOOC平台学生答疑安排:每天均安排两位主讲
老师负责网上答疑。
12/23

第1章 绪论Preface
1.1 电子系统的基本概念
1.2 模拟电子系统应用举例
1.3 电子技术的发展
13/23
1.1 电子系统的基本概念
电路分析与电子技术区别:
 电路分析:以分析电路中的电磁现象,研究电路
的基本规律和电路的分析方法的基本理论;是电
子科学技术的重要理论基础之一。
 电子技术:研究电子器件和电子系统的分析、设
计及制造的工程实用技术。
14/23
 电子器件device:组成电路的基本物理单元。

 无源器件(active devices ) :特性与外加电源无关的


电子器件,如电阻、电感、电容。
 有源器件(passive devices) :特性与外加电源有关的
电子器件。如二极管、三极管、场效应管等, 其特
性与外接电源有关,电气特性具有非线性特征 。
15/23
 电子信息系统(简称电子系统)组成:

 模拟电子系统的构成原则:
① 必须满足系统的功能和性能指标的要求;
② 在满足系统的功能和性能指标要求情况下,电路
要尽量简单;
③ 具有电磁兼容特性;
④ 系统调试应简单方便,生产工艺尽可能简单易行。
16/23
 电子电路:由电子器件按一定规律和功能要求组
成的电路叫做电子电路(或简称电路) 。

模拟电子电路
电子电路分类
数字电子电路

模拟电子电路:对模拟信号进行分析处理电路。

数字电子电路:对数字信号进行分析处理电路。
17/23
1.2 模拟电子系统应用举例
 模拟电子系统应用案例一:语音放大电路。输入为
微弱小信号,有源元件控制电源使负载获得大信号,
使得输出与输入小信号保持线性关系。放大电路是
模拟电子电路研究的主要内容。 VCC
20V
C3
500μF
R1 C1
R2
1.5KΩ 0.5μF
1KΩ
R3
2.5KΩ
音频放大器
C2
0.1μF

R4
10KΩ

话 声
筒 器
扩音系统电路图
 模拟电子系统应用案例二:心电图放大器。将脉搏
18/23
传感器提取的微弱信号进行放大并显示、打印。心
电信号十分微弱,频率一般在0.5—100Hz之间,
能量主要集中在17Hz附近,幅度大约在10uV(胎
儿)~5mV(成人)之间,所需放大倍数大约为
500-1000倍。
19/23
模拟电子系统应用案例三:射频放大电路。射频是一
种高频交流变化电磁波的简称,英文缩写为RF。在
电磁波频率低于100khz时,电磁波会被地表吸收,
不能形成有效的传输,但电磁波频率高于100khz时
,电磁波可以在空气中传播,并经大气层外缘的电离
层反射,形成远距离传输能力,我们把具有远距离传
输能力的高频电磁波称为射频。
20/23
应用领域 Application area
1.通信系统 Telecommunication System
2.控制系统 Control System
3.测试系统 Testing System
4.计算机 Computers
5.例如家用电器 Family Electronic
6.农业机械 Agriculture Machine
7.生物医学工程 Biomedical Engineering
8.航空航天技术 Spaceflight and Airplane
9.现代智能交通(ITS)
10. 汽车电子……
诺利刀
21/23
1.3 电子技术的发展
 1904年:第一只真空二极电子管、 电子学诞生(一代)
 20世纪40年代末:贝尔实验室制成第一只晶体管(二代)
 20世界50年代末:集成电路IC (<102)(三代)
 20世界60年代末:大规模集成电路LSI (>103)
 20世界70年代中期:超大规模集成电路VLSI (>105)
22/23
电子技术与其他技术交叉学科----微电子学: 随
着集成电路技术的发展,使整机、电路与元件器
件之间的明确界限被打破,器件问题、电路问题
和整机系统问题已经结合在一起,体现在一小块
硅片上,这就形成了固体物理、器件工艺与电子
学三者交叉的新技术学科,称为微电子学。
其他交叉学科:随着集成电路技术的广泛渗透和延
拓,将会形成更为广泛的边缘性交叉学科。
电子技术发展方向:超高集成度、超高速、片上系
统、超高微细加工。
23/23

第1章 结束
半导体PN结的形成
17/65
2.1.4 PN结
一、PN结的形成
二、PN结的接触电位差
三、PN结的伏安特性
四、PN结的反向击穿特性
五、PN结电容
六、PN结的电致发光
七、PN结的光电效应
18/65
一、PN结的形成

P区 N区
概念:
扩散运动:物质总是从浓度高的地方向浓度低的地方运
动,这种由于浓度差而产生的运动称为扩散运动。

漂移运动:载电流(自由电子和空穴)在电场力的作
用下产生的定向移动,称为漂移运动。
19/65
空穴 自由电子

内电场阻碍多子向对方的扩散
即阻碍扩散运动
同时促进少子向对方漂移
产生了漂移运动
负离子 P区 N区 正离子 扩散运动

载流子从浓度大向浓度小
的区域扩散,称扩散运动
形成的电流成为扩散电流

扩散运动=漂移运动时 P区 内电场 N区
达到动态平衡 负离子区 耗尽层
正离子区
PN结
20/65

二、PN结的接触电位差
 内电场的建立,使PN结中产生
了电位差 ,从而形成接触电位U。

 接触电位U决定于材料及掺杂浓度

硅: U≈0.7 V
U 锗: U≈0.3 V
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
半导体PN结的伏安特性
21/65
三、PN结的伏安特性
1. PN结加正向电压时的导电情况
PN结正偏:外接电源E后,P区的电位高于N区的电位,称为
外接正向电压,也称为正向偏置,简称正偏。


原理:外电场方向与PN结内电
场方向相反,削弱了内电场。
于是内电场对多子扩散运动
的阻碍减弱,扩散电流加大。 内
扩散电流远大于漂移电流,
可忽略漂移电流的影响。
结论:
PN结正偏时,呈现低阻性。
22/65
2. PN结加反向电压时的导电情况
PN结反偏: P区的电位低于N区的电位,称为外接反向电压,
也称为反向偏置,简称反偏。


原理:外电场与PN结内电场方
向相同,增强内电场。
内电场对多子扩散运动阻
碍增强,扩散电流大大减小。

少子在内电场的作用下形成的
漂移电流加大。
此时PN结区少子漂移电流
大于扩散电流,可忽略扩散电 结论:
流。 PN结反偏时,呈现高阻性,
近似为截止状态。
23/65

结论: PN结具有单向导电性

问题:有必要加电阻R吗?
24/65
+ uD -
阳极 阴极
3. PN结电流方程
由半导体物理可推出: iD

iD  IS (e uD UT  1) PN结两端的电压与
流过PN结电流的关系式

式中 Is  (反向)饱和电流;
UT = kT/q 等效电压
k  波尔兹曼常数;q为电子的电量;
uD为PN结两端的电压;
iD为PN结的电流;
当T=300k(室温)时 ,UT= 26mv
25/65
PN结电流方程 iD  IS (e uD UT
 1) 常温时UT=26mV

(1)加正向电压时
iD
iD  ISe uD UT
(uD>>UT)

(2)当加反向电压时

iD   IS (UBR <uD<0)

uD
26/65

四、PN结的反向击穿特性
反向击穿概念
iD
PN结上所加的反向电压达
到某一数值时( uD<UBR ) ,
反向电流激增的现象。

击穿类别
① 可逆击穿 uD
② 不可逆击穿
27/65

雪崩击穿 当反向电压增高时,少子获得能量高速
运动,在空间电荷区与原子发生碰撞,
产生碰撞电离。形成连锁反应,象雪崩
可逆 一样。使反向电流激增。掺杂浓度小的
击穿 二极管容易发生。

击 齐纳击穿 当反向电压较大时,强电场直接从共价
穿 键中将电子拉出来,形成大量载流子,
使反向电流激增。掺杂浓度大的二极管
容易发生。
不可逆
热击穿 PN结的电流或电压较大,使PN结耗
击穿
散功率超过极限值,使结温升高,导
致PN结过热而永久性损坏。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
半导体PN结的电容特性
28/65
五、PN结的电容
PN结的电容效应:PN结偏置电压的变化将导致PN结空间电
荷区的电荷数量及其两侧载流子的数目均发生变化,现象与
电容相似。按照产生机理,有势垒电容和扩散电容。

势垒电容CB:当外加电压不同时,耗尽层的电荷量随外加
电压而增多或减少,与电容的充放电过程相同。耗尽层宽
窄变化所等效的电容为势垒电容。 注意:PN结为反偏时较大

V
29/65
扩散电容CD

外加电压不同情况下,P
、N区少子浓度的分布将发生
变化,扩散区内电荷的积累
与释放过程与电容充放电过
程相同,这种电容等效为扩
散电容。

•注意:势垒电容和扩散电
容均是非线性电容,并同时
存在。外加电压变化缓慢时
可以忽略,但是变化较快时
不容忽略。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
半导体PN结的电致发光特性
30/66
六、PN结的电致发光
 PN结的电致发光
如果在PN结加正偏电压UD,外电场将消弱内建电场对
载流子扩散的阻挡作用。在外加电场满足一定条件下,注
入到耗尽区内的电子和空穴通过辐射复合而产生光子的速
率将大于材料对光子的吸收速率,从而在半导体内产生光
增益。

D
E P N
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
半导体PN结的光电效应
31/66
七、PN结的光电效应
PN结用导线连接成回路时,载流子面临PN结势垒的阻
挡,在回路中不产生电流。当有光照射PN结材料上时,若光
子能量大于半导体的禁带宽度,则在PN结的耗尽区、P区、
N区内产生光生的电子-空穴对,耗尽区内的载流子在内建场
的作用下电子迅速移向N区,空穴移向P区,在回路内容形成
光电流,而P、N区内产生的光子无内建电场的作用只进行自
由的扩散运动,多数因复合而消失,对光电流基本没有贡献。

注意:为了充分利用在PN
RL IP 结各区内产生的光生载流
D U D
D ED 子,PN结需加适当的反向
偏压。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
晶体二极管的结构与特性
32/65

2.2 晶体二极管

2.2.1 二极管的结构类型
2.2.2 二极管的伏安特性
2.2.3 二极管的等效电阻
2.2.4 二极管主要参数
2.2.5 二极管模型
2.2.6 特殊二极管
2.2.7 二极管应用
33/65
2.2.1 晶体二极管的结构类型
往往用于集成电路制造工艺中。
PN结面积小,结电容小,
在PN结上加上封装和引线,就成为晶体二极管,简称二极管。
PN 结面积可大可小,
用于检波和变频等高频电路
点接触型用于高频整流和开关电路中。
PN结面积大,用
二极管按结构分 于工频大电流整流电路
面接触型
平面型

正极 负极

二极管
符号
34/65
2.2.2 晶体二极管的伏安特性
伏安特性:是指二极管两端电压和流过二极管电流之间的关系。
由PN结电流方程求出理想的伏安特性曲线, + u - D

PN结电流方程为:iD  IS (e uD UT
 1)
iD 1.当加正向电压时
iD
iD  IS e uD UT
i 随u↑呈指数规率↑

O uD 2.当加反向电压时
i D ≈ - Is
电流iD基本不变
35/65
晶体二极管的伏安特性 ①正向起始部分存在一
个死区或门坎,称为
门限电压。
硅:UR = 0.5--0.6V;
锗:UR =0.1-- 0.2V。
② ②加反向电压时,反向
UBR ① 电流很小
即Is硅(nA)<Is锗(A)
硅管比锗管稳定。
③ UR
③当反压增大 UBR 时再
增加,反向电流激增,
实测伏安特性 发生反向击穿, UBR称
材料 门限电压 导通电压 Is/ μA 为反向击穿电压。
硅 0.5~0.6V 0.7V <0.1
锗 0.1~0.2V 0.3V 几十
36/65
温度对二极管特性的影响

温度升高,开启电压UR减小,反向电流IS增大。

iD
o
50
o 20
+ uD - 阴极
阳极 uD

iD

二极管符号 二极管的特性曲线
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
晶体二极管的等效电阻
37/65

2.2.3 二极管的等效电阻
非线性电阻 用直流电阻 (也称静态电阻)和交流电阻
(又称动态电阻或微变电阻)来描述二极
管的电阻特性。
1.直流(静态)电阻RD的计算方法
UQ
定义 二极管两端的直流电压与电流之比 RD 
IQ
iD iD  IS (e
uD UT
 1) iD

IQ uD D

UQ uD

硅管UQ≥0.7V, 锗管UQ≥0.3V。
38/65

直流电阻的求解方法:借助于静态工作点Q(IQ,UQ)来求。

方法一:解析法
列写二极管电流方程和电路方程:
iD

iD  IS (e  1)
uD U T


uD  ED -iD  RL
RL
 uD D
ED
解方程组,得到二极管静态工作电
流IQ和电压UQ,二极管直流电阻为
UQ
RD 
IQ
39/65
直流负载线与伏安
方法二:图解法 特性曲线的交点

由电路可列出方程: iD
ED  uD iD
iD  RL
ED/RL
RL uD D
ED IQ Q
在二极管特性曲线上绘 uD
制直流负载线,其中两 UQ ED
个坐标点:
uD=0 iD=ED/RL
iD=0 uD=ED
UQ
由静态工作点Q点得IQ和UQ,从而求出直流电阻 RD 
IQ
40/65
2.交流电阻rD的计算方法 duD ΔuD
定义:rD  
diD I  IQ
ΔiD iD  I Q

ui RL iD  IS e uD UT
di D IQ
ED  gD  
D duD I  I UT
Q

1 duD UT
iD
 rD   
g D duD I  IQ
IQ
室温(T=300K)下,UT=26mV。
Q  iD 交流电阻:rD=26mV/ IQ (mA)
uD uD 注意:交流电阻rD与其静态工作点Q有关。
说明:二极管正偏时, rD很小(几至几十欧姆)
二极管反偏时,rD很大(几十千至几兆欧姆)。
41/65
硅: UD≈0.7 V
结论: PN结具有单向导电性 锗: UD≈0.3 V

工程分析方法:

问题解答:电阻R的作用是 E  UD
回路方程:I D 
限制回路电流,避免二极 R
管电流过大而烧毁。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
晶体二极管的参数
42/65

2.2.4 二极管的主要参数
1.最大整流电流IF:指二极管长期运行时,允许通过的
最大正向平均电流。
2.最高反向工作电压UBR:管子工作时所允许的最高反
向电压
3.反向电流IR :二极管未击穿时的反向电流,近似为IS。
4.最高工作频率 fM :二极管工作的上限频率。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
二极管模型
43/65

2.2.5 二极管的模型
1.理想二极管模型 iD
阳 阴
极 + uD - 极 uD
iD o
理想二极管 理想二极管特性
等效电路 曲线

特点:(1) 正偏时导通,压降为0V;
(2) 反偏时截止,反向电流为0。

应用:(1)电路简化定性分析;(2)大信号时电路的近似分析。
44/65
iD
2. 折线二极管模型 iD

uD - uD
+ Uon rrDd
uD
o Uon uA
iD

二极管等效电路 二极管伏安特性曲线
uD (Si管Uon ≈ 0.7V ,Ge管0.3V);
电阻rD 
iD
特点:(1) 正偏电压>Uon时,导通;
(2) 反偏电压<Uon时,截止。

应用:工程计算。 说明:若忽略小电阻rD ,则为恒


压降二极管模型。
45/65

3.二极管交流模型
当二极管在正偏情况下,若叠加的交流为低频小信号仍能
保持二极管正偏,若忽略二极管结电容和体电阻,其等效
模型就是一个交流电阻rD。

D rD
iD
Q U Q , I Q 
+ iL i D I D + iL
ui + ui +
- RL uL - RL uL
UQ
- uD -
o Uon
+5v
uD

(a)二极管应用电路 (b)二极管特性曲线 (c)交流等效电路


制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
几种常见的特殊二极管
46/65
2.2.6 特殊二极管
1. 稳压二极管: 是应用在反向击穿区的特殊二极管。
iD

+ uD - 阴极
阳极 uD
UZ

-IZmin
DZ iD

稳压二极管管符号 反向击穿区
-IZmax

稳压特性: 稳压二极管的特性曲线
在反向击穿时,电流急剧增加而PN结两端的电压基本保持不变。
正向部分与普通二极管相同。
注意:稳压管工作区在反向击穿区。
47/65 iD
IZ

+ uD - 阴极
阳极 uD
UZ

-IZmin
DZ iD

稳压二极管管符号 反向击穿区
-IZmax

特性参数: 稳压二极管的特性曲线

1) 稳定电压UZ: 反向击穿电压。
2) 稳定电流Iz: 稳压时的参考电流,变化范围是( Izmin , Izmax )
3) 额定功率PZM:就是最大工作电流和稳定电压的乘积。
uD
4) 动态电阻rZ: 在稳压范围内,rZ  , 很小,一般十几
欧姆~几十欧姆。 iD
48/65
稳压工作原理
IR
uR -
+
+ + 稳压管使用方法:稳压二
R IDz IL
极管在稳压电路工作时应
ui uo 反接,并串入一只电阻。
DZ RL
- 稳压电路要求:输入电压ui
- 要求大于输出电压uo。

(a) 稳压管稳压电路
RL↓ → IL↑ →IR ↑ → uR ↑ → uo ↓→ IDz↓

IR ↓ → uR↓ → uo ↑
(b) 负载变化时的稳压过程
49/65
稳压工作原理
IR
uR - 电阻R的作用:
+
+ + (1)当输入电压或负载电流
R IDz IL
变化时,通过该电阻上电
ui uo 压降的变化,取出误差信
DZ RL
-
号以调节稳压管的工作电
- 流,从而起到稳压作用。
(2)起限流作用,以保护稳
(a) 稳压管稳压电路
压管。
ui↑ → uo↑ →IDz ↑ → IR ↑ → uR ↑

u o↓
(c) 输入电压变化时的稳压过程
50/65

2. 变容二极管:主要利用PN结的势垒电容CT随外电
压U的变化而变化的特点制成的二极管。

符号:

注意:变容二极管使用时应加反向电压。
51/65

3. 发光二极管:将电能转换成光能的特殊半导体元件。

普通发光二极管
1)类型 红外发光二极管 符号
……

直流驱动电路
2) 常用驱动电路:
交流驱动电路

3) 工作原理:利用PN结的电致发光原理。

注意:发光二极管在加正向电压时才发光。
52/65

4.光电二极管:有光照射时有电流产生的二极管。

RL IP
D D
ED

1)类型: PN型、PIN型、雪崩型

2)结构: 和普通的二极管基本相同
3)工作原理:PN结的光电效应。

注意:光电二极管通常在反压状态工作。
53/65
5.肖特基二极管:利用金属和半导体之间的接触势垒而制成
的元件。
SD
N 型
金属
半导体

结构 符号

肖特基二极管特点:
(1)具有与PN结相似的伏安特性。
(2)依靠一种载流子工作的器件
(3)串联电阻低。
(4)正向导通电压和反向击穿电压均比PN结低。
应用: 适用于高频高速电路。
54/65

附 录
•半导体二极管型号
国家标准对半导体器件型号的命名举例如下:
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
二极管应用
55/65

2.2.7 二极管应用
1. 整流电路(10章应用)
2. 稳压电路(10章应用)
3. LED显示器 (常用于输出指示)
4. 限幅电路 (幅度去噪等应用)
5. 钳位电路 (信号电平转换用等)
56/65
LED显示器 +5V
a
a
a b
b
f b c
c
g d
e c
d
d e
e
f
f
控制端为高电平 g 控制端为低电平
g
对应二极管发光
对应二极管发光
共阴极电路 共阳极电路
57/65
限幅电路

工作原理:利用二极管单向导电性,限定输出信号的幅度。

ui
R VZ+VD uo
VD
ui uo
VZ t
58/65
钳位电路

工作原理: (1)当输入ui>0时,二极管瞬间导通,C快速充电,
Uc=V1,充电结束,R无电流,输出uo=0。
(2) 当输入ui<0时, 二极管截止,C充放电缓慢,
输出uo= -Uc+ui = -V1-V2。

Uc
+ -
ui
+V1
C

ui R D uo
t
-V2 uo

特点:钳位电路的作用是将周期信号波形的顶部或底部保持在
某一确定的直流电平上,信号波形形状不变。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
第二章小结
《晶体二极管及应用》

主讲:刘颖
知识点小结
① 半导体及其特性:掺杂特性、温度特性、光照特性;
② 掺杂半导体:本征半导体,N型半导体、P型半导体;
③ 半导体中的载流子:自由电子和空穴;
④ PN结:也称为耗尽层、势垒区、空间电荷区,有内电场。
PN结正偏时耗尽层变窄,反偏时耗尽层变宽;
⑤ PN结特性:单向导电性、光电电光特性、结电容特性等。
⑥ PN结的电容:按照产生机理,有势垒电容和扩散电容。
⑦ 晶体二极管及其特性:实际上就是一个PN结加了管壳和电
极引脚;描述二极管的性能常用二极管的伏安特性,可用二
极管的电流方程来描述,I=Is(e U/UT-1);
⑧ 二极管击穿:有可逆击穿和不可逆击穿,可逆击穿又分为
雪崩击穿和齐纳击穿;
⑨ 二极管具有单向导电性:通常来讲,硅管导通后管压降
UD≈0.7V,锗管导通后UD≈0.3V;
特殊二极管小结
二极管类型 工作条件 功能

稳压二极管 反偏、反向击穿 稳压

整流二极管 正偏 单向导电性

发光二极管 正偏 电—光转换

光电二极管 反偏 光—电转换

变容二极管 反偏 可变容

肖特基二极管 正偏 工作频率高
重点难点

重点:晶体二极管的原理、伏安特性及电流方程。
难点:1.两种载流子的形成
2.载流子的运动
3. PN结的形成
4.单向导电性
测试题
一、选择填空
1. 稳压二极管通常工作在( )状态下,能够稳定电压。
A正向导通 B反向截止 C反向击穿 D 任意状态

答:C

2. PN结加反向偏置时,空间电荷区( )。
A.变宽 B.变窄 C.不变 D.不确定

答:A
二、填空
1. 在杂质半导体中,多数载流子的浓度主要取决于( A ),
少数载流子的浓度则与( B )有很大关系。
答:A是掺杂浓度,B是温度。

2. 整流二极管的主要特性是( A ),它的两个参数是(B)。

答:A.单向导电性 B. 反向击穿电压UBR和最大整流电流IF。
三、简答题
与本征半导体相比,N型半导体中空穴是多了还是少了?
提示:N型半导体,自由电子是多子,空穴是少子。在杂质型
半导体中,多子浓度与少子浓度两者乘积保持不变。

解答:
+5
+4 +4 +4
N型半导体自由电子是多
子,空穴是少子,与本证
半导体相比,少子空穴少 +4 +4 +4
了。

+5
+4 +4 +4
10V 15V
四、分析判断题 +
25k 140k
18k
D
1.判断图1所示电路中的 B A
二极管能否导通。 2k 5k 10k
-

C
图1 二极管应用电路

分析思路:判断二极管在电路中工作状态的方法是先假设二极
管断开,分别计算二极管两极的电压,然后比较阳极电压与阴
极间将承受的电压,如果该电压大于二极管的导通电压,则说
明二极管导通,否则截止。
如果判断过程中,电路出现两个以上的二极管承受大小
不等的正向电压,则应判定承受正向电压较大者优先导通,其
两端电压为导通电压,然后在用上述方法判断其他二极管的导
通状态。
10V 15V
+
25k 140k
18k

B A
2k 5k 10k
-

C
图2 图1所示二极管应用电路判断过程

解答: 10
VA   15  1V
10  140
2 5
VB   10   15  1  2.5  3.5V
2  18 5  25
则 VA< VB, 二极管为截止状态。
2.图3所示稳压电路,RL=R ,已经稳压管参数UZ=5V,试分析:
(1)若UI=7V时,求输出Uo;
(2)若UI=12V时,求输出Uo。
IR
uR -
+
R IDz IL +
UI RL Uo
DZ
-

图3 稳压管稳压电路

分析思路:判断图示电路中的稳压二极管是否反向击穿。

RL
如果  U I  U DZ,则输出为稳压值U DZ。
R  RL
3.双向限幅电路如图4所示,已知输入波形,请画出输出波形。

R
ui
+ +

VD1 VD2 V1+VD


ui uo
t
V1 V2
- - V2+VD

图4 双向限幅电路

分析:电路利用了二极管的单向导电性和电压源V1和
V2的输出稳定特性。

当VD1导通、VD2截止时,输出近似为V1+VD;
当VD1截止、VD2导通时,输出近似为负的V2+VD。
当VD1、VD2均截止时,输出等于输入。
第二章小结 结束
1/66
课程主要内容
1. 绪论
2. 晶体二极管及应用电路(3.5学时)
3. 晶体三极管及应用电路
4. 场效应管及基本放大电路
5. 放大电路的频率响应
6. 负反馈放大电路
7. 双极型模拟集成电路
8. 双极型模拟集成电路的分析与应用
9. MOS模拟集成电路(自学)
10.直流稳压电源电路
2/66

部分半导体二极管图片
3/66
3/65

第二章 晶体二极管及应用电路
问题:
1. 二极管特性与电阻特性有何区别?
2. 二极管电阻是大还是小?
3. 二极管具有怎样的物理结构?
4. 二极管有哪些类型?
5. 二极管有哪些典型应用?
4/65
4/66

第二章 晶体二极管及应用电路
2.1 半导体基础知识
2.2 晶体二极管
2.3 二极管主要应用
5/65
5/66

2.1 半导体基础知识
2.1.1 半导体特性
2.1.2 本征半导体
2.1.3 杂质半导体
2.1.4 PN结
6/66
2.1.1 半导体特性
导 体: 导电率为105s.cm-1,量级,如金属。(S:西门子)
绝缘体: 导电率为10-22~10-14 s.cm-1量级,如:橡胶、云
母、塑料等。
半导体: 导电能力介于导体和绝缘体之间。如:硅、锗、
砷化镓等。
 半导体特性
掺杂特性 掺入杂质则导电率增加几百倍 半导体元件
温度特性 温度增加使导电率大为增加 热敏元件
光照特性 光照不仅使导电率大为增加还可以产生电动势
光敏元件
7/66
2.1.2本征半导体
本征半导体 完全纯净、结构完整的半导体晶体。纯度
为99.9999999%,“九个9”;它在物理结构
上呈单晶体形态。
晶体特征 在晶体中,质点的排列有一定的规律。

常用的本征半导体

价电子 +4
注意:为了方便,
正离子 硅(锗)的原子 原子结构常用二维
结构简化模型 结构描述,实际上
说明:硅原子有14个自由电子
锗原子有32个自由电子 是三维结构。
8/66
2.1.2本征半导体
 本征半导体的原子结构和共价键 自由电子定向移动
共价键内的电子 形成电子流
称为束缚电子
外电场E
+4 +4 +4

导带 高
+4 +4 +4
禁带EG

+4 +4 +4
价带 低
挣脱原子核束缚的电子
束缚电子填补空穴的
称为自由电子
定向移动形成空穴流
价带中留下的空位称为空穴半导体能带结构示意图
锗晶体的共价键结构示意图
9/66
1. 载流子: 运载电荷的粒子,如自由电子和空穴,统称为载
流子。
2. 本征半导体中有两种载流子:自由电子和空穴,它们是
成对出现的。
3.电子流和空穴流:在外电场的作用下,自由电子和空穴
和的定向运动产生电流,分别称为电子流和空穴流

电子流 自由电子作定向运动形成的;方向与外电场方向
相反;自由电子始终在导带内运动。

空穴流 价电子递补空穴形成的;方向与外电场方向相同;
始终在价带内运动。
注意:本征半导体在热力学零度(0K)和没有外界能量激发
下,晶体内无自由电子,不导电。
10/66
 本征半导体的载流子的浓度
电子浓度 ni :表示单位体积内的自由电子数
空穴浓度 pi :表示单位体积内的空穴数。
3
ni  pi  AoT e  EG0 /2kT
2

A0 — 与材料有关的常数
EG0 — 禁带宽度
T — 绝对温度
k — 玻尔曼常数
结论 1. 本征半导体中 电子浓度ni = 空穴浓度pi
2. 载流子的浓度与T、EG0有关
11/66

 载流子的产生与复合
载流子的产生率g:即每秒成对产生的电子空穴的浓度。
载流子的复合率R:即每秒成对复合的电子空穴的浓度。

当达到动态平衡时 g=R
R = r nipi
其中r—复合系数,与材料有关。
12/66
2.1.3 杂质半导体
掺入的三价元素如B(硼)、Al(铝)
等,形成P型半导体,也称空穴型半导体。

杂质半导体

掺入的五价元素如P(磷) 、砷等,
形成N型半导体,也称电子型半导体。

杂质半导体特点:掺入杂质的本征半导体。掺杂后半导体
的导电率大为提高。
13/66
2.1.3 杂质半导体
 N型半导体 在本征半导体中掺入的五价元素,如P。
由于五价元素很容易贡献电
子,因此将其称为施主杂质。
+4 +4
+5 +4
施主杂质原子因提供自由电
子而带正电荷成为正离子。
+4 +4 +4
导带
施主
+ + + + + + + 能级
+4 +4
+5 +4

杂质原子提供 价带 由热激发形成
自由电子是多子(即多数载流子) 空穴是少子
14/66

 P型半导体 在本征半导体中掺入的三价元素如 B。
因留下的空穴很容易俘获
电子,使杂质原子成为负
+4 +4
+3 +4
离子。三价杂质 因而也
称为受主杂质。
+4 +4 +4
导带
受主
+4 +4
+3 +4 - - - - - - - 能级

杂质原子提供 价带 由热激发形成
空穴是多子 自由电子是少子
15/66
举例:锗原子密度为4.4×1022/cm3 ,常温下锗本征半导
ni=2.5×1013/cm3,若每104个锗原子中掺入1个磷原子(掺
杂密度为万分之一),则在单位体积中就掺入了
10-4×4.4×1022=4.4×1018/cm3个磷原子。 则施主杂质浓
度为: ND= 4.4×1018/cm3 (比n 大十万多倍)
i

杂质半导体小结:
① 载流子的浓度主要取决于多子(即杂质)的浓度。尽管杂
质含量很少(如万分之一),但提供的载流子数量仍远大
于本征半导体中载流子的数量。故使导电能力激增 。
② 半导体的掺杂、温度等可人为控制。
16/66

结论:在杂质型半导体中,多子浓度比本征半导体
的浓度大得多,而少子浓度比本征半导体的浓度小
得多,但两者乘积保持不变。
n ·p = ni ·pi = ni2=C
其中:ni 表示本征材料中电子的浓度
pi 表示本征材料中空穴的浓度。
17/66
2.1.4 PN结
一、PN结的形成
二、PN结的接触电位差
三、PN结的伏安特性
四、PN结的反向击穿特性
五、PN结电容
六、PN结的电致发光
七、PN结的光电效应
18/66
一、PN结的形成

P区 N区
概念:
扩散运动:物质总是从浓度高的地方向浓度低的地方运
动,这种由于浓度差而产生的运动称为扩散运动。
漂移运动:载电流(自由电子和空穴)在电场力的作
用下产生的定向移动,称为漂移运动。
19/66
空穴 自由电子

内电场阻碍多子向对方的扩散
即阻碍扩散运动
同时促进少子向对方漂移
产生了漂移运动
负离子 P区 N区 正离子 扩散运动
载流子从浓度大向浓度小
的区域扩散,称扩散运动
形成的电流成为扩散电流

扩散运动=漂移运动时 P区 内电场 N区
达到动态平衡 负离子区 耗尽层
正离子区
PN结
20/66

二、PN结的接触电位差
 内电场的建立,使PN结中产生
了电位差 ,从而形成接触电位U。

 接触电位U决定于材料及掺杂浓度

硅: U≈0.7 V
U 锗: U≈0.3 V
21/66
三、PN结的伏安特性
1. PN结加正向电压时的导电情况
PN结正偏:外接电源E后,P区的电位高于N区的电位,称为
外接正向电压,也称为正向偏置,简称正偏。

原理:外电场方向与PN结内电 外
场方向相反,削弱了内电场。
于是内电场对多子扩散运动
的阻碍减弱,扩散电流加大。 内
扩散电流远大于漂移电流,
可忽略漂移电流的影响。
结论:
PN结正偏时,呈现低阻性。
22/66
2. PN结加反向电压时的导电情况
PN结反偏: P区的电位低于N区的电位,称为外接反向电压,
也称为反向偏置,简称反偏。

原理:外电场与PN结内电场方 外
向相同,增强内电场。
内电场对多子扩散运动阻
碍增强,扩散电流大大减小。

少子在内电场的作用下形成的
漂移电流加大。
此时PN结区少子漂移电流
结论:
大于扩散电流,可忽略扩散电
PN结反偏时,呈现高阻性,
流。
近似为截止状态。
23/66

结论: PN结具有单向导电性

问题:有必要加电阻R吗?
24/66
+ uD -
阳极 阴极
3. PN结电流方程
由半导体物理可推出: iD
PN结两端的电压与
iD  IS (e uD UT
 1)
流过PN结电流的关系式
式中 Is  (反向)饱和电流;
UT = kT/q 等效电压
k  波尔兹曼常数;q为电子的电量;
uD为PN结两端的电压;
iD为PN结的电流;
当T=300k(室温)时 ,UT= 26mv
25/66
PN结电流方程 iD  IS (e uD UT
 1)
常温时UT=26mV

(1)加正向电压时
iD
iD  ISe uD UT
(uD>>UT)

(2)当加反向电压时
iD   IS (UBR <uD<0)

uD
26/66
四、PN结的反向击穿特性
反向击穿概念
iD
PN结上所加的反向电压达
到某一数值时( uD<UBR ) ,
反向电流激增的现象。
击穿类别
① 可逆击穿 uD
② 不可逆击穿
27/66

当反向电压增高时,少子获得能量高速
雪崩击穿
运动,在空间电荷区与原子发生碰撞,
产生碰撞电离。形成连锁反应,象雪崩
可逆 一样。使反向电流激增。掺杂浓度小的
击穿 二极管容易发生。
当反向电压较大时,强电场直接从共价
击 齐纳击穿
键中将电子拉出来,形成大量载流子,
穿 使反向电流激增。掺杂浓度大的二极管
容易发生。
不可逆 PN结的电流或电压较大,使PN结耗
热击穿
击穿
散功率超过极限值,使结温升高,导
致PN结过热而永久性损坏。
28/66
五、PN结的电容
PN结的电容效应:PN结偏置电压的变化将导致PN结空间电
荷区的电荷数量及其两侧载流子的数目均发生变化,现象与
电容相似。按照产生机理,有势垒电容和扩散电容。
势垒电容CB:当外加电压不同时,耗尽层的电荷量随外加
电压而增多或减少,与电容的充放电过程相同。耗尽层宽
窄变化所等效的电容为势垒电容。 注意:PN结为反偏时较大

V
29/66
扩散电容CD
外加电压不同情况下,P
、N区少子浓度的分布将发生
变化,扩散区内电荷的积累
与释放过程与电容充放电过
程相同,这种电容等效为扩
散电容。

•注意:势垒电容和扩散电
容均是非线性电容,并同时
存在。外加电压变化缓慢时
可以忽略,但是变化较快时
不容忽略。
30/66
五、PN结的电致发光
 PN结的电致发光
如果在PN结加正偏电压UD,外电场将消弱内建电场对
载流子扩散的阻挡作用。在外加电场满足一定条件下,注
入到耗尽区内的电子和空穴通过辐射复合而产生光子的速
率将大于材料对光子的吸收速率,从而在半导体内产生光
增益。

D
E P N
31/66
五、PN结的光电效应
PN结用导线连接成回路时,载流子面临PN结势垒的阻
挡,在回路中不产生电流。当有光照射PN结材料上时,若光
子能量大于半导体的禁带宽度,则在PN结的耗尽区、P区、
N区内产生光生的电子-空穴对,耗尽区内的载流子在内建场
的作用下电子迅速移向N区,空穴移向P区,在回路内容形成
光电流,而P、N区内产生的光子无内建电场的作用只进行自
由的扩散运动,多数因复合而消失,对光电流基本没有贡献。
注意:为了充分利用在PN
RL IP 结各区内产生的光生载流
D U D
D ED 子,PN结需加适当的反向
偏压。
32/66
2.2 晶体二极管
2.2.1 二极管的结构类型
2.2.2 二极管的伏安特性
2.2.3 二极管的等效电阻
2.2.4 二极管主要参数
2.2.5 二极管模型
2.2.6 特殊二极管
2.2.7 二极管应用
33/66
2.2.1 晶体二极管的结构类型
往往用于集成电路制造工艺中。
PN结面积小,结电容小,
在PN结上加上封装和引线,就成为晶体二极管,简称二极管。
PN 结面积可大可小,
用于检波和变频等高频电路
PN结面积大,用
点接触型用于高频整流和开关电路中。
二极管按结构分 面接触型 于工频大电流整流电路
平面型

正极 负极

二极管
符号
34/66
2.2.2 晶体二极管的伏安特性
伏安特性:是指二极管两端电压和流过二极管电流之间的关系。
由PN结电流方程求出理想的伏安特性曲线, + u - D

PN结电流方程为:iD  IS (e uD UT
 1)
iD 1.当加正向电压时
iD
iD  IS e uD UT
i 随u↑呈指数规率↑
O uD 2.当加反向电压时
i D ≈ - Is
电流iD基本不变
35/66
晶体二极管的伏安特性 ①正向起始部分存在一
个死区或门坎,称为
门限电压。
硅:UR = 0.5--0.6V;
② 锗:UR =0.1-- 0.2V。
UBR ① ②加反向电压时,反向
电流很小
③ UR 即Is硅(nA)<Is锗(A)
硅管比锗管稳定。
实测伏安特性 ③当反压增大UBR 时再
材料 门限电压 导通电压 Is/ μA 增加,反向电流激增,
硅 0.5~0.6V 0.7V <0.1 发生反向击穿, UBR称
锗 0.1~0.2V 0.3V 几十 为反向击穿电压。
36/66
温度对二极管特性的影响
温度升高,开启电压UR减小,反向电流IS增大。

iD
o
50
o 20
+ uD - 阴极
阳极 uD

iD

二极管符号 二极管的特性曲线
37/66
2.2.3 二极管的等效电阻
非线性电阻 用直流电阻 (也称静态电阻)和交流电阻
(又称动态电阻或微变电阻)来描述二极
管的电阻特性。
1.直流(静态)电阻RD的计算方法
UQ
定义 二极管两端的直流电压与电流之比 RD 
IQ
iD iD  IS (euD UT  1) iD
IQ uD D

UQ uD

硅管UQ≥0.7V, 锗管UQ≥0.3V。
38/66

直流电阻的求解方法:借助于静态工作点Q(IQ,UQ)来求。
方法一:解析法
列写二极管电流方程和电路方程: iD

iD  I S (e  1)
uD U T

 RL
uD  ED -iD  RL
 uD D
ED
解方程组,得到二极管静态工作电
流IQ和电压UQ,二极管直流电阻为
UQ
RD 
IQ
39/66 直流负载线与伏安
方法二:图解法 特性曲线的交点

由电路可列出方程: iD
ED  uD iD
iD  RL ED/RL
RL uD D
ED IQ Q
在二极管特性曲线上绘 uD
制直流负载线,其中两 UQ ED
个坐标点:
uD=0 iD=ED/RL
iD=0 uD=ED
UQ
由静态工作点Q点得IQ和UQ,从而求出直流电阻 RD 
IQ
40/66
2.交流电阻rD的计算方法 duD ΔuD
定义:rD  
diD I  IQ
ΔiD iD  I Q

ui RL iD  I S e uD UT
di D IQ
ED  gD  
D duD I  I
Q
UT

1 duD UT
iD
 rD   
gD duD I  IQ
IQ
室温(T=300K)下,UT=26mV。
Q  iD 交流电阻:rD=26mV/ IQ (mA)
uD uD 注意:交流电阻rD与其静态工作点Q有关。
说明:二极管正偏时, rD很小(几至几十欧姆)
二极管反偏时,rD很大(几十千至几兆欧姆)。
41/66
硅: UD≈0.7 V
结论: PN结具有单向导电性 锗: UD≈0.3 V

工程分析方法:
问题解答:电阻R的作用是 E  UD
回路方程:I D 
限制回路电流,避免二极管 R
电流过大而烧毁。
42/66
2.2.4 二极管的主要参数
1.最大整流电流IF:指二极管长期运行时,允许通过的
最大正向平均电流。
2.最高反向工作电压UBR:管子工作时所允许的最高反
向电压
3.反向电流IR :二极管未击穿时的反向电流,近似为IS。
4.最高工作频率 fM :二极管工作的上限频率。
43/66
2.2.5 二极管的模型
1.理想二极管模型 iD
阳 阴
u
极 + D- 极 uD
iD o
理想二极管 理想二极管特性
等效电路 曲线

特点:(1) 正偏时导通,压降为0V;
(2) 反偏时截止,反向电流为0。
应用:(1)电路简化定性分析;(2)大信号时电路的近似分析。
44/66
iD
2. 折线二极管模型 iD

uD uD
+ - Uon rrDd
uD
o Uon uA
iD

二极管等效电路 二极管伏安特性曲线
uD (Si管Uon ≈ 0.7V ,Ge管0.3V);
电阻rD 
iD
特点:(1) 正偏电压>Uon时,导通;
(2) 反偏电压<Uon时,截止。
应用:工程计算。 说明:若忽略小电阻rD ,则为恒
压降二极管模型。
45/66

3.二极管交流模型
当二极管在正偏情况下,若叠加的交流为低频小信号仍能
保持二极管正偏,若忽略二极管结电容和体电阻,其等效
模型就是一个交流电阻rD。
D rD
iD
Q U Q , I Q 
+ iL i D I D + iL
ui + ui +
- RL uL - RL uL
UQ
- uD -
o Uon
+5v
uD

(a)二极管应用电路 (b)二极管特性曲线 (c)交流等效电路


46/66
2.2.6 特殊二极管
1. 稳压二极管: 是应用在反向击穿区的特殊二极管。
iD

+ uD - 阴极
阳极 uD
UZ

-IZmin
DZ iD

稳压二极管管符号 反向击穿区
-IZmax

稳压特性: 稳压二极管的特性曲线
在反向击穿时,电流急剧增加而PN结两端的电压基本保持不变。
正向部分与普通二极管相同。
注意:稳压管工作区在反向击穿区。
iD
47/66
IZ

+ uD - 阴极
阳极 uD
UZ

-IZmin
DZ iD

稳压二极管管符号 反向击穿区
-IZmax

特性参数: 稳压二极管的特性曲线

1) 稳定电压UZ: 反向击穿电压。
2) 稳定电流Iz: 稳压时的参考电流,变化范围是( Izmin , Izmax )
3) 额定功率PZM:就是最大工作电流和稳定电压的乘积。
uD
4) 动态电阻rZ: 在稳压范围内,rZ  , 很小,一般十几
欧姆~几十欧姆。 iD
48/66
IR
稳压工作原理
uR -
+
+ +
稳压管使用方法:稳压二
R IDz IL
极管在稳压电路工作时应
ui uo 反接,并串入一只电阻。
DZ RL
-
- 稳压电路要求:输入电压ui
要求大于输出电压uo。
(a) 稳压管稳压电路
RL↓ → IL↑ →IR ↑ → uR ↑ → uo ↓→ IDz↓

IR ↓ → uR↓ → uo ↑
(b) 负载变化时的稳压过程
49/66 稳压工作原理
IR 电阻R的作用:
uR -
+ (1)当输入电压或负载电流
+ +
R IDz IL 变化时,通过该电阻上电
ui uo 压降的变化,取出误差信
DZ RL
- 号以调节稳压管的工作电
-
流,从而起到稳压作用。
(a) 稳压管稳压电路 (2)起限流作用,以保护稳
ui↑ → uo↑ →IDz ↑ → IR ↑ → uR ↑ 压管。

u o↓
(c) 输入电压变化时的稳压过程
50/66

2. 变容二极管:主要利用PN结的势垒电容CT随外电
压U的变化而变化的特点制成的二极管。

符号:

注意:变容二极管使用时应加反向电压。
51/66

3. 发光二极管:将电能转换成光能的特殊半导体元件。
普通发光二极管
1)类型 红外发光二极管 符号
……
直流驱动电路
2) 常用驱动电路:
交流驱动电路

3) 工作原理:利用PN结的电致发光原理。

注意:发光二极管在加正向电压时才发光。
52/66
4.光电二极管:有光照射时有电流产生的二极管。

RL IP
D D
ED

1)类型: PN型、PIN型、雪崩型
2)结构: 和普通的二极管基本相同
3)工作原理:PN结的光电效应。

注意:光电二极管通常在反压状态工作。
53/66
5.肖特基二极管:利用金属和半导体之间的接触势垒而制成
的元件。
SD
N 型
金属
半导体

结构 符号
肖特基二极管特点:
(1)具有与PN结相似的伏安特性。
(2)依靠一种载流子工作的器件
(3)串联电阻低。
(4)正向导通电压和反向击穿电压均比PN结低。
应用: 适用于高频高速电路。
54/66
附 录
•半导体二极管型号
国家标准对半导体器件型号的命名举例如下:
55/66

2.2.7 二极管应用
1. 整流电路(10章应用)
2. 稳压电路(10章应用)
3. LED显示器 (常用于输出指示)
4. 限幅电路 (幅度去噪等应用)
5. 钳位电路 (信号电平转换用等)
56/66
LED显示器 +5V
a
a
a b
b
f b c
c
g c d
e d
d e
e
f
f
控制端为高电平 g 控制端为低电平
对应二极管发光 g
对应二极管发光
共阴极电路 共阳极电路
57/66
限幅电路
工作原理:利用二极管单向导电性,限定输出信号的幅度。

ui
R VZ+VD uo
VD
ui uo
VZ t
58/66
钳位电路
工作原理: (1)当输入ui>0时,二极管瞬间导通,C快速充电,
Uc=V1,充电结束,R无电流,输出uo=0。
(2) 当输入ui<0时, 二极管截止,C充放电缓慢,
输出uo= -Uc+ui = -V1-V2。
Uc
+ -
ui
+V1
C

ui R D uo
t
-V2 uo

特点:钳位电路的作用是将周期信号波形的顶部或底部保持在
某一确定的直流电平上,信号波形形状不变。
59/66
小 结
① 晶体二极管实际上就是一个PN结,描述二极管的性能
常用二极管的伏安特性,可用二极管的电流方程来描
述,I=Is(e U/UT-1);
② 硅管导通后管压降UD≈0.7V,锗管导通后UD≈0.3V;
③ 晶体二极管用途有整流、稳压和限幅等。
④ 稳压管是工作区在反向击穿区。可以提供一个稳定的
电压,使用时注意加限流电阻。
⑤ 半导体光电器件分光敏器件和发光器件,可实现光—
电、电—光转换。光电二极管应在反压下工作,而发
光二极管应在正偏电压下工作。
60/66
重点难点
重点:晶体二极管的原理、伏安特性及电流方程。
难点:1.两种载流子
2.PN结的形成
3.单向导电性
4.载流子的运动
61/66
测试题
选择填空:
1.稳压二极管通常工作在( )状态下,能够稳定电压。
A正向导通 B反向截止 C反向击穿
答:C

2. PN结加反向偏置时,空间电荷区( )。

A.变宽 B.变窄,C.不变。 D.不确定


答:A
62/66
填空:
1. 在杂质半导体中,多数载流子的浓度主要取决于( A ),
少数载流子的浓度则与( B )有很大关系。
答:A是掺杂浓度,B是温度。
2. N型半导体又称为( A)半导体,其多数载流子是(B),
少数载流子是(C)。
答:A是电子,B是电子,C是空穴。
3. 二极管导通时,在电路中表现为(A)电阻。
A.小电阻
4. 整流二极管的主要特性是( A ),它的两个参数是(B)。
A.单向导电性 B. 反向击穿电压UBR和最大工作电流。
63/66 10V 15V
分析判断题: +
18k
25k 140k
判断图示电路 D
B A
中的二极管能
2k
否导通。 -
5k 10k

解题思路:判断二极管在电路中工作状态的方法是先假设二极
管断开,分别计算二极管两极的电压,然后比较阳极电压与阴
极间将承受的电压,如果该电压大于二极管的导通电压,则说
明二极管导通,否则截止。
如果判断过程中,电路出现两个以上的二极管承受大小
不等的正向电压,则应判定承受正向电压较大者优先导通,其
两端电压为导通电压,然后在用上述方法判断其他二极管的导
通状态。
64/66
10V 15V
+
25k 140k
18k
D
B A
2k 5k 10k
-

分析: 10
VA   15  1V
10  140
2 5
VB  10   15  1  2.5  3.5V
2  18 5  25
则 VA< VB, 二极管为截止状态。
65/66
简答题:与本征半导体相比,N型半导体中空穴多了?还是
少了?
解答:
在杂质型半导体中,多
+5
+4 +4 +4
子浓度与少子浓度两者
乘积保持不变。
+4 +4 +4
N型半导体自由电子是
多子,空穴是少子,与
+5+4 +4 +4
本证半导体相比,少子
空穴少了。
提示:N型半导体,自由电子是多子,空穴是少子。
66/66

第二章结束
二极管典型应用电路仿真
57/65
仿真软件简介
Multisim是行业标准SPICE仿真和电路设计软件,适用于
模拟、数字和电力电子领域的教学和研究。NI公司的提供的
试用MULTISIM教学版下载地址为:
https://www.ni.com/multisim/zhs/。

本单元对于前知识点电路介绍的二极管典型应用电路进
行仿真,在确认仿真电路无误的情况下,仿真可以用来验证
理论分析结果是否正确。
(1)半波整流电路仿真
(2)限幅电路仿真
(3)钳位电路仿真
57/65
整流电路

整流电路就是将双极性的交流电转换为单极性的脉动直
流电的电路。整流电路分全波整流和半波整流。
ui2
D

+ + + O t
ui1 ui2 RL uo
-
- - (b) 半波整流电路输入

uo
(a) 半波整流电路
0.45Ui2
O t
(c) 半波整流电路输出波形
半波整流
电路仿真
结果
57/65
限幅电路

工作原理:利用二极管单向导电性,限定输出信号的幅度。

uii
R
R
VVZZ+V
+VDD uoo
+ +
D
D11
uii uoo
+V
VZZ t
- - o

限幅电路 限幅电路输入、输出波形示意图
限幅电路
仿真结果
58/65
钳位电路

特点:钳位电路的作用是将周期信号波形的顶部或底部保持在
某一确定的直流电平上,信号波形形状不变。

Uc ui
+ -
+V1
C

ui R D uo
t
-V2 uo

钳位电路 钳位电路输入、输出波形示意图
钳位电路
仿真结果
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
三极管的分类及结构
1/131
课程主要内容
1. 绪论
2. 晶体二极管及应用电路
3. 晶体三极管及应用电路(8学时)
4. 场效应管及基本放大电路
5. 放大电路的频率响应
6. 负反馈放大电路
7. 双极型模拟集成电路
8. 双极型模拟集成电路的分析与应用
9. MOS模拟集成电路(自学)
10.直流稳压电源电路
2/131
主讲:刘颖

第三章 晶体三极管及基本放大电路
问题:
1. 晶体三极管具有怎样的特性?为何可
以放大信号?
2. 三极管基本放大电路有哪几种?
3. 如何分析实际常用的级联放大电路?
3/131

第三章 晶体三极管及基本放大电路

3.1 晶体三极管
3.2 晶体三极管基本放大电路
3.3 多级放大电路
4/131

3.1 晶体三极管

3.1.1 晶体三极管的结构及分类
3.1.2 晶体三极管的工作原理
3.1.3 三极管的特性曲线
3.1.4 温度对三极管参数的影响
3.1.5 三极管的参数
3.1 晶体三极管
3.1.1 晶体三极管的结构及分类
双极结型晶体管(Bipolor Junction Transistor BJT)

三极管
结构示

三极管
符号

BJT特点:有三个引出电极,所以双极结型晶体管BJT也称
为晶体三极管,也常简称为三极管或晶体管。
6/131

 晶体三极管的结构
发射区
从结构上看主要有两种类型: NPN型 PNP型 基区
集电区

发射区 基区 集电区 发射极E


发射极E 基极B
集电极C

发射结
集电结
基极B 集电极C
C-B间的PN结
成为集电结(Jc) 发射结箭头代
E-B间的PN结
称为发射结(Je) 表发射结正偏
电流的方向。
7/131
发射区
基区
集电区

发射极E
基极B
集电极C
C C 发射结
集电结
B B

E E
三极管结构特点:
1. 由三层半导体组成,有三个区、三个极、两个PN结。
2. 发射区掺杂浓度比集电区高得多。
3.基区掺杂低,且很薄。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
晶体三极管的工作原理
--三个区的作用
8/131
3.1.2 晶体三极管的工作原理
 晶体三极管的工作原理

说明:通常传感器获得的电信号都非常微弱,必须经过放大
才能进行下一步的处理,或有足够的能量来推动执行机构。

Ic
N P N
Ib C
Rb E
Rc C
Uo
+ B IE IC
Ui Rc
- E Ie Rb
EB B IB EC
EB VC

基本共射放大电路
9/131
3.1.2 晶体三极管的工作原理
 晶体三极管的工作原理
发射结加正向电压,即发射结正偏
三极管工作在放大区
时外部电压偏置条件 集电结加反向电压,即集电结反偏
N P N
E C
IE IC
Rc
Rb
EB B IB EC

小结:三极管在放大工作时一定要加上适当的直流偏置电压
才能起放大作用。
10/131
发射结: 发射结正偏,发射区向基区扩散自由电子形成发射极
电子电流IEn ;其中少数电子在基区复合,形成了基区复合电流
IBn,其余电子扩散到集电区;基区中的多子-空穴也向发射区扩
散,形成了空穴电流IEp 。

集电结:集电结反偏,发射区的大部分自由电子扩散到集电区,
在集电结电场的作用下,被集电极收集形成集电极电子电流ICn。
同时集电结反偏,集电区少子-空穴、基区少子-自由电子在电
场的作用下形成了集电结的反向饱和电流ICBO。

N P N
IE = IB+IC
IEn = IBn+ICn E IEn ICn C
IBn IC
IE
IE = IEn+IEp IEp ICBO
IC = ICn+ICBO
B IB
IB = IBn+IEp-ICBO
11/131

NPN管的工作原理(发射结加正偏,集电结反偏)

N P N
E IEn ICn C
IBn IC
IE
IEp ICBO Rc
Rb
EB B IB EC

发射区向基区提供载流子
三极管各区的作用 基区传送和控制载流子
集电区收集载流子
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
晶体三极管的工作原理
--三种连接方法
13/131
3.1.2 晶体三极管的工作原理
 晶体三极管的三种组态(即三种连接方法)
晶体三极管有三个电极,其中两个可以作为输入, 从
输入输出系统的角度来看,可以有三种连接方法,也称为
三种组态:
(1)共发射极接法,发射极作为公共电极,用CE表示;
(2)共集电极接法,集电极作为公共电极,用CC表示;
(3)共基极接法,基极作为公共电极,用CB表示。
14/131
1)共基CB组态直流放大系数 

定义:共基组态的直流放大系
I
数定义为   Cn
IE
IC  ICn  I CBO   I E  I CBO N P N

如果IC  ICBO  IC   I E e IEn ICn c


IE IBn IC
定性说明:发射区扩散出的载 IEp ICBO
流子绝大部分到达集电区,只 b IB
有一小部分在基区复合。

经验: 的数值一般在0.9 ~0.99之间。


IC
说明:近似分析时可认为CB组态直流放大系数  
IE
15/131  I Cn 
 复习:  
2)共射CE组态直流放大系数   I E 

I Cn
定义:共射组态的直流放大系数定义为  
I Bn  I Ep
N P N
e IEn ICn c
IBn
IE IC
IEp ICBO
b IB

ICn
I E  I En +I Ep =I Cn +I Bn +I Ep  
I E  ICn
 I Bn  I Ep  I E  I Cn  

1
经验: 的数值一般在几十到几百之间。
ICn ICn 
复习:  ,   N P N
IE I Bn  I Ep 1  
e IEn ICn c
IBn
 I C  I Cn  I CBO  αI E  ICBO IE IC
 IEp ICBO
 IE  IB  IC b IB
α I CBO
 IC  IB 
1 α 1
 IC   I B   1    ICBO 输入电流 输出电流
IC

 
当IB=0时,I C  1   I CBO  I CEO Rb
IB

ICEO称为穿透电流 IE Rc

若 IB>>ICBO, IC   I B EC>EB EB EC

IC
说明:工程近似分析时可认为CE组态直流放大系数  
IB
16/131
Rc EC
N P N
IC
e IEn ICn c
IE IBn
IEp ICBO
IC
EB Rb b IB c
EC>EB
ICBO N
b ICn
IC Rc
Rb IBn P
IB
Rb
IB IEp
Rc IEn N
IE
IE e
EC>EB EB EC
EB EC
常用的画图方式
17/131
I C   I B  1    I CBO
3)共集CC组态直流放大系数 1   1    I CBO  I CEO

 
I E  IC  IB    I B  1   ICBO   I B
 
  1
输入电流 IC
 
I E  1   I B  ICEO
IB
Rb

当I B  I CBO
Rc

 
IE
有I E  1   I B
EC>EB EB EC
说明:近似分析时可认为CC 输出电流
组态直流放大系数 I E  1  
IB
18/131  I Cn 
 复习:I C  I Cn  ICBO ,   
小结:  IE 

IE  IB  IC
I C  αI E  I CBO
I C    I B   1    I CBO
重要!
I CEO   1    I CBO

IC
当IB  ICBO,ICBO很小可忽略时
IB
IE  1    IB IC Rb
 
IB
I C  αI E  Rc
IC IE
α
IC    IB IE
EC>EB EB EC
19/131

共基交流电流放大系数
iC
ΔiC
  iB
ΔiE UCBC Rb
Uo
+
Ui
共射交流电流放大系数 - iE Rc

ΔiC EB EC
 
ΔiB UCEC

共射电路的交流电压放大倍数
ΔU o
AU 
ΔU i 说明:符号iC表示交直流信号。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
三极管的特性曲线
20/131
3.1.3 三极管的特性曲线
三极管的特性 指管子各电极的电压与电流的关系曲线。

共发射极接法三极管的特性曲线
Ib是输入电流,Ube是输入电压,加在B、E两电极之间。
Ic是输出电流,Uce是输出电压,从C、E两电极取出。
Ic

Ib
输入特性曲线:Ib=f (Ube) Uce=C Rb c
e Uo
输出特性曲线:Ic=f (Uce) Ib=C + b
Ui Ie Rc
-
EB EC
说明:符号Ube表示矢量信号。
21/131
 三极管输入特性曲线 IB=f(UBE) U CE =常数
1. UCE=0V时,发射极与集电极短路,
发射结与集电结均正偏,实际上是
两个二极管并联的正向特性曲线。

2. 当UCE ≥1V时,UCB= UCE - UBE >0,
集电结已进入反偏状态,开始收集 ①②
载流子,且基区复合减少, 特性曲
线将向右稍微移动一些, IC / IB 增
大。但UCE再增加时,曲线右移很不 输入特性曲线分三个区
明显。通常只画一条。
① 死区
IC ② 非线性区
IB ③ 线性区
Rb
Uo
+ IE 发射极正偏 时:
Rc
Ui-
NPN Si管: Ube= 0.6~0.7V
EB EC PNP Ge管: Ube= 0.2~0.3V
22/131 说明:符号UCE表示直流信号。

 三极管输出特性曲线 I C  f U CE  I B 常数

输出特性曲线可以分为三个区域:
饱和区: IB=
特点:发射结正偏,集电结正偏。
IC受UCE显著控制的区域,该区 IB =
域内UCE的数值较小,临界饱和
时 Uces≈0.3V (小功率管)。 IB=0

Rc EC
截止区:
特点:发射结反偏,集电结反偏。 N P N
IB=0 曲线的下方的区域 IC
E IEn ICn C
当IB=0 时,IC=ICEO IE IBn
NPN管,UBE< 0.7V(硅管)时管 IEp ICBO
子就处于截止态。 EB Rb B IB
23/131 说明:符号UCE表示直流信号。

 三极管输出特性曲线 I C  f U CE  I B 常数

Rc EC

N P N
IC IB =
E IEn ICn C
IE IBn
IB =
IEp ICBO
EB R b B IB IB=0

放大区: IC平行于UCE轴的区域,曲线近似平行等距。
特点:
(1) 发射结正偏,集电结反偏,电压UBE大于0.7V左右(硅管) 。
(2) IC=.IB,IC主要受IB的控制。
24/131

重要!
三极管的四种工作状态:
放大工作状态: 发射结正偏,集电结反偏
饱和工作状态: 发射结正偏,集电结正偏
截止工作状态:发射结反偏,集电结反偏
反向工作状态:发射结反偏,集电结正偏 Rc
VCC
发射结反偏判断方法: iC
Rb iB
UBE<0.7V(Si)
T1
UBE<0.3V(Ge)
VB iE

注意:只有在放大工作状态,电流增益才有效。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
温度对三极管参数的影响
25/131

3.1.4 温度对三极管参数的影响

发射结导通压降UBE ↓
温度↑ 电流放大倍数β ↑
集电极反向饱和电流ICBO ↑
集电极-发射极穿透电流ICEO ↑
重要!
26/131

计算公式(了解)

U BEO
 2.5mV / C
T

 1
   0.5%  1%  / C
 T

 T-T1  /10
I CBO (T C)  I CBO (T1 C)2
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
晶体三极管的参数
27/131 复习:I C   I E  I CBO
3.1.5 三极管的参数 I E   1    I B  I CEO
1. 直流参数
IC
共基直流电流放大系数  Rc
VCC
IE iC
Rb iB
IC T1
共射直流电流放大系数   VB iE
IB

集电结的反向饱和电流ICBO

ICBO的下标CB代表集电极和基极,
O是Open的字头,代表第三个电极
即发射极E开路。Ge管时 ICBO 单位
是A量级 Si管时是nA量级。
28/131 复习:IC   I E  ICBO , I E  1    I B  ICEO

集电极-射极间的穿透电流ICEO
ICEO=(1+  )ICBO
相当基极开路时,集电极和发射极
间的穿透电流。

2. 交流参数
ΔI c
共基交流电流放大系数   
ΔI e U cb  C

ΔI c
共射交流电流放大系数   
ΔI b U ce  C

特征频率fT  随着频率增加而下降, 为1时对应的频率为fT。


29/131
3. 极限参数
集电极最大允许电流ICM
当集电极电流增加时, 就要下降,当  值下降到线性
放大区  值的2/3时所对应的最大集电极电流。

当IC>ICM时,并不表示三极管会损坏。只是管子的
放大倍数降低。

集电极最大允许耗散功率(损耗功率)PCM

集电极电流通过集电结时所产生的功耗,PCM= iCuCB.
因发射结正偏,呈低阻,所以功耗主要集中在集电结上。
在计算时往往用uCE取代uCB,所以
PCM≈iC uCE。
30/131

PCM≈IC UCE

UCEO,B UCE/V

晶体三极管的安全工作区
31/131
反向击穿电压UCEO,B、UEBO,B、 UCBO,B

UCEO,B 表示基极B开路时,CE之 UCEO,B


间允许施加的最大反向电压。

UEBO,B 表示集电极C开路时,EB
之间允许施加的最大反向电压。
UEBO,B

UCBO,B 表示发射极E开路时,CB
之间允许施加的最大反向电压。

UCBO,B
击穿电压之间的关系:
UCBO,B>UCEO,B >UEBO,B
32/131

反向击穿电压UCES,B、 UCER,B
UCES,B
UCES,B 表示基极B短路(short)时,
CE之间允许施加的最大反向电压。

UCER,B 表示基极B加电阻时
(Resistance)时,CE之间允许施加的 UCER,B
最大反向电压。

击穿电压之间的关系:
UCBO,B ≈UCES,B > UCER,B >UCEO,B>UEBO,B
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
放大电路基本概念及性能指标
39/131

3.2 晶体三极管基本放大电路

3.2.1 放大电路的基本概念及性能指标
3.2.2 放大电路的分析方法
3.2.3 共集、共基放大电路
3.2.4. 共射、共集、共基放大电路性
能比较
40/131
3.2 晶体三极管基本放大电路
3.2.1 放大电路的基本概念及性能指标
一、 放大的基本概念

放大的对象:变化量
放大的特征:功率放大 判断放大电路
放大的基本要求:不失真
能否正常工作
的基本出发点
41/131

放大电路的功能:放大微弱信号,输出电压或电流在幅
度上得到了放大,输出信号的能量得到了加强。

放大的实质:被放大的输出信号的能量实际上是由直流
电源提供的,只是经过三极管的控制,使之转换成信号能
量,提供给负载。
42/131 复习:戴维南定理
二、放大器基本性能指标
余弦波信号

Uo 将输出端等
电压增益:AU  从放大器输入端看 效成有内阻
Ui 进去的等效电阻就 的电压源,
是输入电阻。 内阻就是输
Ui
输入电阻:Ri  出电阻。
Ii
Uo
输出电阻:Ro 
Io 说明:Uo符号表示输出电压矢量信号
43/131 20 lg Am

3dB

通频带定义:fbw= fH- fL
Am
20 log  20 log 0.707 Am
其中:Am为中频放大倍数; 2
fL为下限截止频率;  20 lg Am  3(dB)
fH为上限截止频率。

通频带意义:衡量放大电路对不同频率信号的放大能力。通
频带越宽,表明放大电路对不同频率信号的适应能力越强 。
44/131
A2 2 A3 2
非线性失真系数: D  ( ) ( ) ...
A1 A1
其中:D是非线性失真系数;
A1是基波幅值, A i是i次谐波幅值。

最大不失真输出电压:输入电压再增大就会使输出电压波
形失真。用UOM表示或UOPP。

最大输出功率:输出信号不失真情况下负载获得的最大功
率,用POm表示。
效率: PO

Pdc
其中Pdc表示直流电源输出总功率, Po为负载得到的功率。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
放大电路的基本组成
45/131

三、 基本放大电路的组成
1. 组成:以单管共射CE放大电路为例说明
VCC
Rc

+
EC
+ Uo
Rb Uo Ui
Ui
Eb -
-
共射放大电路

简化:1. 两个电源用一个,去掉Eb, Rb改接由VCC供电。


2. 公共端接地,设其电位为0,其他各点电位以它做
参考点。因此可不画VCC,只标出极性和大小。
46/131

基本放大电路组成如下:
–三 极 管T:核心元件,起放大作用。
–负载电阻RC 、RL:将变化的集电极电流转换为电压输出。
–偏置电路VCC 、Rb、 RC :使三极管有一个合适的静态工作点
(发射结正偏、集电结反偏),让三极管工作在线性放大区。
–耦合电容C1 、C2:起隔直作用;对交流起耦合的作用。
VCC

uo
ui

共射放大电路
47/131

典型共射组态放大电路组成
VCC VCC

RB2 RC
Rc
RB1 Co + C2
C1
Ci iB iC+ + + +
T1
Rs
+ RS RL
uBE uC RL uo RB1 + uo
+ + CE
us RE
us - - -
-
- -

放大电路1 放大电路2
48/131
2、放大电路的组成原则
原则1:有合适的直流通路,保证三极管有合适的直流偏置,
确保其处于放大工作状态。
问题:什么是直流通路?如何画直流通路?
能通过直流信号的通路就是直流通路,将电路中的耦合电容和
旁路电容开路、电感短路,即可得到。
VCC VCC

ICQ
IBQ
uo
ui UCEQ
UBEQ

Q是英文quiescent的字头
49/131
原则2:有合适的交流通路,信源(小信号)能输入到放大电
路输入端,放大后的输出信号能够输出给负载。
交流通路:能通过交流信号的通道。
交流通路的画法:
(1) 将放大电路中电容视作短路;
(2) 令直流电源值为0,若电压源电阻很小, 可视作短路。
VCC ic
ii ib

uo
uo ui
ui

原则3:晶体三极管须正向运用,即只能将发射结作为输入端。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
放大电路的分析方法
50/131
3.2.2 放大电路的分析方法
一、信号放大原理 iBE/μA ib
VCC IB1
Q ibm
IBQ t
Rc
RB1 Co IB2
Ci iB iC+ + UBEQ
T1 uBE/V
Rs
+
uBE uC RL uo ui
+
us - -
- uim
-

t
符号表示习惯 直流分量:大写字母、大写下标,如IB。
交流分量:小写字母、小写下标,如ib。
请记住! 交直流叠加量:小写字母、大写下标,如iB。
复数量:大写字母、小写下标,如Ui。
51/131 VCC
符号表示习惯 IB 。
直流分量: Rc
RB1 Co
i b。
交流分量: Ci iB iC+ +
T1
i B。
交直流叠加量: Rs
+
ui
+
uBE uC RL uo
+
复数量:
Ui。 us
-
- - - -

ui uBE=UBEQ+ui iB=IBQ+ib
UBEQ IBQ
t
O
t t
O O

与ui的相差1800 电流放大
电压放大
uo uCE iC =  iB
UCEQ ICQ
t

t t
O O

uo= -ic(RC//RL) uCE=UCEQ-ic(RC//RL) iC=ICQ+ic


52/131
小结:
 三极管各电极电流、电压均由交流、直流分量叠加而成。
 若放大的是交流信号,交流分量幅值应小于直流分量。
 CE组态放大电路输出与输入反相。
VCC uBE=UBEQ+ui
UBEQ
请记住! Rc t
RB1 Co O

Ci iB iC+ uCE
+
T1
+ + UCEQ
Rs uC RL uo
ui uBE
+ O
t
us - - -
- uCE=UCEQ-ic(RC//RL)
-
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
放大电路的静态分析
53/131
二、 静态分析 (也称直流分析)
静态: 是指ui=0时的工作状态,也称直流工作状态。
静态分析: 就是确定放大电路的静态值IBQ、ICQ、UCEQ,
即静态工作点Q。
VCC
静态分析方法:
方法一:解析法(直接计算法) RB1
Rc
Co
方法二:图解分析法
Ci iB iC+
方法三:计算机辅助分析(了解) T1
+
+ +
Rs uC RL uo
ui uBE
+
us - - -
-
-
54/131
1)解析法 (即计算法 )
条件:已知发射结压降UBEQ和CE电流增益 β
步骤:(1) 画直流通路;
VCC
(2)求静态值,求解顺序为:
IBQ→ICQ→UCEQ
IB IC VCC  U BEQ
分析:I BQ 
Rb
UCE
UBE I CQ  β I BQ

UCEQ=VCC-ICQRC
其中:Si管一般取UBEQ≈0.7V
Ge管一般取UBEQ≈0.3V
55/131 VCC
2) 图解法 (即作图的方法)
步骤①:画直流通路; IB IC
UCE
UBE

步骤②:由输入特性曲线和输入直流负载线交点求IBQ、UBEQ
IB  I B  f  U BE 
 → 输入特性曲线
- 1/Rb 
VCC/Rb U BE  VCC  I B Rb→ 输入直流负载线

IBQ Q
UBE
UBEQ VCC
56/131
步骤③:由输出特性曲线和输出直流负载线交点求ICQ、UCEQ

输出特性曲线 I C  f U CE  I
B  I BQ

输出直流负载线 UCE  VCC  I C RC

VCC

IB IC
UCE
UBE 说明:1)求直流负载线两点坐标作出直
流负载线, (VCC, 0 ), (0 ,VCC /Rc);
2)直流负载线和输出特性曲线有多个交
点,只有与IB=IBQ对应的那条曲线的交
点才是静态工作点。
57/131

小结:改变IBQ,即可改变静态工作点的位置,静态工作点的
位置将直接影响放大电路的放大质量。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
晶体三极管放大电路
交流分析内容及方法
58/131

三、 动态分析(也称为交流分析)
动态: 输入信号ui≠0时放大电路的工作状态,也称交流工
作状态。
动态分析主要内容: 确定放大电路的放大倍数AU 或AI、输
入电阻ri 和 输出电阻 ro 。
分析方法:
(1) 图解法;
(2) 等效电路法(解析法)。
(3) 计算机辅助分析法(不在课程中详细介绍)。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
晶体三极管放大电路交流分析
-图解法
59/131

1、图解法
图解法:通过作图的方法求AU、AI及放大电路的最大不失真
电压。

VCC ic
ii ib
uo
uo ui
ui

CE组态放大电路及其交流通路
60/131
1)交流负载线作图方法
ic
ii ib
uo
ui

定义:uCE与iC关系曲线就是放大电路的交流负载线。
uCE=UCEQ-ic(RC//RL)= (VCC-ICQRC )-(iC - ICQ) RL′
交流负载线特点:
1
(1)斜率为 
RL
(2)iC= ICQ时,uCE =(VCC-ICQRC )=UCEQ,经过静态工作点。
61/131 ic
交流负载线作图方法: ii ib
通过输出特性曲线上过 Q点
uo
做 一 条 斜 率 为 -1/RL´ 直 线 。 u
i
RL´= RL∥RC是交流负载电阻。

交流负载线特点:因为RL´<
RL ,所以比直流负载线要陡,
斜率为-1/ RL´ 。
交流负载线的含义:交流负载
线是有交流输入信号时,信号
工作点的运动轨迹。
uCE=UCEQ-ic(RC//RL)= (VCC-ICQRC )-(iC - ICQ) RL′
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
晶体三极管放大电路交流分析
-图解法分析步骤小结
62/131
2) 图解分析法步骤 步骤①: 求出静态工作点Q。
VCC VCC

IB IC

uo UCE
ui UBE
63/131
步骤②: 画交流通路,求交流负载电阻RL’ ,作交流负载线。

VCC Ic
Ii Ib
uo
uo ui
ui
64/131
步骤③: 在输入特性曲线上,以静态工作点Q为参考点,
根据输入ui的变化波形画出基极电流ib的变化波形及幅值ibm。
1
iBE/μA iC/mA 输出交流流负载线斜率 
RL '

IB1 ic
ib
Q IBQ icm
IB1 ICQ t
Q ibm IB2
IBQ t
IB2
uCE/V
O
UBEQ uBE/V UCEQ
uo
ui

uom2 uom uom1


uim

t
t

uCE饱和 uCE不失真 uCE截止


失真区 放大区 失真区
65/131
步骤④:在输出特性曲线上,根据ib 的变化波形画出ic的波
形和uce的波形、ic幅值icm和最大不失真电压峰值uom1和uom2 。
1
iBE/μA iC/mA 输出交流流负载线斜率 
RL '

IB1 ic
ib
Q IBQ icm
IB1 ICQ t
Q ibm IB2
IBQ t
IB2
uCE/V
O
UBEQ uBE/V UCEQ
uo
ui

uom2 uom uom1


uim

t
t

uCE饱和 uCE不失真 uCE截止


失真区 放大区 失真区
66/131步骤⑤ : (1)输出最大不失真电压 uom=min(uom1,uom2)
(2)计算增益 AU= uom/uim , AI=icm/iim
1
iBE/μA iC/mA 输出交流流负载线斜率 
RL '

IB1 ic
ib
Q IBQ icm iC1
IB1 ICQ t
Q ibm IB2
IBQ t iC2
IB2
uCE/V
O
UBEQ uBE/V UCEQ
uo
ui

uom2 uom uom1


uim

t
t

uCE饱和 uCE不失真 uCE截止


失真区 放大区 失真区
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
晶体三极管放大电路的失真
67/131
3)放大电路的失真
饱和失真 由于放大电路的工作点达到了三极管的饱和区
而引起的非线性失真。
iC/mA 饱和失真
iBE/μA IB1
ib
ic
IB1 Q1 IBQ
Q1
IBQ t ICQ t
IB2
IB2

uCE/V
O
UBEQ uBE/V UCEQ
uo

uom2 uom1
uim
t
t
68/131
由于放大电路的工作点达到了三极管的截止区
截止失真 而引起的非线性失真。

iC/mA
iBE/μA

IB21 ic
ib
IB21

Q2 ICQ2 Q2 IBQ2
IBQ2 t
t

O uCE/V
UBEQ2 uBE/V UCEQ
uo 截止失真

uom2 uom1

t
uim
t
69/131
饱和失真
波形的失真 截止失真 静态工作点位置合适但
信号过大,同时产生饱
双向失真 和和截止失真的现象。

经验:
放大电路要想获得大的不失真输出幅度,需要满足:
(1)工作点Q要设置在输出特性曲线放大区的中间部位;
(2)要有合适的交流负载线;
(3) 输入信号的幅度不能太大。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
晶体三极管放大电路的失真
70/131
2、等效电路法
1)直流等效电路模型
iB
ICQ IBQ ICQ
c
IBQ +
b + +
+ UCEQ βIBQ
e
UBEQ Uon
UBEQ T1 UCEQ
IEQ -
-
- -

O Uon uBE
说明:
1)当为硅管时,发射结的导通电压Uon取0.7伏锗管取0.3伏 。
2)静态分析时,由于模型简单,通常直接使用直流等效电路模型中的参数,而不必作
图。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
晶体三极管h参数模型导出过程
71/131

2)交流信号模型-低频小信号模型(h参数模型)
低频小信号模型建立条件:
(1)小信号。(小信号意味着三极管在线性放大区工作。)
(2)低频。(低频表示可以不考虑三极管结电容的影响。)

用途:三极管h参数模型是等效电路法分析低频小信号放大器性
能的重要工具。
h参数模型的别名:低频小信号模型、
微变信号模型、
混合(hybrid)参数模型
72/131 iC c
晶体三极管可看成是双端口网络:
b iB +
T1 输出特性曲线:
输入特性曲线: + uCE
uBE  f 1 ( iB , uCE ) uBE
e
iC  f 2 ( iB , uCE )
iE
- -

iB iC/mA

IB =
IB =
IB=0
uBE uCE/V
73/131
三极管低频小信号等效模型导出过程。
uBE  f1 (iB ,uCE )
iC  f 2 (iB ,uCE ) 取全微分:
f 1 ( iB , uCE ) f 1 ( i B , uCE ) uBE uBE
duBE  uCE di B  i B duCE  uCE di B  duCE
i B uCE i B uCE
iB

f 2 iB , uCE  f 2 iB , uCE  iC iC


diC  uCE diB  I B duCE  uCE diB  duCE
iB uCE iB uCE
IB

说明:duBE表示uBE的变化部分,这样可以用ΔuBE表示duBE,其
它变量同理。
uBE uBE
ube  u CE iB  iB uCE
iB uCE
iC iC
ΔiC  u CE ΔiB  iB uCE
iB uCE
74/131 uBE uBE iC c
ube  iB  uCE
iB uCE
uCE iB
b iB +
T1
i iC
iC  C iB  uCE + e uCE
iB uCE
uCE iB uBE iE
- -
其中:
ΔuBE
hie 
ΔiB
u
CE C
(常数)
称为输入电阻,即 rbe。
ΔuBE
hre  i C 称为反向电压传输系数。
ΔuCE B
ΔiC 也称电压反馈系数。
hfe 
称为电流放大系数,即。
u
ΔiB CE C
ΔiC
hoe 
ΔuCE
iB  C
称为输出电导,即1/rce。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
晶体三极管低频小信号模型
75/131
ΔuBE  hie ΔiB  hre ΔuCE
ΔiC  hfe ΔiB  hoe ΔuCE

iC c iB ic
b
b iB + + h+feiB +
T1 hhieie
+ e uCE uBE +
hhoe
oe uCE
-
uBE
-
iE
-
h-reuCE -
-

e e

晶体三极管h参数模型
(或低频小信号模型,或微变等效电路模型)
76/131
微变量的累计就是瞬时变量。为了描述方便,常用交流
信号(如ube)符号表示信号的微变符号(Δ uBE )。
ube  hie ib  hre uce
ic  hfe ib  hoe uce

iC c

b iB + ib ic
T1 ib bhie i+c c
+ +
c +
+ e uCE hfeib
uBE b ube hie +
iE + hoe uce
- - ube hreuce +
- 1/hoe uce
hreuce hfeib
- - -
-
- e e e-

晶体三级管h参数模型
77/131
h参数模型的简化
简化条件:
1) 1/hoe(=rce)>> RL, hoe可以忽略; 重要!
2) 反向传输系数很小,hre可以忽略。

ib ic ib ic = hfeib
c b c
b + + + +
hfeib hie +
hie +
ube uce
ube +
1/hoe uce
- -
hreuce - - -
- -
e e e
e

h参数模型 h参数简化模型
78/131  Uube  ube
di I
ube
i dube U 26(mV)
发射结:iE  IS  e T  1   ISe UT , E  S e UT  E ,re   T 
  dube U T UT diE I EQ I EQ (mA)
 
输入电阻hie 的估算
晶体三级管内部简化模型中符号含义: c
rbb' —基区体电阻
re —发射结正向电阻 rc
rbb'
rc —集电结反向电阻 b b'
26(mV) re
re 
I EQ (mA) e
IEQ: 静态工作点电流
rbb': 低频时数百欧,典型值300,高频时几欧~几十欧。

ube 26
hie   rbb  (1  hfe )re  rbb  (1  hfe )
ib I EQ
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
晶体三极管放大电路交流分析
-等效电路法
79/131
3)等效电路分析方法
CE组态放大器的主要性能指标, ri ,ro , AU ,AI 的分析步骤:
①首先画出放大电路的交流通路;
②将交流通路中的晶体管用h参数等效电路代替;
③标出等效电路中的电压电流参考方向,进行动态分析。

VCC ic
ii ib
uo
uo us
us
80/131
ic ic
ii ib ii ib
uo hfeib
uo
us ui hie
us
ri' ri

ib ic
b + +
c (1) 输入阻抗计算
hie +
ube hfeib uce
- ri=hie
- -

e
ri'=Rb//hie
e
81/131 ib +
ic hfeib
+
Rs hie Rc RL uo
ui Rb
(2) 输出阻抗计算 us -
-

ri  ri ro ro
步骤:
①将输入信号源电压us短路,即 us =0
②将负载开路即RL′ =∞,并令输出端电压为uo;
③在uo激励下,产生电流io, 输出阻抗ro ′ = uo/ io,
ib +
输出阻抗为: ic hfeib io
Rs
uo hie Rc uo
ro   Rb

io -
ro'=Rc ro ro
82/131 ib +
ic hfeib
+
Rs hie Rc RL uo
(3) 电流增益AI ui Rb
ic us -
AI  us -
= h
ib fe ri  ri ro ro
(4) 电压增益AU
-icRL'  hfe RL' 26
AU 
uo 复习:hie  300  (1  hfe )
=  I EQ
ui ibhie hie
考虑信号源内阻RS的影响时,电压增益为AUs
uo ui uo ri ' hfe RL '
AUs   
us us ui Rs  ri ' hie
增加放大增益有效的方法:增大hfe, 适量增加IEQ, 增大RL′。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
CE组态放大电路分析案例
—直流分析
83/131
例题:分析下图放大电路特性(增益、输入电阻、输出电阻)。
VCC 直流通路分析:
Rb1、Rb2和Re构成偏置电路。
IBQ
B
UB Rb1、Rb2组成分压器,提供
UE uo
ui 一个固定的基极电位UB。
Re IEQ
Re发射极电阻,提供电流负
VCC 反馈。(反馈单元详细介绍)

IBQ 利用戴维南定理
Rb2
UE EB  VCC
Rb1  Rb2
Re IEQ
RB  Rb1 // Rb2
Rb2
84/131
复习:E  V
B
Rb1  Rb2 CC

VCC VCC

IBQ UB IBQ
UE UE u0
Re IEQ Re IEQ

EB  I B Rb1 // Rb2   1    I B Re  U BE
 I B  Rb1 // Rb2   1    Re   U BE

当满足 ( 1+β ) Re>> Rb1//Rb2 时,


EB  I B  1    Re  UBE  UB
85/131
解:1) 静态分析 工作点稳定
VCC
当满足 Rb1//Rb2<<(1+β)Re 时,
Rb2
UB  VCC
Rb1  Rb2 UE
Re IEQ
U E U B  U BEQ
I EQ  
Re Re
I EQ
I BQ  VCC
1 β
IBQ
I CQ  βI BQ UB
UE
U CEQ  VCC  I CQ Rc  I EQ Re IEQ
 VCC  I CQ  Rc  Re 
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
CE组态放大电路分析案例
—交流分析
86/131
2)动态分析
VCC

ui uo
UE Re
ui u0
Re IEQ

ui
hie hfeib
uo
Re
r i' ri ro ro '
经验:用电流表述电压,然后找出各支路电流之间的关系!
87/131

电压增益
hie
-hfeib(Rc//RL) hfeib
uo ui uo
AU  =
ui ibhie+(1+hfe)ibRe Re
r i' ri ro ro '
-hfeRL'
AU = RL'=Rc//RL
hie+(1+hfe) Re

问题: Re的引入使电压增益大幅度降低。Re的作用是
什么?
第六章反馈放大电路将介绍其作用。
88/131

输入电阻
hie
hfeib
ui ui uo
ri  Re
ib r i' ri ro ro '
ibhie+(1+hfe)ibRe
=
ib
输出电阻
=hie+(1+hfe) Re
ro=∞
ri'= Rb1//Rb2//ri ro'=Rc
= Rb1//Rb2//[hie+(1+hfe) Re]
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
晶体三极管放大电路交流分析
-分析步骤小结
89/131
Si管: UBEQ=0.7V
小结:等效电路法分析步骤。
Ge管:UBEQ=0.3V
1. 静态分析
解析法 静态值
画出电路的直流通路→ IBQICQUBEQUCEQ
图解法
2. 动态分析
图解法:适合于大信号的分析
画出电路的交流通路→
等效电路法:适合于小信号的分析

其中等效电路法步骤: 三极管用交流等效电路代替

AU ri ro ri' ro'← hie← 放大电路的交流等效电路


制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
CC组态放大电路分析案例
—静态分析
90/131
3.2.3 共集(CC)、共基(CB)放大电路
例题:分析下图CC组态放大电路性能。
解:1.静态分析
VCC VCC

ui
us uo
直流通路
VCC  U BEQ  I BQ Rb  (1  β )I BQ Re
VCC  U BEQ
 I BQ 
Rb  (1  β )Re
I CQ  β I BQ U CEQ  VCC  (1  β )I BQ Re
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
CC组态放大电路分析案例
—动态分析
91/131
2.动态分析
共集(CC)放大电路交流通路
hie

hie hfeib
低频小信号等效电路 ie
92/131

共集(CC)放大电路交流通路 低频小信号等效电路

c hie hfeib
b
e ie

uo (1+hfe)ibRL'
电压增益AU AU  = RL'=Re//RL
ui ibhie+(1+hfe)ibRL'
(1+hfe) RL' AU≈1
通常(1+hfe)RL'>>hie = <1
hie+(1+hfe) RL'
uo≈ui,输出跟随输入变化,所以CC放大电路又称射极跟随器。
93/131 ie
电流增益AI AI   1 
ib 共集(CC)放大电路
(1+hfe) (Re//RL)>>hie
输入电阻
hie
ui
ri   hie  (1 hfe )Re // RL  × io
ib uo
ri'= Rb//ri
r i' ri ro
共集电路的输入电阻比共射的高。
输出电阻 io
uo -ib[Rs//Rb + hie]
ro  = hfeib uo
io -(ib+ hfeib)
Rs//Rb + hie
= 1+hfe
ro
94/131
共集(CC)放大电路
输入电阻
ui
ri   hie  (1 hfe )Re // RL  hie
ib
ri'= Rb//ri
共集电路的输入电阻比共射的高。 r i' ri ro '
ro '
输出电阻 ro
uo -ib[Rs//Rb + hie]
ro  =
io -(ib+ hfeib) hie
io
Rs//Rb + hie ×
=
1+hfe uo
r'o= Re//ro ro
共集电路具有很低的输出电阻
95/131
共集(CC)放大电路
电流增益AI
hie
ie
AI   1  hfe
ib

共集(CC)放大电路特点 共集(CC)放大电路应用:
(1)输入阻抗高 (1)带负载能力强,适
合做放大电路的 输入级
(2)输出阻抗低 和输出级。
(3)电压增益近似为1 (2)做两个电路的中间
(4)电流增益大 级做缓冲电路。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
CB组态放大电路分析案例
96/131

例题:分析下图CB组态放大电路性能。
解答:1.静态分析
VCC VCC

ui uo

共基(CB)放大电路 直流通路
97/131
解答:1.静态分析
当满足 Rb1//Rb2<<(1+β)Re 时,
Rb2
UB  VCC
Rb1  Rb2
U E U B  U BEQ
I EQ  
Re Re
I EQ VCC
I BQ 
1 β
IBQ
I CQ  βI BQ UB
UE
U CEQ  VCC  I CQ Rc  I EQ Re IEQ

 VCC  I CQ  Rc  Re 
98/131
2.动态分析
VCC
共基(CB)放大电路

ui uo

低频等效电路
ie E hfe ib C
+ +
ib
ui Re RC RL uo
hie
- -

B
ri  ro 
ro
ri
99/131
2.动态分析
共基(CB)放大电路 uo  hfe ib  RC // RL 
AU  
ui  ib hie
hfe  RC // RL 
ui uo 
hie
ic hfe
AI   1
低频等效电路 ie 1  hfe
ie E hfe ib C
 ui  ib hie
ri  
+ ii
+ ii  ie
ib
RL hie
, ri  ri // Re
ui Re RC
hie uo

1  hfe
- -

B ro′= ∞
ri  ro 
ro
ri
ro = ro′//RC= RC
100/131
共基(CB)放大电路特点:

(1) 共基电路输入电阻较小,输出电阻较大,电流增益近似
等于1,电压增益与共射电路相同。

(2) 直流工作点的温度稳定性好。

(3) 共基电路可工作在较高的频率。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
CE、CC、CB放大电路性能比较
101/131
3.2.4. CE、CC、CB放大电路性能比较
类别 共射放大电路 共集放大电路 共基放大电路
CE CC CB
电压增益AU 较大 小(1) 较大
Uo与Ui的相 反相 同相 同相
位关系 (相差180o)
最大电流增 较大 较大 小(1)
益AI
输入电阻Ri 中等 高阻 低阻
(Ri’)
输出电阻Ro 中等 低阻 高阻
(Ro’)
频响特性 较差 较好 好

用途 多级放大电路 输入级、中间缓冲 高频或宽带放


大电路及恒流
的中间级 级、输出级 源电路
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
多级放大电路耦合方式
102/131
3.3 多级放大电路
一、 问题的提出

实用放大电路一般要求:
输入电阻大----使其减小放大电路从信号源索取的电流;
输出电阻小----使其具有足够强的带负载能力;
电压增益大----使其具有足够的电压放大能力;
电流增益大----使其具有足够大的负载驱动能力。
问题:哪一种单管放大电路难以满足放大电路的设计要求?
解决办法: 多次(级)放大。
103/131
二、 多级放大电路的耦合方式
耦合概念:多级放大电路的连接过程中,前一级输出与
下一级输入的连接方式称为耦合方式。
放大电路的级间耦合应满足条件:
(1)保证信号的传输;
(2)保证各级的静态工作点正确。
阻容耦合
变压器耦合
耦合方式
直接耦合
光电耦合
104/131

1. 阻容耦合 VCC
概念:级间采用电容耦合。
电容的作用:
传送交流信号;阻隔直流。
uo
与下级输入电阻构成阻容耦 ui
合。
特点:

优点:结构简单,各级放大电路工作点互不影响,放大效果
好;。
缺点:不能传送变化缓慢的信号或直流信号。不适宜集成化。
应用:分立元件组成的放大电路。
105/131
2. 变压器耦合
概念:两级间利用变压器来传送信号的耦合方式称变压器耦合。
优点:(1)变压器耦合可隔除直流,传递一定频率的交流信
号,因此各级的静态工多点 Q 互相独立,便于调试。
(2)可以实现输出级与负载的阻抗变换,以获得有效
的功率传输。
不足:低频特性差,笨重、体积大,不能集成化。
应用:特大功率放大或高频功放。 +V cc

T1 T2
+
RB2 RL uo
RB1
-
Ci VT2
VT1
Rs
CB1 RB1 RE2 CE1
+ RE1
us
-

变压器耦合放大电路
107/131
3. 光电耦合
概念:两级间利用光电耦合器来传送信号的耦合方式称光电耦合。
组成:采用发光二极管和光敏二极管构成.
工作原理:
输入电压Ui使D1发光;光使D2产生电流,输出Uo。
Io
工作过程:电光电 Ii

+
RL Uo
Ui D1 D2 RL
-

E1 E2
特点:抗干扰性强。 光电耦合电路
(输入输出不共地, 解决地线干扰)
108/131
+VCC2
+VCC1
T2 iC2 RC2

+
RB1 iC1
uO
-
Ci 光电耦合器 GND2
T1
Rs
+ RE1
us
GND1
-

光电耦合放大电路
109/131
4. 直接耦合
概念:各级电路之间直接连接或采用对直流呈导通特性的电
阻、二极管等元件相接。
优点:具有良好的低频特性,可放大变化缓慢的信号,无耦
合大电容,便于集成。
不足:直流工作点相互影响,零点漂移现象严重。
+V CC
应用:集成电路采用。 R R B2 C2
RC1 Co
RB1
T2
Ci +
T1 NPN管
NPN管
Rs RL uo
RE2
+
us -

直接耦合放大电路
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
直接耦合的零漂和电位匹配问题
110/131
直接耦合需要注意的两个问题:
1)零点漂移问题
零点漂移现象: 在直接耦合的放大电路中,输入为零,而
输出电压却缓慢变化的现象,称为零点漂移现象。
零点漂移产生的原因:电源电压不稳定、器件老化、温度
变化。对于电源电压稳定、器件经过老化处理的电路,温度变
化所引起的半导体器件参数的变化是产生零点漂移现象的主要
原因,因此零点漂移也称为温度漂移,简称温漂。
直接耦合的零点漂移:逐级被放大,初级的零点漂移对输
出影响最大,因为其增益最大。
抑制零点漂移的方法:
(1)温度补偿;(2)采用差分放大电路;(3)引入直流负反馈.
111/131
直接耦合需要注意的两个问题:

2)级间直流电位匹配问题
在三极管放大情况下, VB1  VC1  VB2  VC2  ......
集电极电压逐级增高,减小了信号的动态范围。
+VCC
RB2 RC2
RC1 Co
RB1
T2
Ci +
T1 NPN管
NPN管
Rs RL uo
RE2
+
us -

直接耦合放大电路
+VCC
112/131
RB2 RC2
RC1 Co
RB1
T2
Ci +
T1 NPN管
NPN管
Rs RL uo
RE2
+
us -

-
如何解决?

解决办法: NPN和PNP
放大电路交替使用。
VB1  VC1  VB2
VB2  VC2
......
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
多级放大电路的分析方法
113/131
三、 多级放大电路的分析方法
+ + + + + +
输入级 uo1 ui2
中间级 uo2 uiN
输出级 uoN =uo
ui= ui1
-
A1 - -
A2 - -
AN -

(1)电压增益
中频特性
(2)输入电阻
主要指标
(3)输出电阻
方法一:直接等效电路法,适合简单的级联放大电路分析
方法二:分别计算单级放大电路增益Ai,则多级放大电路放
N
u
放大倍数的计算 A= o
  Ai
ui i=1

其中:Ai是第i级放大电路的放大倍数。
114/131
•问题:
计算每级放大电路电压增益时如何考虑前后级电路的影响?

两级级联放大电路

放大电路II的输入电阻Ri2是放大电路I的负载电阻。
放大电路I的输出可看作是放大电路II的信号源,信
号源内阻就是放大电路I的输出电阻RO1。

注意:输入电阻和输出电阻都会直接或间接地影响放大电路
的放大能力。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
多级放大电路分析案例
115/131
例题1:两级放大电路参数如图所示。
已知三极管的参数为:hfe1= hfe2 =hfe=100; UBE1=UBE2=0.7V。
要求(1)计算静态工作点(2)计算电压增益
116/131 判断: hfe=100,满足 (1+β)Re>> Rb1//Rb2
解:
(1)求静态工作点
UB1= Ec ·Rb2 / (Rb1+Rb2)
IEQ1=(UB1 -UBE1)/ Re1 B1 B2

ICQ1 IEQ1 = 0.93mA


IBQ= ICQ1 / hfe =9.3A

U C1  U B2  Ec  I CQ1 Rc1  12  0.93  5.1  7.26V

UCEQ1=Ec-ICQ1Rc1-IE1Re1 Ec -ICQ1(Rc1+Re1)=4.7V
117/131
U E2  U B2  U BE2  7.26  0.7  7.96V
I EQ2  I CQ2  ( EC  U E2 ) / Re2  (12  7.96) / 3.9  4.04 / 3.9  1.04 mA
I CQ2 1.04 mA
I BQ2    10.4μA
hfe 100
UC2  ICQ2 Rc2  1.04  4.3=4.47V,
UCEQ2  UC2  UE2 =4.47-7.96=-3.45V
118/131

(2)求电压增益
三极管的输入电阻:
26(mV) 26
hie1 =rbb'  (1  hfe )  300  101   3.1k
I EQ1 (mA) 0.93
26(mV) 26
hie2 =rbb'  (1  hfe )  300  101   2.8k
I EQ2 (mA) 1.04
119/131
方法一
(用输入电阻法求电压增益)

低频小信号等效电路
B1 C1 B2 C2

+ ib1 +
hfe1ib1 ib2 hfe2ib2 +
Rb1//Rb2
RS
ui uo1
hie2 RC2 RL uo
+ hie1
us RC1
-
- - -
E1 E2
Ri1 Ri2 Ro

输入信号源 第一级放大电路 第二级放大电路 负载


120/131 B1 C1 B2 C2

+ ib1 +
hfe1ib1 ib2 hfe2ib2 +
Rb1//Rb2
RS
ui uo1
hie2 RC2 RL uo
+ hie1
us RC1
-
- - -
E1 E2
Ri1 Ri2 Ro

输入信号源 第一级放大电路 第二级放大电路 负载

第一级电压增益: 第二级电压增益:
uo1 hfe1 ( RC1 // Ri2 ) uo h ( R // RL )
AU1 = = AU2 = =  fe2 C2
ui hie1 uo1 hie2
100  ( 5.1 // 2.8 ) 100  4.3
  58.3   153.6
3.1 2.8
式中 Ri2  hie2
总电压增益:A U  A U 1 A U 2  8955
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
第三章 小结
《晶体三极管及应用》
125/131
第三章小结
一、双极结型晶体管(BJT)特性
晶体三极管是电流控制元件,通过控制基极电流或射极电
流可以控制集电极电流。
 要使三极管正常工作并有放大作用,管子的发射结必须
正向偏置,集电结必须反向偏置。
 三极管的特性可用输入和输出特性曲线来表示,也可用
特性参数来表示。主要的特性参数有:电流放大系数、,
极间电流ICBO、ICEO,极限参数ICM、PCM和BUCEO。
 由于 、、ICBO、ICEO等受温度影响较大,为了稳定,
选管子时ICBO、ICEO要小, 也不要过大。
126/131
第三章小结
二、放大的概念
•放大的对象:在电子电路中,放大的对象是变化量,常用的
测试信号是正弦波。
•放大的本质:是在输入信号的作用下,通过有源元件(晶体管
或场效应管)对直流电源的能量进行控制和转换,使负载从电
源中获得的输出信号能量,比信号源向放大电路提供的能量大
得多。
•放大的特征:是功率放大,表现为输出电压大于输入电压,
输出电流大于输入电流,或者二者兼而有之。
•放大的前提:是不失真。
127/131
第三章小结(续)
三、放大电路的组成原则
1.放大电路的核心元件:是有源元件,即晶体管
2.直流电源电压:数值、极性的设置要正确。
3.电路参数:应保证晶体管工作在放大区即建立起合适的静
态工作点,保证电路不失真。
4.输入信号:应能够有效地作用于有源元件的输入回路,输
出信号能够作用于负载之上。
128/131
四、放大电路的主要性能指标
1.增益A:输出变化量幅值与输入变化量幅值之比,或二者的
正弦交流值之比,用以衡量电路的放大能力。
2.输入电阻ri:从输入端看进去的等效电阻,反映放大电路从
信号源索取电流的大小。
3.输出电阻r。:从输出端看进去的等效输出信号源的内阻,说
明放大电路的带负载能力。
4.最大不失输出电压Um:未产生截止失真和饱和失真时,最大
输出信号的正弦有效值(或峰值)。
5.下限、上限截止频率fL和fH、通频带BW:均为频率响应参数,
反映电路对信号频率的适应能力。
6.最大输出功率Pm和效率:及衡量在输出波形基本不失真情况
下负载能够从电路获得的最大功率,以及电源为此应提供的功
率。
129/131
第三章小结(续)
五、放大电路的分析方法
1.静态分析:就是求解静态工作点Q,在输入信号为零时,
晶体管(和场效应管)各电极间的电流与电压就是Q点。可
用解析法或图解法求解。
2.动态分析:就是求解各动态参数和分析输出波形。通常,利
用h参数等效电路计算小信号作用时的Au、Ri和Ro,利用图
解法分析UOm和失真情况。
•放大电路的分析应遵循“先静态、后动态” 的原则:
只有静态工作点合适,动态分析才有意义;Q点不但影响电路
输出是否失真,而且与动态参数密切相关。
130/131
第三章小结(续)
六、晶体管放大电路
•晶体管基本放大电路有共射、共集、共基三种接法。
1.共射放大电路:即有电流放大作用又有电压放大作用,输
入电阻居三种电路之中,输出电阻较大,适用于一般放大。
2.共集放大电路:只放大电流不放大电压,因输入电阻高而
常做为多级放大电路的输入级,因输出电阻低而常做为多级
放大电路的输出级,因电压增益接近1而用于信号的跟随。
3.共基电路:只放大电压不放大电流,输入电阻小,高频特性
好,适用于宽频带放大电路。
4.级联放大电路:可根据指标要求,使级联后的放大电路满
足指标要求。
131/131
第三章小结(续)
学完本章希望能够达到以下要求:
(1)双极结型晶体管(BJT)特性及相关参数。
(2)掌握以下基本概念和定义:放大、静态工作点、饱和失真与
截止失真、直流通路与交流通路、直流负载线与交流负载线、h
参数等效模型、放大倍数、输入电阻和输出电阻、最大不失真
输出电压、静态工作点的稳定。
(3)掌握组成放大电路的原则和各种放大电路的工作原理及特
点, 能够根据具体要求选择电路的类型。
(4)掌握放大电路的分析方法,能够正确估算基本放大电路的静,
态工作点和动态参数AU、ri和ro ,正确分析电路的输出波形和
产生截止失真、饱和失真的原因。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
第三章小结
《晶体三极管及应用电路》

主讲:侯建军
模拟电子技术基础

主讲人:侯建军教授
北京交通大学电子信息工程学院
求静态工作点概念要清楚、系统性要强。
如静态工作点电路分析中求Ib,不仅分析
而是研究。即强调典型电路,又扩展到射级偏
置电路。即考虑Rb,又考虑RE等整体。既有底
蕴,又有延展。I (
( CEECRUb2 )
be  U ) / R / (1   )
I bb 
Rb1R Rb2
be E
b
Ec
EC

IBQ
UB
uo UE
ui uo
ui Re IEQ
电 路 如 图 所 示 。 设 hfe1=hfe2=hfe3=100 , hie1=hie2=5k ,
hie3=1.5k。 求静态时,若要求Uo=0,哪些参数影响
Ib1=? 电 路 如 图 所 示 。 设 hfe1=hfe2=hfe3=100 , hie1=hie2=5k ,
解: hie3=1.5k。求静态时,若要求Uo=0,试估算Ib1=?
15RE3
I b1  [U CC  (U CC   0.7)] / RC1 / hfe1
RC3
UCC(+15V)
RC1 RC2 RE3
10k 10k 2.1k
体现:底蕴和延展;
RB1 T3
多相思维思想; +
3k
T1 T2
u
Uo o
上升到数学模型。 u Uii RB2
3k
- RC3
I0 7.5k

-UEE(-15V)
EC EC
Ic
Ii
hfeIi
uo
uo U
ui us i
hie

 hfe RL' 26
AU 
uo
 hie  300  (1  hfe )
ui hie I EQ
增大电压放大倍数可采取的措施: 研究数学模型(数学等式),理解数学模型的变量(元器件)
增大hfe,要换管,不可取。 物理意义,达到深入理解电路的基本原理。
增大RC,适量,否则影响UCE。

从数学模型转变到物理模型,并解释静态与动态的关系,不是
增大RL,不行,一般的情况下负载RL小。
顾此失彼的研究问题。
减小Rb 方法较好,但会影响静态工作点Ib。
1
差模电压增益AUd : AUd  g m RD  10
2
差模输入电阻Rid :  RG1  1MΩ 电阻R1为什
Rid电容C的
作用?
差模输出电阻Rod: Rod  RD2  10k 么引入?

1.乍一看复杂,仔细分析, UCC(+10V)
RD1 RD2
清晰。很快掌握。 10k 10k C

2. 简单差分电路,只不过 T2 10F
+
Uo
T1 u- o
是个电流源替代耦合电阻 +
R1
RG1 RG2 17k
RE。 uUii 1M 1M

3. 单端输入、单端输出情
况,可看作双端输入、单 T3 T4
端输出。
R3 R2
4. 增益为什么是正值?双 2k 2.4k

端输入电阻为什么不加倍? -UEE(-10V)
结束语: 本文引用了许多学者研究积累的一些素材,难以一
一注明出处,特此说明并表示感谢!
侯建军
2017.5.18
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
晶体三极管基本放大电路实验
一、实验要求

基本组态晶体三极管放大电路在正常放大信号时,其
静态工作点应处于放大区的中间位置。静态工作点选取得
过高或过低、输入信号过大,都会使输出信号产生失真。
本实验通过对晶体三极管基本放大电路静态工作点进
行调试,观测放大电路饱和失真、截至失真和正常放大时
的输入、输出波形。
测试电路如下图所示,这是一个CE组态基本放大电路
,正确连接电路,检查无误后接通电源,测量并调试放大器
的静态工作点,研究偏置电路电阻RP的变化对静态工作点
的影响。
RP Vcc(+12V)
500kΩ
2kΩ
10μF
+
30kΩ
10μF
+
9013
+

RL
ui 30kΩ
+
700Ω
100μF
-

图 3.4.1 共射极放大电路
共射组态放大电路
二、电路仿真测试
在进行实际电路测试之前,先利用EDA仿真软件进行仿
真测试。使用Multisim仿真软件对电路静态工作点调整过程中
由于静态工作点不合适造成的输出失真现象进行观测。
1.仿真电路图绘制
利用 Multisim软件画仿真电路图如下图所示。
2. 电路仿真调试与测试

在放大电路输入端加入uipp=20 mV的低频小信号,使用示
波器观测交流输出信号随偏置电路电阻RP的调节所产生的变
化情况,同时可使用万用表或示波器测量对应状态时的静态
工作电压。观测电路中参数变化时静态工作点的变化过程如
下。
(1)调节电路中电阻RP,使RP的阻值逐渐增大,这样晶体
三极管基极直流电流逐渐减小,造成放大电路的静态工作点
逐渐下移,当静态直流信号叠加交流信号构成的交直流信号
进入截止区时,输出波形将产生截止失真现象,仿真输出波
形如下图所示。
产生截止失真现
象的输出波形

输入信号
(2)调节电路中偏置电阻RP,使RP的阻值逐渐减小,使放
大电路的静态工作点逐渐向放大区的中间移动,连接在输出
端的模拟示波器可观测到输出波形的幅度随着参数的调整逐
渐增大,当调节到最佳位置时输出可达到最大不失真状态,
仿真输出波形如下。
输出波形

输入信号
(3)调节电阻RP,使RP的阻值继续减小,使放大电路的静
态工作点继续向上移动,当静态直流信号叠加交流信号构成
的交直流信号进入饱和区时,输出波形产生饱和失真现象,
仿真输出波形如下图所示。

产生饱和失真现
象的输出波形

输入信号
三、电路实测
购买电子元器件,在北京交通大学国家电工电子实验教
学示范中心定制的“口袋实验室”提供的面包板上搭接图CE
组态基本放大电路,如下图所示,检查无误后通电进行测试,
在输入端输入正弦信号信号,信号幅度uipp=30mV。
利用“口袋实验室”提供的虚拟示波器连接PC进行电路
测量观察,测量环境如下图所示。
实际电路连接测试图如下图。
使用 “口袋实验室”提供的虚拟示波器,对放大电路进
行测量,调整静态工作点,相应产生的截止失真波形、正常
放大波形、饱和失真波形。

输出波形

输入信号

放大电路截止失真时输入、输出电压波形测试图(红色输入、蓝色输出)
输出波形

输入信号

无失真时输入、输出电压波形测试图(红色输入、蓝色输出)
输出信号

输入信号

无失真时输入、输出电压波形测试图(红色输入、蓝色输出)
使用RIGOL公司的MSO2302A示波器对电路进行测量,
调整静态工作点,相应产生的截止失真波形、正常放大波形
、饱和失真波形如下图所示。

输出信号

饱和失真波形

输入信号

截止失真波形 最大不失真波形 饱和失真波形


制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
北交大校园风光
北交大校园风光
北交大校园风光
北交大校园风光
北交大校园风光
场效应管概念及分类
1/73
课程主要内容
1. 绪论
2. 晶体二极管及应用电路
3. 晶体三极管及基本放大电路
4. 场效应管及基本放大电路(4学时)
5. 放大电路的频率响应
6. 负反馈放大电路
7. 双极型模拟集成电路
8. 双极型模拟集成电路的分析与应用
9. MOS模拟集成电路(自学)
10.直流稳压电源电路
2/73
主讲:刘颖

第四章 场效应管及基本放大电路
问题:
1.场效应管有何特性?有哪些类型、?
2.场效应管放大电路与晶体三极管管放大
电路有哪些不同之处?
3.场效应管如何放大信号?有何特点?
4.场效应管可以构成几种基本放大电路?
3/73

第四章 场效应管及基本放大电路

4.1 场效应管概述
4.2 场效应管基本放大电路
4/73

4.1 场效应管概述
4.1.1 场效应管概念及分类
4.1.2 结型场效应管(JFET)
4.1.3 绝缘栅场效应管
4.1.4 场效应管的主要参数及特点
5/73
4.1.1场效应管概念及分类
场效应管(Field Effect Transistor,FET):利用电场效应来
控制输出电流的半导体器件。
特点:一种载流子参与导电,以电压控制电流。

分类方法:
结型场效应管(JFET)
根据结构,场效应管的分类
绝缘栅场效应管(IGFET)
JFET :Junction Field Effect Transistor
IGFET: Isolated Gate Field Effect Transistor
N沟道FET:电子作为载流子
根据载流子,场效应管的分类
P沟道FET:空穴作为载流子
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
6/73
4.1.2 结型场效应管
 结型场效应管(JFET)分类
可分为N沟道和P沟道两种,输入电阻约为107。
 结型场效应管(JFET)结构
栅极G→基极B D 漏极D→集电极C
N
G P+ P+
结型场效应管符号
栅极G(Gate)→基极B
导电沟道 S 源极S→发射极E 源极S(Source)→发射极E
N沟道结型场效应管结构示意图 漏极D(Drain)→集电极C
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
结型场效应管的工作原理
7/73
1. N沟道结型场效应管(JFET)的工作原理
工作条件:结型场效应管只能工作在栅源反偏的条件下, N沟道
结型场效应管只能工作在负栅压区(uGS<0),否则将会出现栅流。

(1)栅源电压UGS对导电沟道的控制作用
D D D

N N N
G G G
P+ P+ P+ P+ P+ P+

S S S
uGS=0 uGS< 0 uGS≤UGS,off
( UGS,off夹断电压)
D D D

N N N
G G G
P+ P+ P+ P+ P+ P+

S S S
uGS=0 uGS< 0 uGS≤UGS,off
( UGS,off夹断电压)
1) 当uGS=0时,沟道较宽。
2)当UGS,off < uGS≤0时, PN结反偏,PN结(耗尽层)加宽。
3)当uGS ≤UGS,off 时,耗尽层将导电沟道全部夹断。
9/73
转移特性曲线
iD 工作条件:UGS,off ≤ uGS < 0
uDS>0
夹断电压
恒流区电流 D iD
N
G P+ P+ uDS
uGS/V uGS

转移特性曲线 S
说明:漏极D到源极S的电位逐渐降落,导电沟道中各点与栅
极之间的反偏电压逐渐变小,形成一个倾斜的耗尽层。
10/73 工作条件:UGS,off ≤ uGS < 0
iD uDS>0
D iD
N
G P+ P+ uDS
uGS
uGS/V
S
说明:
(1)当漏极D和源极S之间接漏源电压uDS>0时,将在沟道产生电流iD。
(2) DS之间的电压导致DG之间的反偏电压uGD < 0,当 uGD=UGS,off时,
即在漏极最出现预夹断。
11/73
输出特性曲线
定义:uGS一定时, iD与uDS的变化曲线,是一族曲线
iD  f  uDS  u  Constant
GS

输出特性曲线有三个区: iD(mA)
可变 UGS=0
1)可变电阻区( 恒阻区) 电阻
2)恒流区(饱和区、放大区) 区 uGS=-1V
3)击穿区 UGS=-2V uGS= -2 V
uGS= - 3 V
重要! uDS(V)

输出特性曲线
12/73
(2)漏源电压uDS对漏极电流iD的影响 条件:UGS,off < uGS ≤ 0
iD(mA) D iD
可变 UGS=0 V 预夹断
电阻 N
区 UGS= -1V G P+ P+
U = -2 V
uDS
GS
UGS= - 3 V UGS S
uDS(V)
1)可变电阻区:当uDS在较小(uDS < uGS-uGS,off)区域时,增加uDS,
iD将线性增大,此时漏极附近的耗尽层逐渐加厚,当耗尽层在漏
极附近相遇时,称为预夹断,此时 uGD=UGS,off ,uGD=uGS-uDS
2)恒流区:继续增加uDS,uGD反向电压不断增大,夹断区加长,增
加的uDS几乎全部用于克服夹断区增加的阻力,此时iD几乎不变。
3)击穿区: uDS 增加到某一值时,iD开始剧增而出现击穿。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
13/73
2. N沟道结型场效应管(JFET)的特性曲线
iD(mA) iD(mA)
可变
电阻

uGS(V)
uDS(V) UGS,off
输出特性曲线 转移特性曲线
2
 uG S 
 = K  u G S  U G S ,off 
2
在恒流区: i D  I DSS  1 
 U G S ,off 
其中: IDSS为漏极饱和电流, K为导电因子,UGS,off为夹断电压。
14/73
d iD Δ iD
低频跨导定义: g m 
d uG S

Δ uG S
uD S  C uD S  C

小结:场效应管是压控元件,栅源电压控制漏极电流!
15/73
gm的计算方法:

① 图解法:gm实际就是转移特性曲线 i D=f(uGS) 的斜率

② 解析法:根据转移特性曲线表达式 i D  K  u G S  U G S ,off 
2

g m  2 K  u G S  U G S ,o ff  = 2 K  i D
iD(mA)
显然:gm大小与iD有关, iD越大,gm越大。

练习:计算低频跨导gm 。
g 
Δ i D
Q
m Δu G S ∆iD
2
  1 .3 3 ( m A /V ) ∆ uGS
1 .5 uGS(V)
16/73

iD iD
N


结 uGS
uDS


效 iD iD
应 P
管 沟
道 uGS -uDS
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
MOS场效应管分类及结构
17/73

4.1.3 绝缘栅场效应管
常用的绝缘栅场效应管:MOS型场效应管(MOS FET, : Metal
Oxide Semiconductor FET)

N沟道增强型MOS管
P沟道增强型MOS管
MOS场效应管
分类 N沟道耗尽型MOS管
P沟道耗尽型MOS管
18/73 1.N沟道增强型MOS场效应管工作原理

(1) N沟道增强型MOS场效应管结构
栅极G 漏极D
电极—金属
源极S 绝缘层—氧化物
基体—半导体
因此称之为MOS管

栅极G→基极B
源极S→发射极E 衬底B
漏极D→集电极C
衬底B(Body) 结构示意图 符号
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
19/73
(2)栅源电压UGS对导电沟道的控制作用 栅极G→基极B
源极S→发射极E
1)当uGS=0V时,漏源之间相当两个背靠背的PN 漏极D→集电极C
结,无论uDS之间加上电压不会在D、S间形成电 衬底B(Body)
流iD,即iD=0。 uDS
2)当0<uGS<UGS,th时,虽然在P型衬底 uGS
表面形成一层耗尽层,但负离子不能 iD
导电。 +++ + + + + +
3)当uGS=UGS,th时, 在P型衬底表面形
- - - -
成一层电子层(反型层),形成N型
导电沟道,在uDS的作用下形成漏极 反型层
电流iD。
4)当uGS>UGS,th时, 导电沟道逐加厚,沟道电阻减少,在相同uDS
的作用下, iD 将进一步增加。
导电沟道的形成:当在uGSUGS,th时才形成沟道, 这种类型的管子
称为增强型MOS管, UGS,th 称为开启电压。
20/73
转移特性曲线

定义:uDS一定时,uGS对漏极电
流iD的控制关系曲线 iD  f  u G S  u DS C

在恒流区iD与uGS的关系为:
iD(mA)
iD≈ K(uGS-UGS,th)2

uGS(V)
UGS,th
开启电压
21/73
不考虑UDS对沟道长度的调节作用时(了解)
iD≈K(uGS-UGS, th)2
沟道较短时,应考虑uDS对沟道长度的调节作用:
iD≈K(uGS-UGS,th)2(1+uDS) n—沟道内电子的表面迁移率
COX—单位面积栅氧化层电容
其中: W—沟道宽度
K—导电因子(mA/V2) L—沟道长度
—沟道调制长度系数 Sn—沟道长宽比
K'—本征导电因子
 n C OX W K W K
K      Sn
2L 2 L 2
22/73
(3)漏源电压uDS对漏极电流iD的影响
1) 可变电阻区 iD(mA)
可变 uGS=6V
条件: uGS >UGS,th, uDS较小
电阻
( 0<uDS<uGS-UGS, th) 区 uGS=5V
特点: iD与uDS的关系近似为
线性, iD≈ 2K(uGS-UGS,th) 。 uGS=4V
uGS=UGS, th
输出电阻(DS间的电阻)为 uDS(V)
d uDS 1 1
R on  dU GS  0   输出特性曲线
d iD 2 K u G S  U G S,th

当uGS变化时,ROn将随之变化,因此称之为可变电阻区;
当uGS一定时,ROn近似为一常数,因此又称之为恒阻区。
23/73
极间电压关系分析:
∵uDS=uDG+uGS = uGS -uGD
∴uGD=uGS-uDS
若uGD> UGS.th则导电沟道畅通,
此时uDS< uGS -UGS,th
显然uDS减小,uGD增大。
可变电阻区形成条件:
( 1) uGS >UGS,th ;
(2) uDS较小,uDS 满足
0<uDS< uGS -UGS,th 即uGD>UGS.th。

分析:uDS 基本均匀降落在沟道中,
沟道呈斜线分布。在uDS作用下形成
漏极电流 iD。
24/73
(2) 恒流区
条件: uGS >UGS,th ; uDS较大,满足 uDS>uGS-UGS, th 即uGD<UGS.th
特点:uGS一定,iD基本不随uDS变化而变, iD≈K(uGS-UGS,th)2

iD(mA)
uGS=6V iD(mA) uDS>uGS-UGS,th
可变
电阻 uGS=5V
区 uGS=4V
uGS=UGS,th
uDS(V) uGS(V)
UGS,th
输出特性曲线 转移特性曲线
25/73 GD=uGS-uDS
复习:u
恒流区条件:
1) uGS>UGS.th;
2) uGD<UGS.th,也即uDS> uGS-UGS, th

恒流区的形成过程分析:
(1) uDS =uGS-UGS, th时,即uGD= UGS, th此时漏
极一侧沟道出现夹断点,称为预夹断。
此时的漏极电流iD 基本饱和。
(2) uDS> UGS -uGS,th时,随着uDS增大,
夹断区域加长,向S极延伸,夹断区电阻
较大, uDS增加的部分几乎全部用于克服
不断增加的沟道电阻, iD基本趋于不变。
所以称为恒流区。
26/73
(3) 击穿区
不断增大 uDS 到某一临界值时,iD开始剧增而出现击穿。 iD
开始剧增时的uDS称为漏源击穿电压。
iD(mA)
uGS=6V
可变
电阻 uGS=5V
区 uGS=4V
uGS=UGS,th
uDS(V)
(4) 截止区(了解,见参考教材)
当uGS <UGS.th时,无导电沟道,iD=0,该区域称为截止区。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
27/73
4)N沟道增强型MOS场效应管特性曲线
MOSFET的转移特性曲线和输出特性曲
线统称为特性曲线。
iD(mA) uDS>uGS-UGS,th iD(mA)
uGS=6V
可变
电阻 uGS=5V
区 uGS=4V
UGS,th uGS(V) uGS=UGS,th
uDS(V)
转移特性曲线 输出特性曲线
28/73

问题:UDS电压反接,N沟道MOSFET是否能正常
工作?
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
29/73

(1) N沟道耗尽型MOS场效应管结构
耗尽型MOS管存在
原始导电沟道

+++++++
        

结构示意图 符号
30/73(2) 工作原理
当uGS=0时,uDS加正向电压,产生漏极电流iD,此时的漏极
电流称为漏极饱和电流,用IDSS表示。
当uGS>0时,将使iD进一步增加。
当uGS<0时,随着uGS的减小漏极电流逐渐减小直至iD=0。
对应iD=0的uGS称为夹断电压,用UGS,off表示。

iD(mA)

uGS(V)
UGS,off
转移特性曲线
31/73
(3) N沟道耗尽型MOS场效应管特性曲线
转移特性曲线
当UGS>UGS,off,且未击穿时, ID(mA)
2
 U GS 
I D  I D SS  1   夹断电压
 U
 G S ,off 

 C W
其 中 : I D SS  n O X U G S ,off 2
2L
UGS(V)
UGS,off

耗尽型与增强型MOSFE比较:
N沟道耗尽型MOS管可工作在UGS0和UGS>0;
N沟道增强型MOS管只能工作在UGS>0。
32/73

输出特性曲线(N沟道耗尽型)

iD/mA)
可变 uGS=6V
电阻
区 uGS=1V

uGS=0V
N沟道耗尽型
uGS= -1V
MOS场效应管
uDS / V
33/73
各类绝缘栅场效应三极管的特性曲线小结

N iD iD

道 iD
M 增

O 型 uGS uDS
S
F P iD iD
沟 iD
E 道
T 增
强 -uDS
型 uGS
34/73 各类绝缘栅场效应三极管的特性曲线小结(续)
N



M
尽 uD
O uGS

S
P
F 沟

E 耗
T 尽 uGS -uDS

制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
35/73

集成电路中多个MOS管衬底的处理原则:所有衬底接在
一起;保证两个PN结反偏。
处理方法:
NMOS管—uBS加一负压
PMOS管—uBS加一正压

衬底效应 :
在uGS和uDS不变、uBS微变
时,同样会导致漏极电流
的微变,衬底跨导定义为
Δ iD
g mb 
Δ uBS u ,u 为 常 数
DS GS
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
场效应管主要参数
36/73
4.1.4效应管的主要参数及特点
1.直流参数
(1)阈值电压(称为开启电压、夹断电压)
增强型MOSFET阈值电压:开启电压UGS,th ;
耗尽型MOSFET和JFET阈值电压:夹断电压UGS,off 。
(2)饱和漏极电流IDSS
耗尽型MOSFET、JFET,当uGS=0时所对应的漏极电流。

(3)直流输入电阻RGS
栅源间所加的恒定电压UGS与流过栅极电流IGS之比。结型场
效应三极管,反偏时RGS约大于107Ω,
绝缘栅场效应三极管RGS约是109~1015Ω。
37/73

2. 交流参数
(1) 低频跨导gm
低频跨导反映了栅压对漏极电流的控制作用
diD
gm 
duGS uDS  C
uBS  C
gm的求法:
① 图解法:作图计算转移特性曲线 i D=f(uGS) 的斜率;
② 解析法:根据iD=K(uGS-UGS,th)2,计算得
gm  2K(uGS  UGS,th )=2 K  iD 重要!

结论:gm与 iD和导电因子K成正比关系。
38/73
(2) 衬底跨导gmb
反映了衬底偏置电压对漏极电流iD的控制作用
diD
gmb 
duBS uDS  C
uGS  C
gmb
跨导比  
gm
(3) 动态漏极电阻rds
duDS
rds 
diD uBS  C
uGS  C

反映了uDS对iD的影响,实际上是输出特性曲线上工作点切线
上的斜率。一般是几十~几百千欧姆。
39/73

4. 极间电容
主要的极间电容有:
Cgs—栅极与源极间电容,约1~3PF 漏极
D
Cgd —栅极与漏极间电容,约1~3PF
iD
Csd —源极与漏极间电容,约0.1~1PF G B
栅极 衬底
Cgb —栅极与衬底间电容 S
源极
Csb —源极与衬底间电容 D

Cdb —漏极与衬底间电容 G

S
40/73
3. 极限参数
(1)最大漏极电流IDM
管子正常工作时漏极电流的上限值。
(2)漏源击穿电压UDS,B (或用符号BUDS 表示)
使ID开始剧增时的UDS。
栅源击穿电压UGS ,B (或用符号BUGS表示)
JFET:反向饱和电流剧增时的栅源电压。
MOSFET :使SiO2绝缘层击穿的电压。
(3)最大耗散功率PDM
PD=iDuDS
应用:根据IDM 、PDM、 UDS,B可以确定管子的安全工作区。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
场效应管与三极管特性比较
41/73 管型

双极结型三极管 场效应管
效 比较内容
应 1.结型
管 (1)N沟道(2)P沟道
与 2.绝缘栅型
三 1.NPN 以MOSFET为代表。
种类
2.PNP (1) N沟道增强型,P沟道增

强型
管 (2)N 沟 道 耗 尽 型 , P沟 道 耗
特 尽型。
性 电流(基极电流或发
输入控制源 电压(栅-源电压)
比 射极电流)
较 控制关系 电流控制电流源 电压控制电流源
42/73 管型

双极结型三极管 场效应管
效 比较内容
应 多子扩散,少子漂移,
管 载流子类型 双 极 型 载 流 子 共 同 导 单极性载流子导电。
与 电。
三 输入电阻 发射结电阻较小 栅源两端电阻近似无穷大
管脚倒置使用情 集电极C和发射极E一 源极S和漏极D一般可以倒置

况 般不可倒置使用 使用。
管 温度特性 参数受温度影响大 参数受温度影响小
特 静电影响 不易受静电影响 易受静电影响
性 噪声 较大 小
比 驱动能力 强 较弱
较 集成能力 易大规模集成 更易大规模集成
功耗 较大 较小
43/73
小 结
1. 场效应管种类很多,主要有结型和绝缘栅场效应管。
结型有N沟道和P沟道两种, 栅源必须加反偏压才能
工作,如N沟道在uGS<0下工作, P沟道在uGS>0下工作。
绝缘栅场效应管MOSFET有N沟道增强型、 N沟道耗尽
型、 P沟道增强型、P沟道耗尽型四种类型。增强型不存在
原始导电沟道,uGS只在单一极性或正或负工作;而耗尽型
存在原始沟道,uGS可正可负。
2. 场效应管是单极型电压控制器件,具有输入电阻高,一
般可达109。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
44/73
4.2 场效应管基本放大电路
4.2.1 场效应管模型
4.2.2 场效应管直流偏置电路
4.2.3 场效应管三种基本放大电路
4.2.4场效应管放大电路性能比较
45/73
4.2.1 场效应管模型
1. MOSFET的直流模型
G D
+ +
ID
UGS UDS
- -
S
I D  K  U G S  U G S ,th 
2

(增强型MOS管,简称E管)
2
 U GS 
I D  I DSS  1   (耗尽型MOS管,简称D管)
 U G S ,o ff 
复习:UGS,th开启电压(增强型),UGS,off夹端电压(耗尽型、结型)
46/73
2. 低频小信号模型 gds:输出电导,gds=1/rds
由输出特性: iD=f (uGS,uDS)
Δ iD Δ iD
Δ iD  Δ uD S  0 Δ uG S  Δ uG S  0 Δ uD S
Δ uG S Δ uD S
gm:跨导
Δ i D  g m Δ uGS  g ds Δ uDS

G ΔiD D
+ +
iD gmΔuGS
ΔuGS gdsΔiDS
- -
S
47/73
用变量替代微变量, id  g m u gs  g ds u ds

Δ iD d iD
gm  
低频跨导定义 Δ uG S d uG S
Δ uD S  0 uG S  常 数

Δ iD
输出电导定义 g ds  Δ uG S  0
Δ uDS
G id D
+ +
iD gmugs
ugs gds uds
- -
S
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
48/73

4.2.2 场效应管直流偏置电路
1.场效应管偏置电路
说明:和晶体三极管放大电路一样,必须用合适的偏置电
路将其工作点偏置在FET静态输出特性曲线的恒流区(即
放大区),并使工作点稳定,才能使FET工作在放大状态。
场效应管偏置电路的关键:如何提供栅源控制电压UGS。
常用的两种偏置电路:
(1)自给偏置电路: 适合结型场效应管和耗尽型MOSFET
(2)分压式偏置电路:适合任何类型的FET
49/73
2.自给偏置电路
50/73
2.自给偏置电路
UGS = UG-US = -ISRS = -IDRS UGSQ和IDQ
U GS 2 UDSQ=ED-IDQ(RS+RD)
I D  I D S S (1  )
U G S ,off
RS的作用:
1. 提供栅源所需的直流偏压。
D 2. 提供直流负反馈,稳定静
G
态工作点。RS越大,工作点
S
越稳定。但会造成工作点偏
低,放大增益减少,非线性
失真增大。
基本自给偏置电路
51/73 大电阻RG (M),
减小R1、R2对放大电
路输入电阻的影响。
G
R1、R2提供一个 S
正偏栅压UG
R2
UG  ED
R1  R 2 改进型自给偏置电路

UGS = UG-US  R2
E D -IDRS
R1  R 2

U GS 2 UGSQ和IDQ
I D  I DSS (1  )
UP UDSQ=ED-IDQ(RS+RD)
52/73
3.分压式偏置电路
53/73

3.分压式偏置电路
R1和R2提供一个固定栅压。
R2
UG  ED
R1  R 2

列写输入回路栅源电压方程和MOSFET管输出特性表达式:
R2
UGS = UG-US  R  R E D -IDRS
U GS1 2 2
I D  I D S S (1  )
U G S ,th
注:要求UG>US,才能提供一个正偏压,增强型管子才能正常
工作。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
场效应管共源放大电路
54/73
4.2.3 场效应管三种基本放大电路
场效应管放大电路的组成只能有三种连接方式:
① 共源极(CS, Common-Source)放大电路
② 共漏极(CD, Common-Drain)放大电路
③ 共栅极(CG, Common-Gate)放大电路
D S D
S
G + + + +
G G
+
+ uo
D
uo ui uo
S ui
ui -
- - - -
-

(a)共源电路 (b)共漏电路 (c)共栅电路


55/73
1. 共源放大电路

•直流分析
UGS = UG-US
R2
 ED -IDRS
R1  R2
U GS 2
I D  I DSS (1  )
U GS,off

UGSQ和IDQ
UDSQ=ED-IDQ(RS+RD)
56/73
•交流分析
未接Cs时
Uo
AU  R'D=RD//RL
Ui
- gmUgs(RD//RL)
= 一般rds较大可忽略
Ugs + gmUgsRs G D id

RG Ugs rds
- gmR'D gmUgs
= Ui RD RL Uo
S
1 + gmRs R1 R2 RS
57/73
G D Id
未接Cs时
- gmR'D RG Ugs rds
AU = gmUgs
1 + gmRs Ui S RD RL Uo
r'i=RG+(R1//R2) ≈RG R1 R2 RS
r'o ≈ RD r'i r'o

接入Cs时 Rs的作用是提供一个直流栅源电
AU= -gm(RD//RL) 压、引入直流负反馈来稳定工作点。
但它同时对交流也起负反馈作用,使
r'i=RG+(R1//R2) ≈RG 电路的放大倍数降低。
r'o =RD 接入CS可以消除RS对交流的负反
馈作用。(详见反馈章节)
58/73
共源放大电路小结:
AU= -gm(RD//RL)
r'i=RG+(R1//R2) ≈RG
r'o =RD

共源放大电路特点:
电压增益高,
输入电阻高,
输出电阻较高,
输出电压与输入电压反相。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
59/73
2.共漏放大电路(也称源极跟随器)
G
+ Ugs - S
D
G
Ui RG RS RL Uo
S gmUgs rds
r'i D
低频等效电路
Uo gmUgsR'S gmR'S
电压增益 A U  = = <1
Ui Ugs + gmUgsR's 1 + gmR's
AU≈1 R'S=rds//RS//RL
gmR'S>>1
≈ RS//RL
输入电阻 r'i=RG
输入电阻大
60/73 rds较大, 忽略

输出电阻 G S Io
Ugs
U + -
ro  o +
Io Ugs= -Uo RS Uo
gmUgs -
Uo
Io  - gmUgs D r'o
RS
=Uo(1/Rs+gm) G
+ Ugs - S
Uo 1
ro  
Io 1/ R s  g m Ui RG RS RL Uo
gmUgs rds
D r'o
输出电阻小,且与源极
电阻和管子跨导有关。
61/73
共漏放大电路小结:
AU<1 D
G
r'i=RG
1 S
r'o  R s //
gm

共漏放大电路特点:
电压增益低,
输入电阻高,
输出电阻较低,
输出电压与输入电压同相。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
62/73 S D
3.共栅放大电路
G
说明:为简化分析忽略rds
r'o
U o - g m U gs R D 
gmUgs
AU  = S D
电压增益 Ui  U gs

 g m RD rds
Ui U gs 1 G
输入电阻 ri   
Ii  g mU gs g m gmUgs
S D
1
ri   R s // Ii
gm

输出电阻 r'o=RD r'i ri G ro


63/73
共栅放大电路特点:
电压增益高,
输入电阻很低,
输出电阻高,
输出电压与输入电压同相。

思考题:若不忽略rds,如何 gmUgs
分析共栅放大电路特性? S D

rds
G
64/73
思考题:若不忽略rds,如何分析共栅放大电路特
性?
gmUgs Id
S D S D

G rds
G
r'i ri

电压增益 Id=gmUgs+Uds/rds
Uds=Uo-Ui Uo= -IdR'D Ugs= -Ui
( g m  1/ rds )U i
Id= -gmUi+(- IdR'D -Ui)/rds Id  
 / rds )
(1  R D
66/73
3.共栅放大电路(续)
gmUgs Id
S D S D
G
rds
r'i ri G
电压增益 Uo 
 Id RD ( g m  1/ rds ) R D
AU   
Ui Ui  / rds )
(1  R D
当rds>>R'D时, AU≈ gmR'D rds>>R‘D,gmrds>>1

Ui  / rds
1  RD
输入电阻 ri 
 Id

g m  1/ rds
≈1/gm, r'i≈Rs//1/gm
66/73
S D
3.共栅放大电路(续) G
电压增益 AU≈ gmR'D
gmUgs
S D
输入电阻 ri≈1/gm
r'i≈Rs//1/gm rds
输出电阻 ro=rds G ro
r'o=rds//RD≈ RD
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
第四章小结
《场效应管及应用电路》

主讲:李赵红
67/73
小 结
① 场效应管放大电路主要有共源、共栅和共漏三种基本
组态放大器。
② 静态偏置电路主要有自给偏置电路和分压式偏置电路
两种。自给偏置电路适用于结型和耗尽型场效应管。
而对增强型场效应管只能采用分压式偏置电路。
③ 静态分析可采用解析法和图解法。
④ 动态分析与三极管基本相似,主要采用微变等效电路
法进行分析。
68/73

场效应管放大电路性能比较
输入与输出电
组态 AUs ri′ ro′
压关系

共源 高 高 较高 反相

共漏 低 高 低 同相

共栅 高 低 较高 同相
69/73
填空

电压 电流
1.场效应管是_______控制器件,而双极型三极管是_______
控制器件。
栅极绝缘
2.绝缘栅型场效应管输入电阻很大,是因为____________的
原因。
70/73
选择填空
b
1.场效应晶体管是用_______控制漏极电流的。
a. 栅源电流 b. 栅源电压 c. 漏源电流 d. 漏源电压
2.结型场效应管发生预夹断后,管子________。 b
a. 关断 b. 进入恒流区 c. 进入击穿区 d. 可变电阻区
3.场效应管的低频跨导gm是________。 b,c
a. 常数 b. 不是常数 c. 栅源电压有关 d. 栅源电压无关
4. 场效应管靠__________导电。
a
a. 一种载流子 b. 两种载流子 c. 电子 d. 空穴。
5. 增强型PMOS管的栅源开启电压__________。 b
a. 大于零 b. 小于零 c. 等于零 d. 或大于零或小于零
6. 增强型NMOS管的(栅源)开启电压__________。 a
a. 大于零 b. 小于零 c. 等于零 d. 或大于零或小于零
解答:1.b 2.b 3.b,c 4. a 5.b 6.a
71/73
选择填空

7. 某场效应管的IDSS为6mA,而IDQ自漏极流出,大小为8mA,
则该管是_______。
d
a. P沟道结型管 b. N沟道结型管
c. 增强型PMOS管 d. 耗尽型PMOS管
e. 增强型NMOS管 f. 耗尽型NMOS管

解答:7.d
73/73

第四章结束
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
第二章 MOOC 平台学生主要问题及解答汇总
第二章 二极管及其应用
1. mooc14884565... 3 月 13 日问:
钳位电路的时候给它一个负压,二极管不会导通,不是反偏吗?
回答 1: 钳位电路能把一个周期信号转变为单向的或叠加在某一直流电平上,而
不改变波形的电路。在钳位电路中,电容和二极管是不可或缺的元件。
回答 2:您是指哪一个钳位电路,是电子教案中 58 页的那个电路吗?那个电路
在输入为正时,二极管正偏导通,输出为二极管导通压降(理想时为零);输入
为负时,二极管反偏截止。

2. 忆 mooc1013 月 15 日

问:
老师:图 1.6(a)的电压 u。波形图怎么画?

回答 1:当输入电压 ui 大于 2V 时,二极管 V1 导通、二极管 V2 截止;


当输入电压 ui 小于-2V 时,二极管 V2 导通、二极管 V1 截止。
回答 2:这是一个双向限幅电路,当假设二极管具有理想特性时,输出信号在
-2V--+2V 范围内,波形如下。

ui/V
uo/V
10

2
π 2π 3π 4π ωt
-2

-10

3. 走走停停 mooc...3 月 6 日问

AO 两端电压怎么求

回答:
这道题目与电子教案中 63 页分析计算题属于一类题型。其分析思路如下(详见
电子教案 63 页):
解题思路:判断二极管在电路中工作状态的方法是先假设电路中所有二极管断开,
分别计算二极管两极的电压,然后比较阳极(正极)电压与阴极(负极)间将承
受的电压,如果该电压大于二极管的导通电压,则说明二极管导通,否则截止。
如果判断过程中,电路出现两个以上的二极管承受大小不等的正向电压,
则应判定承受正向电压较大者优先导通,其两端电压为导通电压,然后再上述方
法判断其他二极管的导通状态。

分析过程:在分析多电源情况下二极管两端的点位,可以采用叠加定理,分别计
算某一电源存在、其他电源为零时二极管两端的点位的值,然后再计算只有第二
个电源存在时二极管两端的点位的值,然后计算所有电源存在时二极管两端总的
点位。
本题目为了分析方便,可以假设二极管为理想二极管,分析过程如下。
(1)假设两个二极管都断开,经分析计算 VD1 管正负极之间的电压为 5V,VD2
管正负极之间的电压为 10V,所以 VD2 导通。
(2)在 VD2 导通的情况下,假设 VD1 管再次断开,分析计算 VD1 正负极之间的
电压是-2.1V,因此 VD1 管截止。
最后输出 Ao=-2.1V。
(如有不同意见,欢迎讨论.)

进一步的分析详见电子教案(85 页,即上面的说明),由分析结果可知当
Rb1//Rb2<<(1+β) Re 时,EB≈UB,说明基极电压 UB 就是 EB。

4. 小芒果 mooc53 月 19 日问:

势垒电容的理解。老师,势垒电容是指半导体的耗尽层相当于电容还是受外加
电源影响的半导体相当于与电容串联??与电容的充放电特性相似这句话没太
理解?反向电压增大耗尽层变窄,而电容的电荷量不是应该随着外加电源的增
大而增大吗
回答 1:
这位同学学习很认真,也很喜欢思考。
势垒电容的充放电效应与普通电容相似,但并不相同。
势垒电容描述了耗尽层的空间电荷(杂质离子)随电压变化而产生的电容效应。
耗尽层的厚度随着外加电压的变化而变化。
回答 2:
(1)PN 结的结电容相当于是并在二极管两端,详见电子教案的示意图。
(2)反偏电压增高,耗尽层变厚,而不是变薄。

5. 小芒果 mooc53 月 19 日问:

老师,那个势垒电容的图,cb 是指耗电区的电荷量吗。那 u 指的外加电压吗,u


在负半轴的时候外加电压是正向还是反向呢???麻烦老师啦
回答:电子教案 28 页中的 Cb 指的是电容的大小,且图中 V 的极性与教案左下
PN 结外加电压示意图中的可调电压 V 的极性相同,V 为正时表示 PN 结反偏,V
为负时表示 PN 结正偏。
第三章 MOOC 平台学生主要问题及解答汇总

第三章 晶体三极管及应用电路
1. 老师能问您一道判断截止区的题目吗?第(3)小问,截止区应该是发射结反
偏,集电结反偏,题中 VBE <0.7,VCB >0. 它算是什么区吗?可以判断它是 NPN
型吗?正偏还是反偏是判断 VBE 与 0.7V 的大小关系和 VCB 与 0 的大小关系吗?

回答: 经判断,这是一个 NPN 管,正常的 NPN 管的单管放大电路,其集电极电


压高于发射极。由于此时反射结压降小于硅管发生结导通压降 0.7V,集电结反偏,
所以处于截止区。
补充说明:如果说是测量某放大电路中三极管的三个极的对地电压,实际上就是
默认三极管是不会出现在反向工作状态的(管子不会出现焊接错误),只能处于
放大、截至、饱和三个区。
2. 怎么根据电路图判断处在三极管处于哪个区工作?
回答:
(1)如果发射结的电压小于导通电压,IB=0,则工作在截止区。
(2)如果发射结的电压大于导通电压,且集电结反偏,则工作在放大区,否则
工作在饱和区。

按照 BJT 在各个区的特点,显然 d、e 电路发射结压将小于导通压降,判断


其在截止区,其他四个电路发射结正偏,这时需要先计算出基极电流 IB,然后再
计算集电极电流 IC,最后计算 Uce,再得到 Ucb,如果集电结反偏则在放大区,
否则在饱和区。

3. 图中的截止区的那条曲线为什么 IB 为 0?
回答: 最下面那一条曲线是 IB=0 时的输出特性曲线。
IB=0 时,IC=βIB+ICEO= ICEO,ICEO 称为穿透电流。

4. 共 b,共 e,共 c 三种电路的特性如何才能分清?


回答: 首先掌握判断三种组态的方法。观察电路输入信号接在三极管的哪个极、
输出信号接在三极管的哪个极、公共端是哪个极。
补充说明:需要注意的是公共端不一定总是接地。
熟悉每种组态的特性。
例如:共射组态的放大能力强;共集组态的输入阻抗大、输出阻抗小;共基组态
的高频特性好、输入阻抗小、输出阻抗大。

5. 请教一个问题,怎么求下图静态工作点?我的计算正确吗?
回答 1: 你列的方程 1、2 是错误的,请同学再思考一下。
解题突破口:
1. 电阻 R2 上的压降为 0.7V。
2. 根据题目给定的条件 I>>IB,流过电阻 R2 和 R1 的电流几乎相等,从而能
够计算出 VT2 的发射极和基极电压。
补充说明:途中标示的基极电流 IB2、IB3 和 IC1、IE2 相比都可以忽略不计。

6. 在下图中,RB2 开路为什么 IBQ 为零?为什么发射结不能正偏?

回答: 电路图里的三极管是 PNP 型的。


如果 RB2 开路,则三极管的发射结无法正偏,三极管截止。
所谓完整的输入直流回路是指包含发射结在内的回路中有直流电源,且保证
发射结正偏导通。
因为输入没有完整的直流回路,所以发射结没有直流偏置。
这是一个 PNP 管,Rb2 开路后,电源 VCC 经过射极电阻 RE、发射结后没有到
直流地的回路,回路电流显然是零,即 IB=0

7. 老师,我不理解这个 CC 组态,为什么不是 CE?CC 组态不是以集电极为公共


端吗?
回答: 图 1 中,输出端接在三极管的发射极,输入端接在三极管的基极,集电极
为公共端,为共集组态。
图 2 为共集组态的示意图,还不是一个完整的电路。
图中(c)的晶体三极管是 NPN 管,为了便于说明是 CC 组态,把集电极画
在下方,发射结画在上方。

8. 等效 没看明白,请老师讲一下。流过 Rb1 和 Rb2 电流是不一样的吧,为什


么还可以直接分压算 B 点电位等效成下面电路呢?还是定值?
回答 1:直接计算 RB1、RB2 对电源的分压有两个前提:三极管基极电流很小、RB1 和 RB2
阻值不能太大。
从而 IBQ 远远小于 IRB1 和 IRB2。
回答 2:利用戴维南定理,把基地 B 和直流地 G 两端的左边直流电路等效为一个电压源。戴
维南等效过程示意如下:

Rb1 B B
B EB EB
Rb2 Rb1 Rb2 RB
G G
G

其中
Rb2
EB  V
Rb1  Rb2 CC
RB  Rb1 // Rb2

进一步的分析详见电子教案(85 页,如下截图),由分析结果可知当
Rb1//Rb2<<(1+β) Re 时,EB≈UB,说明基极电压 UB 就是 EB。
流过 Rb1 和 Rb2 电流的确是不一样的,但是当基极电流远远小于流经 Rb2 的
电流时,近似认为流过 Rb1 和 Rb2 电流是近似相等的。
在本课程的工程分析中,差 2 个数量级的数可以忽略;有时为了简化分析,
差一个数量级的数也忽略,这样的分析结果误差的确大了一点。
第 4 章 MOOC 平台学生主要问题及解答汇总

第 4 章 场效应管及其基本放大电路
问题 1:老师那个 JFET 转移曲线右边的那个图,栅源两级之间的电源方向好像
画反了,因为 g 级应该是最低的电压
回答:是的,结型场效应管要求栅源反偏。

问题 2:老师,我感觉在求输出等效电阻时,Ugs 的电压等于-Us(为 Rs 两端的


电压)。如果 Us 没有电流,那么 Ugs 为零。现在我不知道为什么 Ugs 为零?

回答:
(1)为了简化分析过程,认为 rds 近似无穷大,在计算输出电阻时,令输入信号
源均为零,所以有
usg  ugs  gm * ugs * Rs

解方程得
ugs  0

(2)当考虑 rds 的存在时,计算输出电阻时令输入为零,此时


 ugs 
uo  ugs    gm * ugs  * rds
 Rs 
ugs
io  id 
Rs

所以输出电阻

uo
ro   1  Rs  * rds
io
频率响应的基本概念
1/68
课程主要内容
1. 绪论
2. 晶体二极管及应用电路
3. 晶体三极管及基本放大电路
4. 场效应管及基本放大电路
5. 放大电路的频率响应(4学时)
6. 负反馈放大电路
7. 双极型模拟集成电路
8. 双般型模拟集成电路的分析与应用
9. MOS模拟集成电路(自学)
10.直流稳压电源电路
2/68
主讲:刘颖

第五章 放大电路的频率响应
问题:
1. 什么是电路的频率响应?
2. 工程上如何绘制频率响应曲线?
3. 三极管的高频模型与低频模型(h参数模型
)有何不同?
4.耦合电容、旁路电容、三极管结电容对电路
频率特性有怎样的影响?
3/68

第五章 放大电路的频率响应

5.1 频率响应的基本概念
5.2 晶体三极管的高频模型
5.3 频率响应的分析方法
5.4 单管共射放大电路的频率响应
5.5 共集、共基放大电路的频率响应
5.6 多级放大电路的频响
4/68
5.1 频率响应的基本概念
5.1.1. 放大电路频率响应概念
概念:放大电路增益随着频
率变化而变化的特性称为频
率响应特性,可表示为
A U  jf   A U  jf  e j f 
其中:
A U  jf  称为增益的幅频特性 CE组态基本放大电路
  f  称为增益的相频特性
5/68
说明:放大电路的频率响应特性是增益幅频特性和相频特性统称。

|A U(jf)|
中频段:AU=常数
低频段 AU
AU 下降
高频段
增益幅度|A U(jf)∣与频率f
的关系称为幅频特性。 f
幅频特性曲线
中频段:相位差  =常数 (f)
低频段 f
 改变 -90°
高频段 -180°
增益相位(jf)与频率f -270°
的关系称为称为相频特性。 相频特性曲线
6/68
 中频增益:中间频率段的增益
幅度频率失真:幅频特性偏离中频值的现象
 频率失真
相位频率失真:相频特性偏离中频值的现象
A(jf)
AU (f)
0.707AU f
-90°
-180°
-270°
f
fL fh
幅频特性曲线 相频特性曲线
7/68
5.1.2. 放大电路的带宽
上限截止频fh、下限截止频fL定义:增益下降到中频增益的
0.707倍(即3dB处)所对应的频率。
A(jf) AU
20 lg  20 lg 0.707 AU
AU 2
0.707AU
 20 lg AU  3( dB )

f
fL fh
放大电路的带宽:也称通频带、有效带宽,带宽BW=fh-fL
例如:电信网中语音信号有效带宽范围为300Hz—3400Hz
保真音频放大电路的频带范围为20Hz----20kHz
视频压缩信号H.264, 约2MHz
8/68
5.1.3 产生频率失真的原因
原因(1)放大电路中存在电抗性元件
(2)三极管的电流增益是随频率变化的函数
说明:(1)耦合电容、旁路电容、分布电容、变压器、分布
电感等为电抗性原件其特性与频率有关。
(2)在研究频率特性时,三极管的低频小信号模型不
再适用,而要采用高频小信号模型。
结论:
影响低频增益原因:主要是耦合电容和旁路电容
影响高频增益原因:主要是晶体管的结电容及引线等杂散电容
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
9/68
5.2 晶体三极管的高频模型
1. 晶体三极管高频物理模型-混合模型
rbb’ :基区的体电阻,b′是假想的基区内
的一个等效集中点。对高频影响很大,数
值十几~几十欧姆。

rb′e和Cb′e:发射结等效交流电阻和结电容。

rb′c和Cb′c :集电结等效交流电阻和结电容。

gmUb′e :受控电流源,Ub′e对输出电流的控
制作用。gm是跨导,gm=Ic/Ub′e。

双极型三极管物理模型
rce :受控电流源内阻,很大一般可忽略。
10/68 如果忽略
rce和rb’c

三极管混合模型

说明:
Cb′c一般为几皮法,可查手册;
Cb′e可通过查手册计算。
双极型三极管物理模型
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
11/68
1)共射截频 f 

 Ic
定义:β 
Ib U 0
ce

 1 
 I b  U b e  +jω  C b'c  C b'e  
r
 b e 
 I c  g mU b e
gm rb'e
 β 
1  jω r b'e (C b'c  C b'e )

Δ U ce  0 即 等 效 RL '  0 时
12/68
gmU b'e
受控电流源  I b  g m U b'e    gm rb'e
Ib
g m rb'e βo
 β  
1  jω r b'e (C b'c  C b'e ) f
1 j

1
其中 f β  β0
2 π r b'e (C b'c  C b'e )   jf 
o 2
β o  g m rb'e

β0
当 f  f  时 , 
2 f
o f f
问题: β 与频率有关, gm是否随频率变化?
13/68
 Uube  ube
复习知识点: 发射结(PN结):iE  IS  e T  1   ISe UT ,
 
 
ube
diE IS UT iE dube U T 26(mV)
 e   re   
dube U T UT diE I EQ I EQ (mA)
三极管CE组态输入电阻:
VT
hie  rbb  (1   )re  rbb  (1   ) =rbb +rbe  rbe  (1   )re
I EQ
c
其中:
Ø re为发射结正向电阻 rc
rbb'
Ø β是晶体三极管共射电流增益; b b'
Ø rb′e为共射组态三极管发射结电阻,与 re
β有关。
e
14/68 VT VT
复习: hie  rbb  (1  hfe )re  rbb  (1  hfe ) , rbe  (1   )re , re 
I EQ I EQ

  I b  g m U b 'e
 
 rb 'e   1     re c

  1 I EQ
 gm    
rb'e (1   )re re VT
晶体三极管h参数模型
小结
(1)跨导gm反映输入电压对输出电流的控制关系,与频率无
关。
(2)跨导gm是与频率无关的发射极电流IEQ和温度电压当量
VT之比,与温度有关。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
15/68
2)特征频率fT 定义:当 β=1时的频率称为fT。
β0
   当f >>f时
f
1 j
fβ   jf 
β0 fβ
    βo o
1 j
f f

β f  β o f β (f >>f ) 1
f
o fT
当 f = fT 时,  =1

 f T  βo f β  f  f 
β
16/68

小结:
1. 在f >>f时,   f 保持常数,   f 称为 增益带宽积。
说明工作频率增加一倍,  就下降一倍。
2. 特征频率fT等于增益带宽积。
3. fT较容易测量,只要测量出f >>f时的 值,即可计算出 fT。

说明:该结论同样适应于理想运算放大器。
17/68
特征频率fT计算
  jf 
βo o
共射放大电路 β 
f
1 j

f T  βo f β  f  f  β 1
f
o fT
  0 = g m rb 'e

 1
 f β  2 π r (C  C )
 b 'e b 'c b 'e

βo gm
 fT    fT
2 π r b 'e ( C b 'c  C b 'e ) 2 π ( C b 'c  C b 'e )
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
18/68 1
复 习 : fβ 
3)共基截频 f α 2 π r b 'e ( C b 'c  C b 'e )
Ic β
定义  
Ie  U ce  0
1 β
0
将β  代入上式,有
f
1 j
f 0
0 1 0 0
   
f f f
1 0  j 1 j 1 j
f 1   0  f f
o
其中o=
1+
, f  1+ o  fβ
o

0
当 f  f 时 ,  
2
19/68
晶体三极管的高频截频小结
 o
1. 共射截频f :当  下降到 2 时对应的频率,  o 为零频
共射电流放大倍数。
2. 特征频率f T: 当 | |=1 时对应的频率。
0
3. 共基截频f :当  下降到 2 时对应的频率,  0为零频
共基电流放大倍数。  o 、  (dB)

三种截频的关系:

f   f T  f  1

( f β 、  o f β 、 1 +  o  f β) O f
f fT f
20/68
复习:

晶体三极管混合模型

问题:能否将连接输入、输出回路的结电容Cb′c分别等效到
输入回路电容和输出回路电容,从而简化电路分析?
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
21/68
2.单向近似模型
设放大倍数K=U2/U1
1) 密勒原理
(1)求Z1 U2
U 1 (1  )
I1 (U 1  U 2 ) U1 U1
Z I2 I1   
U1 U2 Z Z Z
1 K
U Z
Z1  1 Z1 
I1 1 K

I1 I2 K
(2)同理求得Z2 Z2  Z
K 1

U1 Z1 Z2 U2 若Z为容抗, Z=1/(jC),则有
K 1
C 1  (1  K ) C , C2  C C
K
22/68
Uo
K 
U b e

共射放大电路混合模型

C i  C b 'e + (1  K ) C b 'c
K 1
Co  C b 'c  C b 'c
K

说明:Cb'c等效到输入端的电容大了许多倍,其影响不可忽视,此现象称为
共射电路的密勒倍增效应。
23/68
Uo rb 'e   1     re
K 
U b'e
1
 gm U b'e 
1
 jωC o
RL

U b'e

 gm RL

1  jωRL C o

共射放大电路混合模型
在通频带, K   gm RL
24/68 K 1 Uo
复习:C1  (1  K )C , C2  C C K   g m RL '
K U b'e

C i  C b'e  (1  g m RL ') C b'c

 gm RL '  1
Co   C b'c  C b'c
 gm RL '

注意:利用密勒定理计算等
效输入电容Ci和输出电容Co rb' e  1  h fe   re
时,其中的电压增益K是包
括Cb’c的,而实际工程计算K
时常忽略Cb’c的存在,因此
分析结果是工程近似结果。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
25/68
5.3 频率响应的分析方法
拉氏变换
1. 线性系统的分析方法 时域 复频域
拉氏逆变换
自变量:t 自变量:s=+j
拉氏域线性系统传输函数定义:
U O (s ) ( s  z1 )( s  z 2 )  ( s  z m )
H (s )   H0
U i (s ) ( s  p1 )( s  p 2 )  ( s  p n )
s s s
(1  )(1  )  (1  )
z1 z2 zm
 H 0'  标准式
s s s
(1  )(1  )  (1  )
p1 p2 pn
其中:z1、z2、…、zm—零点
p1、p2、…、pn—极点
26/68
付氏变换
线性系统的分析方法 时域 频域
付氏逆变换
自变量:t 自变量:j

频域线性系统传输函数定义:
U O (jω ) (jω  z 1 )(jω  z 2 )  (jω  z m )
H (jω )   H 0
U i (jω ) (jω  p 1 )(jω  p 2 )  (jω  p n )

系统传输函数标准式:
ω ω ω
(1 -j
)(1 -j )  (1 -j )
U (jω ) z1 z2 zm
H (jω )  O  H1
U i (jω ) ω ω ω
(1 -j )(1 -j )  (1 -j )
p1 p2 pn
27/68

系统传输函数标准式:
ω ω ω
(1 -j)(1 -j )  (1 -j )
U (jω ) z1 z2 zm
H (jω )  O  H1
U i (jω ) ω ω ω
(1 -j )(1 -j )  (1 -j )
p1 p2 pn

  ω  
m
2

 1  
  
i 1
  z i  
幅频特性: H ( jω )  H 1 n 
 ω  
2

 j 1 
1  
  
pj  
  
m
-ω i n -ω j
      a r c ta n
相频特性:   a r c ta n
i 1 zi i 1 pj
28/68 线性坐标系中:纵坐标是放大增益,采
用对数单位;横坐标的间隔用频率对数
2. 频率响应的波特图
为单位,但用频率标识。(习惯)
采用对数坐标来描述幅频特性和相频特性的图形表示方法。
3. 波特图的近似描绘—渐近线描绘
有两个零点和三个极点
1) 幅频特性的渐近线描绘
A1 j ω  jω +ω 1 
例如某系统传递函数: A  j ω  
 jω +ω 2   jω +ω 3   jω +ω 4 
首先 将传递函数写成作图的标准形式
 ω  A=A11/(2 3 4 )
A jω 1  j 
 ω 1 
A  jω  
 ω  ω  ω 
1  j   1+j   1+j 
 ω 2  ω 3  ω 4 
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
波特图的近似描绘-幅频特性
28/68 线性坐标系中:纵坐标是放大增益,采
用对数单位;横坐标的间隔用频率对数
2. 频率响应的波特图
为单位,但用频率标识。(习惯)
采用对数坐标来描述幅频特性和相频特性的图形表示方法。
3. 波特图的近似描绘—渐近线描绘
有两个零点和三个极点
1) 幅频特性的渐近线描绘
A1 jω  jω+ω1 
例如某系统传递函数: A  jω   jω+ω
 2  jω+ω3  jω+ω4 

首先 将传递函数写成作图的标准形式
 ω A=A11/(2 3 4 )
A jω 1  j 
 ω 1 
A  jω  
 ω  ω  ω 
1  j  1+j  1+j 
 ω 2  ω3  ω 4 
29/68

幅频特性: ω
A  ω  1 j
ω1
A  jω  
ω ω ω
1 j  1 j  1 j
ω2 ω3 ω4

幅频特性用dB表示:
ω
20 lg A  jω   20 lg A  20 lg jω  20 lg 1  j
ω1
ω ω ω
20 lg 1  j  20 lg 1  j  20 lg 1  j
ω2 ω3 ω4
30/68
ω
(1) 一阶零点 (1  j )幅频特性的渐近线
ω1 2
ω  ω
20 lg A  jω   20 lg 1  j  20 lg 1   
ω1 ω
 1 

10 100 1000

1=10
31/68 2
20 lg A  jω  =20lg 1+j
ω  ω
ω1  20 lg 1   ω 
 1 
ω
20 lg A  jω   20 lg 1  j  dB 
ω1
20dB/dec

0.1 1 1 101 
10 100 1000
1=10 当<< 1时, 20lg|A(jω)| ≈20lg1=0dB
当>> 1时, 20lg|A(jω)| =20lg(/ 1)
当 = 1 时, 20lg|A(jω)| |=3dB

一阶零点渐近 当 ≤ 1 时,作0水平线;
线描绘方法 当 ≥1 时,作 +20dB/十倍频的斜线。
32/68
1 波特图
(2)一阶极点 ω 幅频特性的渐近线
1 j 一阶零点
ω1
20 lg A j dB
ω
20 lg A  jω   20 lg 1  j 20dB/dec
ω1
2
 ω
 20 lg 1    0.1 1 1 101 
ω
 1
-20dB/dec
当<< 1时,20lg|A(jω)|≈20lg1=0dB
当>> 1时, 20lg|A(jω)| = -20lg(/ 1) 一阶极点
当 = 1 时, 20lg|A(jω)| = -3dB

一阶极点渐近 当 ≤ 1 时,作0水平线;
线描绘方法 当 ≥1 时,作 -20dB/十倍频的斜线。
33/68
(3) 一阶零点 jω 幅频特性的渐近线
2 0 lg A  j ω   2 0 lg j ω 20 lg j dB 

是一条通过= 1,斜率为 20dB/dec


20dB/十倍频的斜线。
0.1 1 10 
1 -20dB/dec
(4) 一阶极点 幅频特性的渐近线

2 0 lg A  jω    2 0 lg j ω 是一条通过 = 1斜率为-20dB/十倍频的斜线。
小结:将零点与极点的影响累加起来,即可得到总的幅频特性。
经过一个零点,增益增加20dB/十倍频;
经过一个极点,增益减小20dB/十倍频。
例题:绘制放大电路增益函数的幅频特性和相频特性曲线。
34/68
105
A  jω  
ω ω ω
(1  j 4 )(1  j 6 )(1  j 7 )
10 10 10
解:1)A=105 20lgA=20lg105 =100dB;以100dB为起点.
2) 存在三个极点104、106和107,分别画出三个极点的幅
频特性的渐近线; 3)合成波形,进行斜率累加。
20lg|A(j)|(dB)
100 -20dB/dec
80
60 -40dB/dec
幅频特性的渐近线
40 -60dB/dec
20

102 103 104 105 106 107 108
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
35/68 2)相频特性的渐近线描绘 t g (0  )  0
 ω  t g (4 5  )  1
(1) 一阶零点  1  j  相频特性的渐近线
 ω ω1  t g (9 0  )  
 (ω )  arctan( )
ω1 分析:
当<<1时,()=0 °
当 = 1 时, () = 45°
当>>1时, () = 90°
( )

45/dec
0.1  1  1 10 1

1 10 100 1000
1=10
36/68
一阶零点
ω ( )
 (ω)  arctan( )
ω1 45/dec

0.1  1  1 10 1

-45/dec

当0.11 时,作00水平线; 一阶极点


用三条渐近线描绘 当101 时,作900水平线;
当0.11    101 时,作450/十倍频斜线。
1
(2) 一阶极点 ω 相频特性的渐近线
1 j
ω1
ω
 (ω)  arctan( )
ω1
37/68
(3) 一阶零点 jω 的渐近线相频特性
一阶零点
( )
ω
 (ω)  arctan( )
0
 arctan( )
0.1 1 10 
 90 

1 一阶极点
(4) 一阶极点 的渐近线相频特性

ω
 (ω)  arctan( )  arctan(  )  90
0
38/68
小结: 波特图渐近线的一般绘图步骤:
(1) 写出系统函数(或增益)标准式,找常数项;
(2) 画出各个零、极点的幅频特性和相频特性的渐近线;
(3) 合成波形。
例题:绘制放大电路增益函数的幅频特性和相频特性曲线。
105
A  jω  
ω ω ω
(1  j 4
)(1 + j 6
)(1 + j 7
)
10 10 10

解:1)A=105 20lgA=20lg105 =100dB;以100dB为起点.


2) 存在三个极点104、106和107,分别画出三个极点的幅
频特性和相频特性渐近线;
3)合成波形,进行斜率累加。
39/68
20lg|A(j)|(dB)
100 -20dB/dec
80 三个极点:
60 -40dB/dec 104、106和107
40 幅频特性的渐近线 -60dB/dec
20

102 103 104 105 106 107 108
()

-450
-900
-1350
-1800
-2250 相频特性的渐近线
-2700
40/68
例2:分析下面电压增益函数的中频电压增益、
2  1 0 6 jω ( jω + 10 )
A (j ω ) 
( j ω + 2 0 ) ( jω + 1 0 0 ) ( jω + 10 4 )

1) 属于低频、高频、还是高低频增益?
2)增益的中频电压增益是多少?
3)上限频率、下限频率和带宽。

解:1) 标准式
ω
jω (1 + j )
A (j ω )= 10
ω ω ω
(1 + j )(1 + j )(1 + j 4
)
20 100 10
41/68 jω (1 + j
ω
)
A (j ω )= 10
ω ω ω
(1 + j )(1 + j )(1 + j 4
)
20 100 10
常数项:A=1,20lgA=0dB,以0dB为起点。
存在两个零点0、10和三个极点20、100和104, 分别画
出零、极点的渐近线, 最后合成波形。
20lg|A(j)|(dB)
100
80 3dB
60 20dB/dec0dB/dec
40dB/dec M N -20dB/dec
40
20dB/dec
20

1 10 102 103 104 105 106
42/68
20lg|A(j)|(dB)
100
80 3dB
60 20dB/dec0dB/dec
40dB/dec M N -20dB/dec
40
20dB/dec
20

1 10 102 103 104 105 106

1)高低频增益。
2)增益的中频电压增益约44dB。
3)放大器的下限角频率l=102,下限低频截fl=102/2π=15.9Hz;
放大器的上限角频率h=104,上限低频截fh=104/2π=1592.4Hz。
放大电路的有效带宽(即3dB带宽) f3dB= fh- fl≈ 1576.5Hz
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
43/68
5.4 单管共射放大电路的频率响应
1. 单管共射放大电路的高频响应(高频增益函数)
分析放大器频响的重要指标:增益函数、带宽和高低频截频
+E
Rc
Rs
RL e
Us R L '=R C //R L
-E
C i  C b 'e  C b 'c (1  g m R L ')

gm R L '  1
Co  C b 'c  C b 'c
gm R L '
44/68 VT
复习: hie  rbb  rbe  rbb  (1  hfe )re  rbb  (1  hfe )
I EQ +E

1) 中频电压增益 Rc
Uo
Uo Uo Ui Rs
A Us    RL
Us Ui Us Ui
Us
 hfe R L ' h ie
  -E
h ie R s  h ie

 h fe R L '

R s  h ie

其中: R L '  R c // R L
45/68 复习: hie  rbb  rbe
2)高频增益和极点
利用戴维南定理,将等效电路进一步简化。

rb 'e rb 'e
U s'  Us  Us
R s  rb b '  rb 'e R s  hie
R s '  ( R s  r bb' )// r b' e

1  gm R L '
C i  C b'e  C b'c (1  g m R L '), Co  C b 'c  C b 'c
gm R L '
46/68 U
增益函数 A U s  jω   o

U S
gmU b e
U  
o
1
 jω C
R L
O

g m R L U b e
 
1  jω R LC O

U b e 
1 / jω C i
RS   1 / jω C i

US 
1
1  jω RS  C i

U S   U S   1  jω R S  C i U b  e 
Uo  g m RL
 A U s   jω   
U 
s  1  jω R L  C o  1  jω R S  C i 
47/68
A U s   jω 
 g m RL

 1  jω R L  C O  1  jω R S  C i 
1
极点:ω h1 
Rs'C i
1
ω h2 
RL 'C o

Uo  g m RL
 A U s   jω   
U  ω ω
s (1  j )(1 + j )
ω h1 ω h2
48/68  rb 'e
 U s'  R  h U s
Uo U o U s U s
AUs  jω     AUs   jω   s ie

Us U Us  Us 复 习  h f e  g m rb 'e
s

 g m RL ' rb'e  A U s   hfe R L '
   R s  h ie
ω ω R  hie
(1  j )(1  j ) s
ω h1 ω h2
hfe R L '

R s  hie

ω ω
(1  j )(1+ j )
ω h1 ω h2
AU s

ω ω
(1  j)(1+ j ) C i  C b'e  (1  g m R L ') C b'c
ω h1 ω h2
h R '  g m RL '  1
其中: AUs   fe L 是中频增益 C o  C b'c   C b'c
Rs  hie  g m RL '
49/68 AUs就是中 C i  C b'e  (1  g m R L ') C b'c
高频增益函数 频电压增益 g m RL '  1
AUs C o  C b'c   C b'c
AUs  jω   g m RL '
ω ω
(1  j )(1+j )
ω h1 ω h2
其中极点:
1 1
ω h1  ;ω h 2  ;
R s 'C i R L 'C o
hfe R L '
中 频 增 益 AU s   。
R s  hie
结论:
1.单级CE电路具有两个极点h1和h2(即每一个独立电容都构
成一个极点)。
2.两个极点h1和h2分别由输入、输出回路提供,其值为该回
路时间常数的倒数。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
50/68
3)高频截频 fh 和带宽 BW
AUs
高频增益函数 A U s  jω  
ω ω
(1  j )(1 + j )
高频截频 fh 的计算方法: ω h1 ω h2

方法一:作图法,fh 近似等于最小的高频极点的频率。
20lg|AUs| 20lg|Aus(ω)|
方法二:解析法,fh 用公式来计算。
AU s
由高频截频定义 A U s ( j h )  ωh1ωh2 ω
2
  ω 2    ω 2 
有: 1   h   1   h    2 1
  ω h1     ω h2   ωh 
2 2

忽略高次项,经整理近似得到  1   1 
  + 
ω
 h1  ω
 h2 
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
51/68
2. 单管共射放大电路的低频响应(了解)
低频增益函数
U 1 C 1 U 2 Ii U4 C2
hie Io
hfeIb
Is Rs RB U3 RL
RC
RE Ce

CE低频等效电路
分析方法:忽略结电容,保留耦合、旁路电容,据CE低频等效
电路,列写四个节点电流方程,在根据节点电压与Ib和Io之间的
关系,可以整理得
 a m  jω  ( jω  1 / R E C E )
2
I ( jω )
A Is ( jω )  o 
I s ( jω )  jω  1 /   R C  R L  C 2     jω  2
 jω a 2  a1 
52/68

 a m  jω  ( jω  1 / R E C E )
2
I ( jω )
AIs ( jω )  o 
I s ( jω )  jω  1 /   R C  R L  C 2     jω  2
 jω a 2  a1 
其中:
 Rs   Rs 
a m  h fe 
 R  h   R  R 
 s fe   s L 

1 h fe
a2  
C 1  R s  h fe  C E  R s  h fe 
R B  h fe R E
a1 
R B R E  R s  hie  C E C 1
53/68
例题:CE电路参数如下:
RC  2 k Ω ,
R S  R E  R L  1k Ω ,
RB  10 kΩ ,
C 1  5μ F, C 2  1 0μ F,
C E  1 0 0μ F,
h fe  4 4, hie  1 .4 k Ω
20 lg A  jω 

-1 2  jω   jω + 1 0 
2

A  jω  =
 jω + 1 8 .5   jω + 2 4 8 .5   jω + 3 3  

小结:低频响应是由电路中耦合电容和旁路电容引起的。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
54/68
5.5共集、共基电路的频率响应分析
1. 单管共集CC放大电路的高频响应

Π模型
共集放大电路

共集放大电路高频等效电路
55/68

共集放大电路高频等效电路
1) Cb′c、 Cb′e对输入回路的影响
Ø Cb′c值很小,约零点几—几皮法,无密勒倍增效应,对高频影
响很小。
Ø Cb′e跨界在输入和输入端,利用密勒定理,在输入端的等效电
容为 Cb′e(1- AU ),由于共集CC放大电路的电压增益AU略小
于1 ,等效电容非常小,近似为零,对高频响应的影响很小。
1
Ø 小结:输入回路Ci很小。输入回路极点很大 ω h 1  。
R sC i
56/68

共集放大电路高频等效电路

2) Cb′c、 Cb′e对输出回路的影响
Ø Cb′c对输出回路没有影响。
Ø Cb′e在输出端的等效电容为 C o  AU -1 C b e 非常小,对高
频影响几乎可以忽略。 AU
57/68

共集放大电路高频等效电路

3) CL的影响: 由于RL′电阻非常小(也可理解为CC组态放
1
大电路输出电阻非常小)输出回路 ω h2 
 很高,所以说
RL C L
CC电路有很强承受容性负载的能力。
小结
(1)CC电路的上限频率很高,可以接近于管子的特征频率fT。
(2) CC电路有很强承受容性负载的能力。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
58/68
2. 单管共基CB放大电路的高频响应

Π模型

CB放大电路

CB放大电路高频等效电路
59/68

RS
2)Cb′c、 Cb′e对输入回路的影响
为了工程分析方便,忽略rb′b ,观察输入回路,此时Cb′c与输
入回路无关。输入回路电容就是Cb′e ,无密勒倍增效应。根据
输入回路,有
1 1
ω h1  = ,Cb′e很小, RS′也很小,ωh1很大。
R s 'C i R s 'C b 'e
60/68

2) Cb′c、 Cb′e对输出回路的影响
Cb′e与输出回路无关。 Cb′c对于输出回路的电容就是Cb′c ,无
密勒倍增效应。根据输出回路,有
1
ω h2 
 R C // R L   C b 'c  C L 
61/68

1
ωh2 
 RC // RL  Cb'c  CL 

3) CL的影响
CB电路输出回路电阻为RL//RC,与CE电路相当,但是比CC
组态输出回路电阻大很多,所以说CB电路的承受容性负载
的能力比较弱,但是如果是负载是纯电阻, CL =0,由于Cb′c
很小,CB电路的高频特性非常好。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
62/68 5.6 多级放大电路的频响
1.高频增益函数截频分析 A—中频增益
A
A  jω  
ω ω ω
(1  j )(1  j )  (1  j )
p1 p2 pn
(1)波特图渐近线分析法:当只有n个高频极点,高频截频近似
为最小的高频极点。
(2)解析法:按照定义,计算过程如下:
A A

由   h   
2
 h  
2 2
1      1    
 p
 1    p
 n  
1
ωh 
1 1 1
   
p1 2 p2 2 pn 2
63/68
2. 低频增益函数分析
A (jω ) n A
A  jω   
ω ω ω p p p
(1+j )(1+j )  (1+j ) (1  1 )(1  2 )  (1  n )
p1 p2 pn jω jω jω

(1)波特图渐近线分析法::当零点和极点的个数相同,低频
截频近似为最大的低频极点。
(2)解析法:
  p1   
2
 pn  
2

由定义 1      1      2
  ω l     ω l  

ωl  p12  p 2 2   p n 2
64/68
3. 高低频增益函数分析
jω jω jω
A (1 + ) (1 + )  (1 + )
z1 z2 zm
A  jω  
jω jω jω
(1 + )(1 +  )  (1 + )
p1 p2 pn

当零点的个数比极点的个数少即m<n时,波特图渐近线幅频特
性如下
65/68

几点结论
1.放大电路的耦合电容和旁路电容引起是引起低频响应的主要
原因;
2.三极管的结电容和分布电容是引起放大电路高频响应的主要
原因;

3.衡量放大电路性能的一项重要指标——增益带宽积;
66/68
小 结
 放大器的增益与频率有关,称幅频特性;放大器的相移也
与频率有关,称相频特性,两者统称为频率响应。
高频响应—由晶体管的结电容引起的。
低频响应—由电路中耦合电容和旁路电容引起的。
 分析放大器的高频响应借助于晶体管的高频模型即混合
模型,具体分析步骤:
1. 画出放大器的交流通路;
2.用混合模型代替晶体管画出放大器的高频等效电路;
3.求出中频增益;
4.根据高频等效电路确定高频极点;
5.写出高频传输函数,确定高频截频及带宽。
67/68

重点难点
重点:频响的分析方法(主要是高频截频的分析方法)。
难点:波特图的绘制。
68/68

第五章结束
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
第五章小结
《放大电路的频率响应》

主讲:黄亮
65/68

 放大器的增益与频率有关,称幅频特性;放大器的相移也
与频率有关,称相频特性,两者统称为频率响应。
 分析放大器的高频响应借助于晶体管的高频模型即混合
模型,具体分析步骤如下:
1. 画出放大器的交流通路;
2. 用混合模型代替晶体管画出放大器的高频等效电路;
3. 计算中频增益;
4. 写出高频传输函数,确定高频极点和带宽。
66/68

几点结论
1. 放大电路的耦合电容和旁路电容引起是引起低频响应的主要原因。

2. 晶体管的结电容和分布电容是引起放大电路高频响应的主要原因。

3. 衡量放大电路性能的重要指标——增益带宽积(单位增益带宽)。

4. 一般情况下,多级放大电路级联后,增益提高了,通频带变窄了。

5. 在进行放大电路频率响应分析时,可以使用“密勒定理”将跨接
在输入回路与输出回路之间的阻抗Z分别等效为输入端阻抗Z1和输出
端阻抗Z2。
67/68
6. 由于存在“密勒倍增效应”,三极管放大电路“共射组
态”的高频特性较差。
7. 三极管放大电路“共基组态”的高频特性最好。
8. fα > fT >> fβ
9. BW = fH ─ fL

重点难点
1. 频率响应的分析方法(主要是高频截频的分析方法)。
2. 波特图的绘制。
68/68
绘制波特图的步骤:
1. 将传输函数整理为标准式。
 
A j  1  j 
 1 
A j  
     
 1  j  1  j  1  j 
  2   3  4 

2. 绘制幅频特性渐近线(零点、极点和微分因子对 幅频特
性的影响)。
3. 绘制相频特性渐近线(零点、极点和微分因子对相频特
性的影响)。
习题1:已知电压传输函数如下,请绘出幅频特性波特图。
jω  1013
AU  jω  
  
102  jω 105  jω 106  jω 
答案:首先将传输函数由非标准形式变为标准形式:

AU  jω  
 ω  ω  ω 
   
102  105  106 
1 j 1 j 1 j
  
习题2:已知电压传输函数如下,请绘出相频特性波特图。
1014
AU  jω  
 jω  104  jω  105 
答案:首先将传输函数由非标准形式变为标准形式:
105
AU  jω  
 ω  ω 
 1  j 4 
1  j 5 
 10  10 
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
1/68
课程主要内容
1. 绪论
2. 晶体二极管及应用电路
3. 晶体三极管及基本放大电路
4. 场效应管及基本放大电路
5. 放大电路的频率响应(4学时)
6. 负反馈放大电路
7. 双极型模拟集成电路
8. 双般型模拟集成电路的分析与应用
9. MOS模拟集成电路(自学)
10.直流稳压电源电路
2/68
主讲:刘颖

第五章 放大电路的频率响应
问题:
1. 什么是电路的频率响应?
2. 工程上如何绘制频率响应曲线?
3. 三极管的高频模型与低频模型(h参数模型
)有何不同?
4.耦合电容、旁路电容、三极管结电容对电路
频率特性有怎样的影响?
3/68

第四章 放大电路的频率响应

5.1 频率响应的基本概念
5.2 晶体三极管的高频模型
5.3 频率响应的分析方法
5.4 单管共射放大电路的频率响应
5.5 共集、共基放大电路的频率响应
5.6 多级放大电路的频响
4/68
5.1 频率响应的基本概念
5.1.1. 放大电路频率响应概念
概念:放大电路增益随着频
率变化而变化的特性称为频
率响应特性,可表示为
A U  jf   A U  jf  e j f 
其中:
A U  jf  称为增益的幅频特性 CE组态基本放大电路
  f  称为增益的相频特性
5/68
说明:放大电路的频率响应特性是增益幅频特性和相频特性统称。

|A U(jf)|
中频段:AU=常数
低频段 AU
AU 下降
高频段
增益幅度|A U(jf)∣与频率f
的关系称为幅频特性。 f
幅频特性曲线
中频段:相位差  =常数 (f)
低频段 f
 改变 -90°
高频段 -180°
增益相位(jf)与频率f -270°
的关系称为称为相频特性。 相频特性曲线
6/68
 中频增益:中间频率段的增益
幅度频率失真:幅频特性偏离中频值的现象
 频率失真
相位频率失真:相频特性偏离中频值的现象
A(jf)
AU (f)
0.707AU f
-90°
-180°
-270°
f
fL fh
幅频特性曲线 相频特性曲线
7/68
5.1.2. 放大电路的带宽
上限截止频fh、下限截止频fL定义:增益下降到中频增益的
0.707倍(即3dB处)所对应的频率。
A(jf) AU
20 lg  20 lg 0.707 AU
AU 2
0.707AU
 20 lg AU  3( dB )

f
fL fh
放大电路的带宽:也称通频带、有效带宽,带宽BW=fh-fL
例如:电信网中语音信号有效带宽范围为300Hz—3400Hz
保真音频放大电路的频带范围为20Hz----20kHz
视频压缩信号H.264, 约2MHz
8/68
5.1.3 产生频率失真的原因
原因(1)放大电路中存在电抗性元件
(2)三极管的电流增益是随频率变化的函数
说明:(1)耦合电容、旁路电容、分布电容、变压器、分布
电感等为电抗性原件其特性与频率有关。
(2)在研究频率特性时,三极管的低频小信号模型不
再适用,而要采用高频小信号模型。
结论:
影响低频增益原因:主要是耦合电容和旁路电容
影响高频增益原因:主要是晶体管的结电容及引线等杂散电容
9/68
5.2 晶体三极管的高频模型
1. 晶体三极管高频物理模型-混合模型
c
rbb’ :基区的体电阻,b′是假想的基区内
的一个等效集中点。对高频影响很大,数
集电区
rbc 值十几~几十欧姆。
Cbc c
rbb
rb′e和Cb′e:发射结等效交流电阻和结电容。
b
b b gmU be rce
rb′c和Cb′c :集电结等效交流电阻和结电容。
e
rbe Cbe
发射区 gmUb′e :受控电流源,Ub′e对输出电流的控
制作用。gm是跨导,gm=Ic/Ub′e。
e
双极型三极管物理模型 rce :受控电流源内阻,很大一般可忽略。
10/68 如果忽略
rce和rb’c
ib rbb b
Cbc ic
c
c + + r +
be
U be U be gmU be
Cbe U ce

集电区 - - -
rbc Cbc
rbb
e
b b gmU be rce 三极管混合模型
rbe Cbe
发射区 说明:
Cb′c一般为几皮法,可查手册;
Cb′e可通过查手册计算。
e
双极型三极管物理模型
11/68
1)共射截频 f  Ib rbb b
Cbc Ic
c
Ic + + r +
定义:β  U be
be
U be gmU be
Ib U ce  0
Cbe U ce

- - -
 1  e
I b  U b e  +jω  Cb'c  Cb'e  
 b e
r  Ib Ic
rbb b
I c  gmU b e b c
+ + rbe gmU be
gm rb'e U be U be
Cbe
β 
Cbc
1  jω r b'e (Cb'c  Cb'e ) - -
e
ΔUce  0 即等效RL'  0时
12/68
gmU b'e
受控电流源 Ib  gmUb'e    gm rb'e
Ib
gm rb'e βo
β  
1  jω r b'e (Cb'c  Cb'e ) f
1 j

1
其中f β 
2π r b'e (Cb'c  Cb'e )   jf  β0
o 2
βo  gm rb'e

β0
当f  f  时, 
2 f
o f f
问题: β 与频率有关, gm是否随频率变化?
13/68
 Uube  ube
复习知识点: 发射结(PN结):iE  IS  e T  1   ISe UT ,
 
ube
 
diE IS iE dube U T 26(mV)
 e UT
  re   
dube U T UT diE I EQ I EQ (mA)
三极管CE组态输入电阻:
VT
hie  rbb  (1   )re  rbb  (1   ) =rbb +rbe  rbe  (1   )re
I EQ
c
其中:
 re为发射结正向电阻 r
rbb' c
 β是晶体三极管共射电流增益; b b'
 rb′e为共射组态三极管发射结电阻,与 re
β有关。
e
14/68 VT VT
复习:hie  rbb  (1  hfe )re  rbb  (1  hfe ) , rbe  (1   )re , re 
I EQ I EQ

  I b  gmU b'e


 rb'e   1     re
 c

  1 I EQ
 gm    
rb'e (1   ) re re V T
晶体三极管h参数模型
小结
(1)跨导gm反映输入电压对输出电流的控制关系,与频率无
关。
(2)跨导gm是与频率无关的发射极电流IEQ和温度电压当量
VT之比,与温度有关。
15/68
2)特征频率fT 定义:当 β=1时的频率称为fT。
β0
  当f >>f时
f
1 j
fβ   jf 
β0 fβ
    βo o
f f
1 j

β f  βo fβ (f >>f ) 1
f
o fT
当 f = fT 时,  =1

 fT  βo fβ  f  f 
β
16/68

小结:
1. 在f >>f时,   f 保持常数,   f 称为 增益带宽积。
说明工作频率增加一倍,  就下降一倍。
2. 特征频率fT等于增益带宽积。
3. fT较容易测量,只要测量出f >>f时的 值,即可计算出 fT。

说明:该结论同样适应于理想运算放大器。
17/68
特征频率fT计算
  jf 
βo o
共射放大电路β 
f
1 j

fT  βo fβ  f  f 
β 1
f
o fT
  0 =gm rb'e

 1
 f β  2π r (C  C )
 b'e b'c b'e

βo gm
 fT    fT
2π r b'e (Cb'c  Cb'e ) 2π(Cb'c  Cb'e )
18/68 1
复习:f β 
3)共基截频 f α 2π r b'e (Cb'c  Cb'e )
Ic β
定义  
Ie U ce  0
1 β
0
将β  代入上式,有
f
1 j
f 0
0 1 0 0
   
f f f
1 0  j 1 j 1 j
f 1   0  f f
o
其中 o = , f   1+ o  fβ
1+ o

0
当f  f 时, 
2
19/68
晶体三极管的高频截频小结
o
1. 共射截频f :当  下降到 2 时对应的频率,  o 为零频
共射电流放大倍数。
2. 特征频率f T: 当 | |=1 时对应的频率。
0
3. 共基截频f :当  下降到 时对应的频率,  0为零频
2
共基电流放大倍数。  o 、  (dB)

三种截频的关系:

f   f T  f  1

 1+ o  f β)
(f β 、 o f β 、 O f
f fT f
20/68
复习:
ib rbb b
Cbc ic
c
+ + r +
be
U be U be gmU be
Cbe U ce

- - -
e
晶体三极管混合模型

问题:能否将连接输入、输出回路的结电容Cb′c分别等效到
输入回路电容和输出回路电容,从而简化电路分析?
21/68
2.单向近似模型
设放大倍数K=U2/U1
1) 密勒原理
(1)求Z1 U2
U1 (1  )
(U1  U 2 ) U1 U1
I1 Z I2 I1   
U1 U2 Z Z Z
1 K
U Z
Z1  1 Z1 
I1 1 K

K
I1 I2 (2)同理求得Z2 Z2  Z
K 1

U1 Z1 Z2 U2 若Z为容抗, Z=1/(jC),则有
K 1
C1  (1  K )C , C2  C C
K
22/68
Ib
c Ic
Cbc
rbb b
+ Uo
+ + r K
be gmU be
U be
U be U be RL Uo
Cbe
- -
-
e
共射放大电路混合模型 Ib rbb b Ic
c
b +
+ + rbe gmU be
Ci  Cb'e +(1  K )Cb'c U be U be RL Uo
Ci Co
K 1 - -
Co  Cb'c  Cb'c
K -
e
说明:Cb'c等效到输入端的电容大了许多倍,其影响不可忽视,此现象称为
共射电路的密勒倍增效应。
23/68
Uo rb'e   1     re
K
U b'e
 gmU b'e 
1 Ib rbb b Ic
1 c
 jωCo b +

RL + + rbe g mU be
U b'e
U be U b e
Ci
RL Uo
Co
 gm RL - -
 -
1  jωRL Co e
共射放大电路混合模型
在通频带, K   gm RL
24/68 K 1 U
复习:C1  (1  K )C , C2  C  C K  o   g m RL '
K U b'e
Ib
c Ic
Cbc
Ci  Cb'e  (1  gm RL')Cb'c
rbb b
+
+ + r
 gm RL'  1 U be
be gmU be RL
Co   Cb'c  C U be
Cbe
Uo
 gm RL' b'c
- -
-
e
注意:利用密勒定理计算等
效输入电容Ci和输出电容Co
Ib rbb r  1b h   r Ic
b' e c e
fe
时,其中的电压增益K是包 b + +
+ be r gmU be
括Cb’c的,而实际工程计算K
U be U be RL U o
时常忽略Cb’c的存在,因此 C C i o

分析结果是工程近似结果。 - -
-
e
25/68
5.3 频率响应的分析方法
拉氏变换
1. 线性系统的分析方法 时域 复频域
拉氏逆变换
自变量:t 自变量:s=+j
拉氏域线性系统传输函数定义:
U O (s) (s  z1 )( s z2 ) (s  zm )
H (s)   H0
U i (s) (s  p1 )(s  p2 ) (s  pn )
s s s
(1  )(1  ) (1  )
z1 z2 zm
 H 0'  标准式
s s s
(1  )(1  ) (1  )
p1 p2 pn
其中:z1、z2、…、zm—零点
p1、p2、…、pn—极点
26/68
付氏变换
线性系统的分析方法 时域 频域
付氏逆变换
自变量:t 自变量:j

频域线性系统传输函数定义:
U O (jω) (jω  z1 )(jω  z2 ) (jω  zm )
H (jω)   H0
U i (jω) (jω  p1 )(jω  p2 ) (jω  pn )

系统传输函数标准式:
ω ω ω
(1-j)(1-j ) (1-j )
U (jω) z1 z2 zm
H (jω)  O  H1
U i (jω) ω ω ω
(1-j )(1-j ) (1-j )
p1 p2 pn
27/68

系统传输函数标准式:
ω ω ω
(1-j )(1-j ) (1-j )
U (jω) z1 z2 zm
H (jω)  O  H1
U i (jω) ω ω ω
(1-j )(1-j ) (1-j )
p1 p2 pn

 m
ω 
2

 1    

i 1
  zi   
幅频特性: H (jω)  H
n   ω  
1 2

 1  
j 1 
 

pj  

  
m
-ωi n -ω j
     arctan
相频特性:   arctan
i 1 zi i 1 pj
28/68 线性坐标系中:纵坐标是放大增益,采
用对数单位;横坐标的间隔用频率对数
2. 频率响应的波特图
为单位,但用频率标识。(习惯)
采用对数坐标来描述幅频特性和相频特性的图形表示方法。
3. 波特图的近似描绘—渐近线描绘
有两个零点和三个极点
1) 幅频特性的渐近线描绘
A1 jω  jω+ω1 
例如某系统传递函数: A  jω   jω+ω
 2  jω+ω3  jω+ω4 

首先 将传递函数写成作图的标准形式
 ω A=A11/(2 3 4 )
A jω 1  j 
 ω 1 
A  jω  
 ω  ω  ω 
1  j  1+j  1+j 
 ω 2  ω3  ω 4 
29/68

幅频特性: ω
A ω  1 j
ω1
A  jω  
ω ω ω
1 j  1 j  1 j
ω2 ω3 ω4

幅频特性用dB表示:
ω
20 lg A  jω   20 lg A  20 lg jω  20 lg 1  j
ω1
ω ω ω
20 lg 1  j  20 lg 1  j  20 lg 1  j
ω2 ω3 ω4
30/68
ω
(1) 一阶零点 (1  j )幅频特性的渐近线
ω1 2
ω  ω
20 lg A  jω   20 lg 1  j  20 lg 1   
ω1 ω
 1 

10 100 1000

1=10
31/68 2
20 lg A  jω  =20lg 1+j
ω  ω
ω1  20 lg 1   ω 
 1 
ω
20 lg A  jω   20 lg 1  j  dB 
ω1
20dB/dec

0.1 1 1 101 
10 100 1000
1=10 当<< 1时, 20lg|A(jω)| ≈20lg1=0dB
当>> 1时, 20lg|A(jω)| =20lg(/ 1)
当 = 1 时, 20lg|A(jω)| |=3dB

一阶零点渐近 当 ≤ 1 时,作0水平线;
线描绘方法 当 ≥1 时,作 +20dB/十倍频的斜线。
32/68
1 波特图
(2)一阶极点 ω 幅频特性的渐近线
1 j 一阶零点
ω1
20 lg A j dB
ω
20 lg A  jω   20 lg 1  j 20dB/dec
ω1
2
 ω
 20 lg 1    0.1 1 1 101 
ω
 1
-20dB/dec
当<< 1时,20lg|A(jω)|≈20lg1=0dB
当>> 1时, 20lg|A(jω)| = -20lg(/ 1) 一阶极点
当 = 1 时, 20lg|A(jω)| = -3dB

一阶极点渐近 当 ≤ 1 时,作0水平线;
线描绘方法 当 ≥1 时,作 -20dB/十倍频的斜线。
33/68
(3) 一阶零点 jω 幅频特性的渐近线
2 0 lg A  j ω   2 0 lg j ω 20 lg j dB 

是一条通过= 1,斜率为 20dB/dec


20dB/十倍频的斜线。
0.1 1 10 
1 -20dB/dec
(4) 一阶极点 幅频特性的渐近线

2 0 lg A  jω    2 0 lg j ω 是一条通过 = 1斜率为-20dB/十倍频的斜线。
小结:将零点与极点的影响累加起来,即可得到总的幅频特性。
经过一个零点,增益增加20dB/十倍频;
经过一个极点,增益减小20dB/十倍频。
例题:绘制放大电路增益函数的幅频特性和相频特性曲线。
34/68
105
A  jω  
ω ω ω
(1  j 4 )(1  j 6 )(1  j 7 )
10 10 10
解:1)A=105 20lgA=20lg105 =100dB;以100dB为起点.
2) 存在三个极点104、106和107,分别画出三个极点的幅
频特性的渐近线; 3)合成波形,进行斜率累加。
20lg|A(j)|(dB)
100 -20dB/dec
80
60 -40dB/dec
幅频特性的渐近线
40 -60dB/dec
20

102 103 104 105 106 107 108
35/68 2)相频特性的渐近线描绘 t g(0 )  0
 ω  t g(45 )  1
(1) 一阶零点  1  j  相频特性的渐近线
 ω ω1  t g(90 )  
 (ω)  arctan( ) 分析:
ω1
当<<1时,()=0 °
当 = 1 时, () = 45°
当>>1时, () = 90°
( )

45/dec
0.1  1 1 10
1

1 10 100 1000
1=10
36/68
一阶零点
ω ( )
 (ω )  arctan( )
ω1 45/dec

0.1 1  1 10
1

-45/dec

当0.11 时,作00水平线; 一阶极点


用三条渐近线描绘 当101 时,作90 水平线;0

当0.11    101 时,作450/十倍频斜线。


1
(2) 一阶极点 ω 相频特性的渐近线
1 j
ω1
ω
 (ω)   arctan( )
ω1
37/68
(3) 一阶零点 jω 的渐近线相频特性
(  ) 一阶零点
ω
 (ω)  arctan( )
0
 arctan(  )
0.1 1 10 
 90

1 一阶极点
(4) 一阶极点 的渐近线相频特性

ω
 (ω )  a r c ta n ( )  a r c ta n (   )   9 0
0
38/68
小结: 波特图渐近线的一般绘图步骤:
(1) 写出系统函数(或增益)标准式,找常数项;
(2) 画出各个零、极点的幅频特性和相频特性的渐近线;
(3) 合成波形。
例题:绘制放大电路增益函数的幅频特性和相频特性曲线。
105
A  jω  
ω ω ω
(1  j 4 )(1+j 6 )(1+j 7 )
10 10 10

解:1)A=105 20lgA=20lg105 =100dB;以100dB为起点.


2) 存在三个极点104、106和107,分别画出三个极点的幅
频特性和相频特性渐近线;
3)合成波形,进行斜率累加。
39/68
20lg|A(j)|(dB)
100 -20dB/dec
80 三个极点:
60 -40dB/dec 104、106和107
40 幅频特性的渐近线 -60dB/dec
20

102 103 104 105 106 107 108
()

-450
-900
-1350
-1800
-2250 相频特性的渐近线
-2700
43/68
5.4 单管共射放大电路的频率响应
1. 单管共射放大电路的高频响应(高频增益函数)
分析放大器频响的重要指标:增益函数、带宽和高低频截频
Ib rbb
c Ic
Cbc
+E b
Rc RS
+ r +
be
U be g mU be RL Uo
Rs +U Cbe
-
S

RL - e -
Us e R L '=R C //R L
-E Ib rbb b Ic
c
b
+ + +
Ci  Cb'e  Cb'c (1  gm R L ') RS
rbe g mU be
U be RL Uo
+U U
gm R L '  1 Ci
i
Co
Co  Cb'c  Cb'c
S
- - -
gm R L ' -
e
44/68 VT
复习:hie  rbb  rbe  rbb  (1  hfe )re  rbb  (1  hfe )
I EQ +E

1) 中频电压增益 Rc
Uo
Uo Uo Ui Rs
AUs    RL
Us Ui Us Ui
Us
 hfe RL ' hie
  -E
hie Rs  hie
Ib rbb b Ic
 hfe RL ' c
 b +
Rs  hie RS
+ rbe g mU be
RL Uo
+U Ui Ci Co
其中: RL '  Rc //RL S
- -
-
hie e
45/68 复习:hie  rbb  rbe
2)高频增益和极点
利用戴维南定理,将等效电路进一步简化。
Ib rbb b c Ic b c Ic
b + +
rbe g mU be RS g mU be
RS
RL Uo RL Uo
+ Ci Co +U  C i Co
US
-
S
-
- -
e e
rb'e rb'e
U s'  Us  Us
Rs  rbb'  rb'e Rs  hie
Rs '  ( Rs  rbb' )//rb'e

1  gm R L '
Ci  Cb'e  Cb'c (1  gm R L '), Co  Cb'c  Cb'c
gm R L '
46/68 Uo
增益函数 AUs  jω   b c Ic
U  S
+
g m U b e
Uo   RS g mU be
1
 jωC O RL Uo
RL +U  C i
Co
-
S

gm RLU b e -
 
1  jωR  C L O
e
U be 
1 / jωCi
RS  1 / jωCi

US 
1
1  jωRS Ci

U S  U S  1  jωRS Ci U be 
Uo  gm RL
 AUs  jω   
U 
s  1  jωRL Co  1  jωRS  C i 
47/68 Ic
b c
AUs  jω  +
 gm RL RS g mU be
 RL
  
Uo
+U  C
1  jωRL CO 1  jωRS  C i i
Co
-
S

-
1 e
极点:ω h1 
Rs'C i
1
ω h2 
RL'C o

Uo  gm RL
 AUs  jω   
 ω ω
U s (1  j )(1+j )
ωh1 ωh2
48/68  rb'e
U s '  R  h U s
Uo U o U s U s
AUs  jω     AUs  jω  

s ie

Us U Us Us 复习  hfe  gm rb'e


s
 h R '
 gm RL ' rb'e  AUs   fe L
  
 Rs  hie
ω ω R  hie
(1  j )(1  j ) s
ωh1 ωh2 Ib Ic
b rbb
b c
h R '
+ + +
 fe L rbe
Rs  hie RS g mU be
 U be RL Uo
ω ω +U U i Ci Co
(1  j )(1+j ) S

ω h1 ωh2 - - -
-

AUs e
ω ω
(1  j
)(1+j ) C i  Cb'e  (1  gm RL ')Cb'c
ω h1 ωh2
 gm RL '  1
h R'
其中:AUs   fe L 是中频增益 Co  Cb'c   Cb'c
Rs  hie  gm RL '
49/68 AUs就是中 Ci  Cb'e  (1  gm RL ')Cb'c
高频增益函数 频电压增益 gm RL '  1
AUs Co  Cb'c   Cb'c
AUs  jω   gm RL '
ω ω
(1  j )(1+j ) Ic
ωh1 ωh2 b c
其中极点: +
1 1 RS g mU be
ωh1  ;ωh2  ;
Rs 'Ci RL 'Co RL Uo
+U  C i
Co
hfe RL ' -
S

中频增益AUs   。
Rs  hie -
e
结论:
1.单级CE电路具有两个极点h1和h2(即每一个独立电容都构
成一个极点)。
2.两个极点h1和h2分别由输入、输出回路提供,其值为该回
路时间常数的倒数。
50/68
3)高频截频 fh 和带宽 BW
AUs
高频增益函数 AUs  jω   ω ω
(1  j )(1+j )
高频截频 fh 的计算方法: ωh1 ωh2

方法一:作图法,fh 近似等于最小的高频极点的频率。
20lg|AUs| 20lg|Aus(ω)|
方法二:解析法,fh 用公式来计算。
AUs
由高频截频定义 AUs ( jh )  ωh1 ωh2 ω
2
  ω 2    ω 2 
有: 1   h   1   h    2 1
  ωh1     ωh2   ωh 
2 2
 1   1 
忽略高次项,经整理近似得到   + 
ω ω
 h1   h2 
51/68
2. 单管共射放大电路的低频响应(了解)
低频增益函数
U1 C1 U2 Ii U4 C2
hie Io
hfeIb
Is Rs RB U3 RL
RC
RE Ce

CE低频等效电路
分析方法:忽略结电容,保留耦合、旁路电容,据CE低频等效
电路,列写四个节点电流方程,在根据节点电压与Ib和Io之间的
关系,可以整理得
am  jω  ( jω  1/ RE CE )
2
I o ( jω)
AIs ( jω)  
I s ( jω) 
jω  1/  RC  RL  C2   jω   jωa2  a1
2

53/68
例题:CE电路参数如下:
RC  2kΩ,
RS  RE  RL  1kΩ,
RB  10kΩ,
C1  5μF, C2  10μF,
CE  100μF,
h fe  44, hie  1.4kΩ
20 lg A  jω 

-12  jω  jω+10 
2

A  jω  =
 jω+18.5  jω+248.5   jω+33  

小结:低频响应是由电路中耦合电容和旁路电容引起的。
54/68
5.5共集、共基电路的频率响应分析
1. 单管共集CC放大电路的高频响应
+VCC
Ib rbb b
Cbc Ic
RB
b c
C1 + +
+
T1 C2 rbe gmU be
RS + +
U be
Cbe Uo

+ RE RL CL uo
- -
us
e
-
-
Cb'e
Π 模型
rb'b
共集放大电路 +b b' e
Rs +
RB Cb'c
+ rb'e RL Uo
us RE
- gmUb'e -
共集放大电路高频等效电路 c
Cb'e Ic
55/68
+b
rb'b
b' e b c
Rs + +
Cb'c RS g mU be
+ RB rb'e RL Uo RL Uo
+U  C i Co
us RE
-
S
gmUb'e
- - -
c e
共集放大电路高频等效电路
1) Cb′c、 Cb′e对输入回路的影响
 Cb′c值很小,约零点几—几皮法,无密勒倍增效应,对高频影
响很小。
 Cb′e跨界在输入和输入端,利用密勒定理,在输入端的等效电
容为 Cb′e(1- AU ),由于共集CC放大电路的电压增益AU略小
于1 ,等效电容非常小,近似为零,对高频响应的影响很小。
1
 小结:输入回路Ci很小。输入回路极点很大 ωh1  。
RsC i
56/68 rb'b Cb'e
Ic
+b b' e b e
Rs + +
Cb'c RS gmU be
+ RB rb'e RL Uo Uo
RE +U  C RL CL
us gmUb'e
i

-
S

- -
c c -
共集放大电路高频等效电路

2) Cb′c、 Cb′e对输出回路的影响
 Cb′c对输出回路没有影响。
A -1
 Cb′e在输出端的等效电容为 Co  U Cbe 非常小,对高
频影响几乎可以忽略。 AU
57/68 Cb'e
Ic
+b
rb'b
b' e b e
Rs + +
CL RS gmU be
RB Cb'c
+ rb'e RL Uo Uo
+U  C RL CL
us gmUb'e RE i

-
S

- -
c c -
共集放大电路高频等效电路
3) CL的影响: 由于RL′电阻非常小(也可理解为CC组态放
1
大电路输出电阻非常小)输出回路 ωh2  很高,所以说

RL CL
CC电路有很强承受容性负载的能力。
小结
(1)CC电路的上限频率很高,可以接近于管子的特征频率fT。
(2) CC电路有很强承受容性负载的能力。
58/68
2. 单管共基CB放大电路的高频响应
+VCC Ib rbb b
Cbc Ic
b c
RB1
RC + +
+ C2 U be rbe gmU be
T1 Cbe U ce
+
C3 - -
+ + e
RL
uo
Π 模型
C1 Rs CL rb'b Cb'c
RB2 RE b b' c
+
us Cb'e
- rb'e +
-
gmUb'e
CL
CB放大电路 e
RC RL uo
Rs
RE
+
CB放大电路高频等效电路 us
- -
Cb'c c
59/68
b
rb'b
b' c
Cb'e gmUb'e +
rb'e +
gmUb'e Cb'c RC CL
e
e CL RL uo
RC RL uo
Rs
Rs Cb'e
RE rb'e RE +
+ us
us -
- -
-
RS b
b'

2)Cb′c、 Cb′e对输入回路的影响
为了工程分析方便,忽略rb′b ,观察输入回路,此时Cb′c与输
入回路无关。输入回路电容就是Cb′e ,无密勒倍增效应。根
据输入回路,有
1 1
ωh1  = ,Cb′e很小, RS′也很小,ωh1很大。
Rs 'Ci Rs 'Cb'e
60/68 c

gmUb'e +

Cb'c RC CL
e
rb'e RL uo
RE Rs
Cb'e
+
us
- -
b'
b

2) Cb′c、 Cb′e对输出回路的影响
Cb′e与输出回路无关。 Cb′c对于输出回路的电容就是Cb′c ,无
密勒倍增效应。根据输出回路,有
1
ωh2 
 RC // RL  Cb'c  CL 
61/68 c

gmUb'e +
1
ωh2  Cb'c RC CL
 RC // RL  Cb'c  CL 
e
rb'e RL uo
RE Rs
Cb'e
+
us
- -
b'
b

3) CL的影响
CB电路输出回路电阻为RL//RC,与CE电路相当,但是比CC
组态输出回路电阻大很多,所以说CB电路的承受容性负载
的能力比较弱,但是如果是负载是纯电阻, CL =0,由于Cb′c
很小,CB电路的高频特性非常好。
62/68
5.6 多级放大电路的频响
1.高频增益函数截频分析 A—中频增益
A
A  jω  
ω ω ω
(1  j )(1  j ) (1  j )
p1 p2 pn
(1)波特图渐近线分析法:当只有n个高频极点,高频截频近似
为最小的高频极点。
(2)解析法:按照定义,计算过程如下:
20 lg A  jω 
A A

由   h  
2
  h  
2 2
1     1    
  1  
p   n  
p
1
ωh 
1 1 1
2
 2
  0 ωh ω
p1 p2 pn 2
63/68
2. 低频增益函数分析
A(jω)n A
A  jω   
ω ω ω p p pn
(1+j )(1+j ) (1+j ) (1  1 )(1  2 ) (1  )
p1 p2 pn jω jω jω

(1)波特图渐近线分析法::当零点和极点的个数相同,低频
截频近似为最大的低频极点。
(2)解析法: 20 lg A  jω 

  p1  
2
  pn  
2

由定义 1     1    2
  ω l     ω l  

ωl  p12  p2 2  pn 2 0 ωl ω
64/68

3. 高低频增益函数分析
jω jω jω
A(1+ )(1+ ) (1+ )
z1 z2 zm
A  jω  
jω jω jω
(1+ )(1+ ) (1+ )
p1 p2 pn

当零点的个数比极点的个数少即m<n时,波特图渐近线幅频特
性如下
20 lg A  jω 

0 ωl ωh ω
65/68

几点结论
1.放大电路的耦合电容和旁路电容引起是引起低频响应的主要
原因;
2.三极管的结电容和分布电容是引起放大电路高频响应的主要
原因;

3.衡量放大电路性能的一项重要指标——增益带宽积;
66/68
小 结
 放大器的增益与频率有关,称幅频特性;放大器的相移也
与频率有关,称相频特性,两者统称为频率响应。
高频响应—由晶体管的结电容引起的。
低频响应—由电路中耦合电容和旁路电容引起的。
 分析放大器的高频响应借助于晶体管的高频模型即混合
模型,具体分析步骤:
1. 画出放大器的交流通路;
2.用混合模型代替晶体管画出放大器的高频等效电路;
3.求出中频增益;
4.根据高频等效电路确定高频极点;
5.写出高频传输函数,确定高频截频及带宽。
67/68

重点难点
重点:频响的分析方法(主要是高频截频的分析方法)。
难点:波特图的绘制。
68/68

第五章结束
1/99

1. 绪论
2. 晶体二极管及应用电路
3. 晶体三极管及基本放大电路
4. 场效应管及基本放大电路
5. 放大电路的频率响应
6. 负反馈放大电路(6学时)
7. 双极型模拟集成电路
8. 双极型模拟集成电路的分析与应用
9. MOS模拟集成电路(自学)
10.直流稳压电路
2/99
主讲:刘颖

第6章 负反馈放大电路
问题:
1.如何判断放大电路有反馈?
2.负反馈有哪些类别?对电路有何影响?
3.如果设计不当,正反馈对放大电路有何
影响?
3/99

第6章 负反馈放大电路
6.1 反馈的基本概念
6.2 反馈放大器的分类及判别办法
6.3 负反馈对放大器性能的影响
6.4 负反馈放大电路的分析方法
6.5 负反馈放大器的稳定性及其相位补偿
小结
4/99

6.1 反馈的基本概念
6.1.1 反馈的定义
6.1.2 反馈放大电路基本反馈方程式
5/99
6.1.1 反馈的定义
输入信号 净输入信号 输出信号
(Ui或Ii) (Udi或Idi)
+
放大电路 (Uo或Io)
A 正向传输
反馈信号
(Uf或If) 反馈网络
反向传输 B

反馈概念:将输出信号取出一部分或全部通过反馈网
络送回到放大电路的输入回路,与原输入信号相加或
相减后再作用到放大电路的输入端的过程。
开环:放大电路无反馈称开环。
闭环:放大电路有反馈称闭环。 反馈:feedback
6/99
6.1.2 反馈放大电路的基本反馈方程式
Xi + Xdi Xo
+
说明:框图中 放大电路
① 输入信号Xi A
-
② 反馈信号Xf Xf
③ 净输入信号Xdi
④ 输出信号Xo 反馈网络
均可以是电压,也可 B
以是电流。
反馈放大器方框图

差分:difference
反馈:feedback
7/99
6.1.2 反馈放大电路的基本反馈方程式
Xo Xi + Xdi
+
放大电路
开环增益 A  Xo
X di A
-
Xo Xf
闭环增益 Af =
Xi
反馈网络
B=
Xf B
反馈系数
Xo
反馈放大器方框图
Xo Xo A
X
负反馈放大器 d i = X i -X f  = X i -B X o Af = 
A Xi 1+A B
A
基本反馈方程式 A f =
1+A B
反馈深度 F =1+A B 环路增益 AB
8/99
反馈深度 F =1+A B Xi + Xdi
+
放大电路
A Xo
深度负反馈的情况下: -
Xf
|F|>>1或 |AB|>>1,
A A 1 反馈网络
Af =  = B
1+A B AB B
反馈放大器方框图
说明:在深度负反馈条件下,闭环放大倍数近似等反馈系数
的倒数,与有源器件的参数基本无关。一般反馈网络是无源
元件构成的,其稳定性优于有源器件,因此深度负反馈时
的放大倍数比较稳定。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
9/99

6.2 反馈放大器的分类及判别方法
6.2.1 负反馈放大器的分类
6.2.2 反馈组态的综合判别方法
6.2.3 四种类别负反馈放大电路分析
10/99
6.2.1 负反馈放大器的分类
正反馈:反馈信号使放大器的净输入信号增强
反馈信号的极性 负反馈:反馈信号使放大器的净输入信号减小

直流反馈 Xi + Xdi Xo
+
放大电路
反馈信号的属性 交流反馈 A
混合反馈
-
Xf
电压反馈
反馈的取样信号 反馈网络
电流反馈 B

反馈在输入端的引入方式 串联反馈(电压引入)
并联反馈(电流引入)
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
11/99

1. 反馈网络的判断

判断方法:
即与输入回路有
关,又与输出回
路有关的网络。
练习
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
12/99
2. 正、负反馈的判断
(1)定义法:根据正、负反馈的定义来判断反馈性质的方
法。即如果反馈使净输入信号减小,称为负反馈;如果反馈
使净输入信号增大,称为正反馈。
(2)瞬时极性法
在放大电路的输入端,假设输入信号的瞬时电压极性,
用“+”、“-” 符号表示。
按信号传输方向:基本放大器入基本放大器出反馈
网络入反馈网络出到输入,判断相关点的瞬时极性。
如果反馈信号的瞬时极性使净输入减小,则为负反馈;
反之为正反馈。
13/99
练习

负反馈 正反馈

负反馈 正反馈
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
14/99

3. 电压、电流反馈的判断
(1)定义法
根据电压、电流反馈定义直接判断。即反馈信号的大小与
输出电压成比例时是电压反馈;与输出电流成比例就是电流
反馈。

(2)输出短路法
将输出电压‘短路’,或令负载RL=0,如果此时反馈
信号不存在了,即Xf=0 ,则是电压反馈;若反馈信号仍然
存在,则是电流反馈。
15/99
练习

电压反馈 电流反馈

电流反馈 电压反馈
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
16/99
4.串联、并联反馈的判断
方法一:按照定义,若反馈信号是电压引入输入端则为串联反
馈,电流引入为并联反馈。
方法二:反馈信号与输入信号加在放大电路输入回路的同一个
电极,则为并联反馈(反馈信号与输入信号是电流相加减的关
系);反之,加在放大电路输入回路(放大元件)的两个电极则
为串联反馈(反馈信号与输入信号是电压相加减的关系)。

经验一: 对于三极管(或场效应管)放大电路来说,反馈信号
与输入信号同时加在输入三极管的基极或发射极,则为并联反
馈;一个加在基极,另一个加在发射极则为串联反馈。
经验二:在集成运放电路中,如果输入信号接在运放的一个输
入端,来自输出端的反馈信号接在运放的另一个输入端,通常
为串联反馈。反之为并联反馈 。
17/99












制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
18/99

4.直流、交流、交直流反馈的判断

电容观察法:
反馈通路只能通交流(如存在隔直电容),则为交流反馈;
反馈通路只能通直流(如存在旁路电容),则为直流反馈;
反馈通路即通直流又通交流(无电容),则为交直流反馈。
19/99





反 直流反馈 交流反馈





直流反馈 交直流反馈
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
20/99

6.2.3 四种类别负反馈放大电路分析
一、电流串联负反馈
二、电压串联负反馈
三、电流并联负反馈
四、电压并联负反馈
输出取样方式 输入引入方式
21/99
一、电流串联负反馈放大电路
1. 反馈类型的判断 VCC
1) 反馈网络 —Re
判断方法:即与输入回路有关,
Io
又与输出回路有关的网络。 Udi Uo
Ui
2)判断电压、电流反馈 Uf
方法:
(1)定义法
(2)输出短路法
由电路知Uf=IoRe,与输出电流Io成正比,因此是电流反馈。
22/99

3)判断串联、并联反馈方法(即判断电压、电流引入方式)

电路中,输入信号Ui加在基极,反馈信号Uf加在发射极,因
此是串联反馈。

VCC

Io
Udi Uo
Ui
Uf
23/99

4)判断正、负反馈

右电路中 VCC
电流串联负反馈
Udi=Ui-Uf <Ui
Io
即由于反馈的引入削弱了净输入 Udi Uo
Ui
信号,因此是负反馈。 Uf
24/99

5)判断直流、交流反馈
经分析可知,电路反馈元件Re引入的是交直流、电流串
联负反馈。
VCC

Io
Udi Uo
Ui
Uf
25/99
一、电流串联负反馈放大电路 VCC
2. 方框图

问题:如何获得方框图? Io
Udi Uo
答案:由交流通路得到。 Ui
Uf

+ +
Ui Udi AG
Rb -
Udi Uo
Ui Uf - Io Rc
+ BR
Uf Re
-
26/99 一、电流串联负反馈放大电路
3. 增益及反馈系数
说明:电流串联负反馈是利用输出电流Io取得反馈信号,
在输入端以反馈电压Uf的形式来调节净输入电压Udi,此
时输出采用电流Io、输入采用电压U来描述该反馈组态的
增益。 + +
Ui Udi AG
Rb -
- Io Rc
+ BR
Uf Re
-
27/99 具有电阻的量纲称互阻反馈系数
电流串联负反馈
具有电导的量纲称互导增益
Io
开环增益 A G 
U di + +
Io Ui Udi AG
Rb -
闭环增益 A Gf 
Ui
- Io Rc
Uf
反馈系数 B R  + BR
Io Uf Re
U i  U di  U f -
Io
  BR Io 无量纲
AG
反馈方程式 反馈深度 F  1  A G B R
AG Uo
AG f  电压增益 A U f  U   A G f R c
1  AG B R i
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
28/99
二、电压串联负反馈放大电路
1.判断反馈的类型
1) 反馈网络 —Rf 和Re1
- +
2)判断反馈的类型 + -
① 将输出对地短路,反 Udi+ +
馈消失,因此是电压反馈。 -
+
② 输入信号和反馈信号 Uf
分别加在三极管发射结的 -
两端,故为串联反馈。
③ 假定输入电压的瞬时极性为正,反馈电压的瞬时极性也为
正,Udi=Ui-Uf<Ui,因此是负反馈。
④ 电路中无电容,因此是交直流反馈。
29/99
电压串联负反馈
称为极间反馈

 Rf和Re1组成两极放大电
路的交直流电压串联负反
馈网络。
 Re1也是T1本级的电流
串联负反馈。
 Re2又是T2本级的电流
串联负反馈。

电路中存在三个反馈环,分析时以级间反馈作为主要反馈环。
30/99
无量纲
2.增益及反馈系数
Uo
开环增益 A U  + +
U di +
Uo Ui Udi AU Uo Rc2
Rb -
闭环增益 A Uf  -
Ui -
Uf
反馈系数 B U  + Rf
Uo Uf Re1
U i  U di  U f - BU
Uo
  B UU o 电压串联负反馈方框图
AU
AU
反馈方程式 A Uf 
1  AU B U 反馈深度 F  1  A U B U
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
31/99
三、电流并联负反馈放大电路
1.判断反馈的类型
1) 反馈网络 —Rf 和Re2
Ii Idi -
2) 判断反馈的类型 + -
① 将输出对地短路,反馈
仍存在,因此是电流反馈。
If -
② 输入信号和反馈信号
加在三极管的同一输入端,
故为并联反馈。
③ 由瞬时极性法可判断:If的方向由输入流入Rf,
Idi=Ii-If< Ii,因此是负反馈。
反馈信号与输入信号
④ 电路中无电容,因此是交直流反馈。
是电流相加减的关系。
电流并联负反馈
32/99
2. 增益及反馈系数 无量纲
Io
开环增益 AI 
I di
Io
闭环增益 A If 
Ii
If
反馈系数 BI  Ii Idi
Io
I i  I di  I f +
Ui If AI
Io
 BI Io -
AI Io
AI Rc2
反馈方程式 A If  Rf
1  AI B I Re2
BI
反馈深度 F  1  A I B I
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
33/99
四、电压并联负反馈放大电路
1.判断反馈的类型 EC
1)找出反馈网络 —Rf
2) 判断反馈的类型
-
① 将输出对地短路,反 + If
Io
馈消失,因此是电压反馈。
② 输入信号和反馈信号
加在三极管的同一输入端,
故为并联反馈。
③ 由瞬时极性法可判断:If的方向由输入端流入Rf,
Idi=Ii-If< Ii,因此是负反馈。
反馈信号与输入信号
④ 电路中无电容,因此是交直流反馈。
是电流相加减的关系。
34/99 具有电阻量纲 EC
2. 增益及反馈系数 称互阻增益
Uo
开环增益 A R  Io
I di
具有电导量纲
Uo
闭环增益 A Rf  称互导反馈系数
Ii
I
反馈系数 B G  f Ii Idi
Uo + +
I i  I di  I f Ui Uo Rc2
If AR
Uo - -
G B U
o
AR
AR
反馈方程式 A Rf 
1  AR B G Rf
BG
反馈深度 F  1  A R B G
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
35/99
回顾第三章的问题:电阻Re的作用是什么?
VCC
CE组态放大电路中Re为直流电
流串联负反馈电阻,电压增益
IBQ

UB
 hfe R L' UE u0
AU  ui
hie   1  hfe  R e Re IEQ

避免Re降低电压增益的方法:Re上并联旁路电容。

交流时 Re  0
 hfe R L'
AU 
hie
36/99
回顾第三章的问题:电阻Re的作用是什么?
VCC
复习:
IBQ ( 1 ) I C   I B  (1   ) I C BO
IRb2 UB ( 2 ) T     , I C BO  , U BE 
UE u0
ui
Re IEQ
稳定静态电流的工作过程:
T↑→UBE↓,  ↑ ,ICBO ↑→ ICQ ↑→ IEQ ↑→ UE=IEQRe↑

ICQ ↓ ← IBQ ↓ ← UBE=UB-UE ↓


37/99
VCC EB =
Rb2
VCC VCC
Rb1  Rb2

IBQ IBQ
UB UE UE
ui u0
Re IEQ Re IEQ

R b2 R b2
V C C  U BEQ 1   R  R // R V C C  U BEQ
R b1  R b2 E b1 b2 R b1  R b2
复习:IB  
R b1 // R b2   1    R e 无 1    Re
Rb2 β  Rb2 
V  U BEQ  VCC  U BEQ 
R  R
 IC   IB 
Rb1  Rb2 CC 若无RE时I C   b1 b2 
Re Rb1 // Rb2
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
38/99
结论1:电压负反馈能稳
定输出电压。
- +
为什么? +
Udi+
说明:当输入信号Ui稳 -
+
+
定的情况下,若输出负
载变化,负反馈电路的 Uf
调整控制过程如下: -
U O  X f  X di   X i  X f  

UO 
39/99
VCC
结论2:电流负反馈能稳定输出
电流。
Io
IO  X f  X di  Xi  X f  Uudidi Uoo
u
U
uii
IO  U
u
f

说明:当输入信号ui稳定的情况下,若温度变化,负反馈电路
的调整控制过程如下:
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
负反馈对放大电路增益稳定性的
影响
40/99

6.3 负反馈对放大器性能的影响
6.3.1 负反馈提高了增益的稳定性
6.3.2 负反馈可展宽放大器的频带宽度
6.3.3负反馈可改善放大器的非线性失真
6.3.4 信号源内阻对负反馈放大器性能的影响
6.3.5 负反馈对放大器输入阻抗的影响
6.3.6 负反馈对放大器输出阻抗的影响
41/99
6.3.1 负反馈提高了增益的稳定性
A
开环增益的稳定度:
A
Af
闭环增益的稳定度
Af
A
负反馈放大器的增益 Af 
1  AB
由于某种原因,使基本放大器的增益由A→A'
A A A-A  A
Af   

1  AB 1  A B (1  AB)(1 AB)
ΔAf 1 ΔA
   有反馈时增益的稳定性提高!
Af 1  AB A
42/99

小结: 加入反馈后,闭环增益的相对变化率是开环
增益相对变化率的1/(1+A'B),有反馈时增益的稳定
性比无反馈时提高了(1+A'B)倍。
1
深度反馈情况下1+A'B>>1,可得:Af 
B
注意:在负反馈条件下增益的稳定性得到了提高,这
里增益类别应该与反馈组态相对应。如电压串联负反
馈为AUf, 电压并联负反馈为ARf。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
负反馈对通频带的影响
43/99
6.3.2 负反馈对通频带的影响
例题:某放大电路通频带为fH,频带内增益为A,高频增益函
A
A  jf  
数特性为 1+j
f 。引入负反馈,反馈系数为B。
fH
试分析闭环电路增益带宽和增益。
A
解:闭环增益函数为 f A
1+j
A  jf 
A f  jf  
fH 1+AB
 
1+A  jf  B A f
1+ B 1+j
1+j
f 1+AB fH
fH
A
通频带为(1+AB)fH ;通频带内增益近似为为 1+AB 。
44/99

通频带 BW= fh- fl


|AU(j)|
引入反馈后
AU
增益下降

通频带加宽 AUf
BWf=(1+AB)BW flf fhf f
fl fh

结论:负反馈的引入使放大电路的通频带得到展宽。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
45/99

6.3.3负反馈可改善放大器的非线性失真

问题:放大电路A引入的失真现象:上半周增益大,下半周增
益小。

Xi 放大电路
A Xo
46/99 负反馈对放大电路A引入失真的改善过程:

Xi Xdi
+
放大电路
A Xo
-Xf

反馈网络
B
小结:1.负反馈只能减小反馈环内放大器产生的失真,对外接
输入信号中已有的非线性失真没有改善作用;
2. 负反馈实质就是利用输出的失真经过反馈后抑制失真,
而不是消除失真,输入信号源本身必须有增大的余地;
3. 非线性失真不能过于严重,如饱和失真和截止失真。
47/99
问题 :音频放大电路,如果语音信号源有非线性失真(如
MIC不理想或者周边有干扰),放大器中通过增加负反 馈能
否改善失真?

Xi Xdi
+
放大电路
A Xo
-Xf

反馈网络
B
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
48/99
6.3.4信号源内阻对负反馈放大器性能的影响
1. 串联负反馈放大器
将电压源Us内阻Rs影响归入基
本放大电路,此时电压增益为:
Uo U di U o Ri
AU s    AU  AU s
U sd i U sd i U d i Rs  Ri
引入负反馈后放大电路的闭环增益
Uo AU s
A U fs  
Us 1  AU s B U

当Rs→∞时, AUs→0, A Ufs  A Us 失去负反馈的作用


结论:对于串联负反馈,信号源(电压源)应采用低内
阻Rs才能得到好的反馈效果。
49/99
2. 并联负反馈放大器
输入电流源Is的内阻Rs影响归入基本放大电路时,有
Io I di I o Rs
A Is    AI
I sd i I sd i I d i Rs  Ri
引入负反馈后放大电路的闭环增益
Io AIs
AIfs  
I s 1  AIs B I
当Rs→0时, AIs→0, A Ifs  A Is 失去负反馈的作用

结论: 对于并联负反馈,信号源应采用高内阻Rs才能得
到好的反馈效果。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
50/99
6.3.5负反馈对放大器输入阻抗的影响
说明: Ii Io +
负反馈对输入电阻的影响 + +
Udi Ri AU U o RL
与反馈引入的方式有关, Ui Rb -
而与输出取样无直接关系。 -
-
因此框图中B网络的输入可
以不画出具体的连接方式。 +
R'if Uf BU
U i U di  U f -
输入电阻 Rif   Rif
Ii Ii
Rif  = Rif // RB
51/99 Ii Io +
+ +
1. 串联负反馈使输入阻抗增大 Udi Ri AU U o RL
Ui Rb -
-
(1) 电压串联负反馈 -
+
无反馈时:Ri=Udi/Ii R'if Uf BU
Rif -
有反馈时:Rif=Ui/Ii
Uf=AUBUUdi

U (1  A U B U ) U d i
R if  i  U di  U f   (1  A U B U ) R i
Ii Ii Ii

Rif  (1  AU BU )Ri R if   R b // R if
52/99
(2) 电流串联负反馈

U i Uf=AGBRUdi
R if 
Ii Ii Io +
U + +
di  U f Ri AG
 Ui Udi U o RL
Ii Rb -
-
(1  A G B )U -
 R di

Ii
+
 (1  A G B R )R i R'if Uf BR
-
Rif
R if
  R b //R if

结论:串联负反馈使输入阻抗增加,增大的倍数等于反馈深度。
53/99
Ii Idi Io
+
2. 并联负反馈使输入阻抗减小 +
Rb Ri AI U o RL
Ui
(1)电流并联负反馈 -
-
无反馈时: Ri=Ui/Idi R' if
If BI
有反馈时: Rif=Ui/Ii
Rif
U i Ui Ri
 R if   
I di + I f 1  A I B I  I d i 1  A I B I

  R If =AIBIIdi
R if b //R if
54/99 Ii Idi Io +
+
(2)电压并联负反馈 Rb Ri AR U o RL
Ui
-
∵Ri=Ui/Idi, -
r'if
Rif=Ui/Ii If BG
U i rif
 R if 
I di  I f
If=ARBGIdi
Ri

1  AR BG
R if
  R b //R if

结论:并联负反馈使输入阻抗减小,减小的倍数等于反馈深度。
55/99

小结:
1. 负反馈对输入阻抗的影响只取决于反馈在输入端的引入方
式,而与输出取样信号类型无关。输出的取样信号只影响A
和B的下标内容。

2. 串联负反馈使输入阻抗增大,并联负反馈使输入阻抗减小。
增大或减小的倍数等于反馈的深度。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
56/99
6.3.6 负反馈对放大器输出阻抗的影响
分析输出阻抗的方法:
1)将输入信号源定义为零,即电压源短路,电流源开路,电源
内阻保留。
2)在输出端将负载RL开路,定义负载两端电压为Uos,对应的
电流为Ios,注意输出端电压Uos电流Ios的参考方向要一致。
3)输出阻抗Rof= Uos / Ios 。
4)当考虑集电极负载的影响时,输出阻抗Rof′= Rof// Rc 。

思考题:实际的三极管放大电路具有单向传输特性。那么如
何测量放大电路的输出阻抗?
57/99 Ro为无反馈时基本放大
1.电压负反馈输出阻抗减小 电路输出电阻(不含Rc时)。

(1) 电压串联负反馈 +
+ Ro
+ Rs Udi Ri Uo
准备工作: -
Us - - AUoUdi

Uo
A Uo  R L  无负反馈时放大电路的等效电路
U di
AUo是基本放大电路输出开路电压增益
Uo U di U o Ri
A U so  R L    R L   AU o
Us U s U di Rs  Ri
AUso是考虑信号源内阻RS后基本放大器的开路电压增益
说明:AUSo中下标U表示压增益,第一个S表示考虑信号源
内阻,第二个O表示输出开路。
58/99 Ri Ios
无 反 馈 时 A U so  AUo
Rs  Ri +
+ Ro +
有反馈时,输出电阻: Rs Udi Ri
Uf - AUoUdi - Uos
U os - +- Rof
R of 
I os 输入为零时电压串联负反馈放大电路的
U  I R  A U 等效电路输出电阻分析
os os o Uo di
Rs  Ri
 AUo  A U so
Ri
 I os R o  A U soU f - Ri
U di  Uf
Rs  Ri

 I os R o  A U so B U U os
 U f  BUU os

U os Ro
 Rof   ,R'of=Rof//Rc
I os 1  A U so B U
59/99

(2)电压并联负反馈 Idi
IS + Ro
Rs +
准备工作: Ri Uo
- - ARoIdi
Uo
A Ro  R L  
I di 无负反馈时放大电路等效电路

ARo是基本放大电路输出开路互阻增益
Uo I di U o Rs
A R so  R L    R L   AR o
Is I s I di Rs  Ri

ARso是考虑信号源内阻RS后基本放大器的开路互阻增益
60/99 Rs 输入为零时电压并联负反馈放大电路的
复 习 A R so  AR o 等效电路输出电阻分析
Rs  Ri Idi Ios
有反馈时,输出电阻: If + Ro
Rs + +
U Ri
R of  os
I os - - ARoIdi - Uos
Rof
其 中 : U os  I os R o  AR o I di Rs  Ri
 AR o = A R so
Rs
 I os R o  A R so I f - Rs
I di  If
Rs  Ri
 I os R o  A R so B G U os
 I f  B G U os
Uos  Ro
 Rof  ,R'of=Rof//Rc
Ios 1  A R so B G

结论:电压负反馈使输出阻抗减小,减小的倍数等于反馈深度。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
负反馈放大器的分析方法
-等效电路法
61/99
2. 电流负反馈输出阻抗
(1) 电流并联负反馈 Idi
IS +
准备工作: Rs Ro
Ri Io
AIsIdi
输出短路电流增益AIs -
Io 无负反馈时放大电路等效电路
AIs  R L  0
I di
考虑信号源内阻Rs后基本放大器的开路互阻增益AIss
Io I di I o Rs
AIss  R L  0   R L  0  AIs
Is I s I di Rs  Ri
说明:AISS中下标I表示电流增益,第一个S表示考虑信号源
内阻,第二个S表示输出短路。
62/99 Rs 输入为零时电流并联负反馈放大电路的
无反馈时AIss  AIs
Rs  Ri 等效电路输出电阻分析
Idi Io Ios
有反馈时的输出电阻: If +
U Rs Udi Ro +
Rof  os Ri
I os - AIsIdi - Uos
U Rof
I os  os  AIS I di Rs  Ri
Ro AIs = AIss
Rs
U os
  AIss I f - Rs
Ro I di  If
Rs  Ri
U os
  AIss BI I os I f  BI I o   BI I os
Ro
 (1  AIss BI )Ro ,R'of=Rof//Rc
63/99

(2) 电流串联负反馈
Rs +
+ U Udi Ri Ro Io
准备工作: - s
- AGsUdi

AGS输出短路互导增益 无负反馈时放大电路等效电路
Io
AGs  R L  0
U di
考虑Rs后基本放大器的开路互阻增益
Io U di I o Ri
AGss  R L  0   R L  0  AGs
Us U s U di Rs  Ri
Ri
64/99 复习AGss  AGs
Rs  Ri
(2) 电流串联负反馈 Io Ios
U +
有反馈时 Rof  os Rs Udi Ri Ro +
I os Uf AGsUdi - Uos
U - +-
I os  os  AGsU di Rof
Ro Rs  Ri
AGs  AGSS
Ri
U os
  AGssU f - Ri
Ro U di  Uf
Rs  Ri
U os
  AGss BR I os
Ro Uf  BR I o   BR I os
U os
 Rof   1  AGss BR  Ro , R'of=Rof//Rc
I os
结论:电流负反馈使输出阻抗增大,增大的倍数等于反馈深度。
65/99

小结:
(1) 负反馈对放大电路输出阻抗的影响只取决于输出取
样是电压还是电流,与输入端的引入方式无直接关系。

(2) 电压负反馈使输出阻抗减小,电流负反馈使输出阻
抗增加。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
负反馈放大器的分析方法
-等效电路法
66/99

6.4 负反馈放大器的分析方法
6.4.1 等效电路法
6.4.2 方框图分析法(自学,了解)
6.4.3深度负反馈放大电路增益的近似计算
67/99

6.4.1 等效电路法

方法:画交流等效电路,列电流电压方程。

特点:适合任何类型放大电路,能够得到精确结果,但
是复杂,计算工作量大,通常需要借助计算机辅助分析。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
负反馈放大器的分析方法
-方框图分析法(简介)
68/99
6.4.2 方框图分析法(自学,了解)
方框图方法:将反馈放大器划分为基本放大器和反馈网络两
部分,分别求出基本放大器的放大增益A和反馈网络的反馈
系数B,然后利用反馈方程式求AUf。

特点:物理概念清晰,在将负反馈放大器分离为基本放大电
路和反馈网络过程中,基本放大电路须考虑反馈网络对输入
回路和输出回路的负载作用。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
深负反馈放大电路增益的
近似计算
69/99
6.4.3 深负反馈放大电路增益的近似计算
深度负反馈时,|AB|>>1
X i  X di  X f  X di  A B X di  X f

在深度负反馈情况下
 X di  0 1
 , A 

f
 i
X X f B

经验:深度负反馈时,可近似认为
1) 串联负反馈输入电阻无穷大,并联负反馈时输入电阻为零;
2) 电压负反馈输出电阻为零,电流负反馈输出电阻为无穷大。
70/99
例题:负反馈放大电路如图所示,设电路满足深负反馈的条件
,求电路的源电压增益AUsf、输入电阻和输出电阻。
解:
据图基本放大器电路, VCC
可得反馈系数: R1 R3
C2
If - R4
BI   Ii +
Io R2  R4 C1 T2
T1
R2 Io RL Uo
RS
在深负反馈条件下电流增益 +
+
R4
I R  R4
US Ui If
1
AIf  o   2 - -

Ii BI R4
-
(a)电路

电流并联负反馈放大电路
71/99
反馈深度 F  1  AI BI  1 UCC

R1 R3
Ri C2
输入电阻 Rif  0 +
F C1 I i T2
Io
输出电阻 T1
R2
RS RL Uo
+
Rof  Ro F   +
Ui R4
US
'
Rof  R3 // Rof  R3 - - -

源电压增益 Rif

Uo -I o  RL // R3  I o  RL // R3  RL // R3
AUsf      AIf
US I s  RS  Rif  I i RS RS

提示:将上图整理为电流并联负反馈方框图形式分析过程更清晰!
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
负反馈放大电路自激振荡的条件
72/99

6.5 负反馈放大器的稳定性及其相位补偿

6.5.1 负反馈放大电路自激振荡的条件
6.5.2 负反馈放大电路稳定性的判别方法
6.5.3 防止负反馈放大器自激的方法
73/99
6.5.1 负反馈放大器自激振荡的条件
1.什么是自激
当输入Ui=0时,在输出端仍有一定频率和幅度的信号输
出的现象。

自激现象举例:
(1)放大电路在没有输入时,输出有余弦信号输出;
(2)乐队调音响设备时出现的刺耳的单调的声音。
74/99

2. 产生自激的原因
例如放大电路在中频段,负反馈放大电路工作正常,放大电
路输出引入到输入,反馈信号与输入信号有180的相移。
当放大电路在其它频段,如果电路中出现附加相移,且达
到180,使反馈信号与输入信号总的相移为360,负反馈变
为正反馈,产生自激。

说明:负反馈可以改善放大电路的性能指标,但是负反馈引
入不当,可能使放大电路工作不稳定,产生自激现象。
75/99 幅频特性的渐近线
20lg|A(jf)B(jf)|(dB)
100 -20dB/dec
80 在通频带
 A  jf  B  jf  =10
 5
-40dB/dec
60 
 =0

o

40 -60dB/dec
即A  jfo  B  jf o  >1
20
f
102 103 104 105 106 107 108
(f) fC
00 f
-450 相频特性的渐近线
-900
-1350 在频率fC处
 A  jf C  B  jf C  =10
 2

-1800 
 =-180

o
-2250
-2700 即A  jf C  B  jf C  <-1
76/99
3. 自激的条件
A
负反馈放大电路的闭环增益 Af  1  AB
A  j 
考虑频率特性Af  j  
1  A  j  B  j 
当负反馈放大器的环路增益满足A(jω)B(jω)= -1,Af∞,说
明此时即使没有输入,仍有频率为ω的信号输出,产生自激。
自激振荡的平衡条件: A(jω)B(jω)= -1

幅度条件:|A  j  B  j  |  1

相位条件:  arg 
 A  j   B  j   
  180 0
77/99
4. 起振条件
A
负反馈系统: Af 
1  AB
自激振荡的起振条件: A(jω)B(jω)< -1

幅度条件:|A  j  B  j  |  1

相位条件:  arg 
 A  j   B  j   
  180 0

问题:放大电路如何从起振最后达到平
衡稳定的振荡信号输出?
78/99
问题:放大电路如何从起振最后达到平
衡稳定的振荡信号输出?


提示2:反馈网
络中使用负温度
系数的电阻。
iC
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
负反馈放大电路稳定性的
判决方法
79/99
6.5.2 负反馈放大器稳定性的判别方法
1. 由环路增益特性判别负反馈放大电路的稳定性
说明:以 20lg| A(jω)B(jω) |为纵坐标的波特图来分析放大电
路的自激。
20lg A(jω)B(jω) =20lgA(jω)+20lg (B(jω) 
=20lgA(jω)-20lg1/B(jω) 
小结:环路增益20lg A(jω)B(jω) 的波特图可以分解为20lg
A(jω)减去20lg 1/(B(jω) 的结果。
当幅度条件| A(jω)B(jω) |= 1
20lg A(jω)B(jω) =20lgA(jω)-20lg 1/B(jω) =0dB
80/99
说明:根据以上讨论,可将环路增益波特图分为三种情况(变量用
频率f替代角频率ω。
20lg A(jf)B(jf)  20lg A(jf)B(jf)  20lg A(jf)B(jf) 

(a)稳定:fc>f0 ,Gm<0dB (b)自激: fc<f0 ,Gm>0dB (c)临界状态: fc=f0, Gm=0dB

f 0 — 增益交界频率:20lg A(jf)B(jf) =0dB 时的频率。


f C —相位交界频率 : =±180 时的频率。
幅度裕量 Gm  20lg A  j f  B  j f  f  fC

相位裕量:  m  180   ( f 0 )
0
81/99
20lg A(jf)B(jf)  20lg A(jf)B(jf)  20lg A(jf)B(jf) 

(a)稳定:fc>f0 ,Gm<0dB (b)自激: fc<f0 ,Gm>0dB (c)临界状态: fc=f0, Gm=0dB


判断自激的条件归纳如下:
稳定状态: 从 =-180出发,| A(jfc)B(jfc) |<1,即得到的
Gm<0dB,不满足自激的幅度条件。
自激状态: 从 =-180出发, | A(jfc)B(jfc) |>1,即得到的
Gm>0dB,满足幅度条件。
临界状态: 从 =-180出发,得到的 | A(jfc)B(jfc) |= 1,
即Gm=0dB。
82/99
说明:根据以上讨论,可将环路增益波特图分为三种情况(变量用
频率f替代角频率ω。
20lg A(jf)B(jf)  20lg A(jf)B(jf)  20lg A(jf)B(jf) 

(a)稳定:fc>f0 ,Gm<0dB (b)自激: fc<f0 ,Gm>0dB (c)临界状态: fc=f0, Gm=0dB

幅度裕量 Gm  20lg A  j f  B  j f  f  fC ,工程上要求Gm≤-10dB


相位裕量:  m  180   ( f 0 ) ,工程上要求 m≥45°
0
83/99
例:有一负反馈放大电路,开环增益的频率特性表达式为
10 4
AU ( f ) 
f f f
(1  j 4 )(1  j 5 )(1  j 6 )
10 10 10
1)试分析放大电路的闭环稳定性?
2)引入负反馈使闭环增益下降到60dB时,电路是否稳定?

解:1. 先作出增益的幅频特性曲线和相频特性曲线,
中频电压增益AU=104即80dB。
有三个高频极点fp1=104Hz、fp2=105Hz、fp3=106Hz
复习:波特图渐近线绘图方法

20 lg 1  j dB 
 1
(1) 一阶零点(1  j ) 的渐近线
1 20dB/dec
幅频特性。

(2)一阶极点(1  j ) 的渐近线。 0.1 1 1 101 
1
-20dB/dec

(1) 一阶零点 (1  j ) 的渐近线相频特性
1

(2) 一阶极点 (1  j ) 的渐近线相频特性
1
( )
45/dec

0.1  1 1 10
1

-45/dec
85/99 20lg|A(jf)|(dB) 
100 fp1 -20dB/dec 00
80 -40dB/dec
-450
60 fp2 -1350
fc 临界增益曲线
40 -60dB/dec
-1800
20 f p3 -2250
f
102 103 104 105 106 107 108
1) 由=-1800作出临界增益曲线,相位交界频率 fc≈5×105 Hz。
2) 分析环路增益幅值满足稳定的条件。
为保证系统稳定,需 fc在满足20lg A(jfc)B(jfc)  <0,
即 20lg 1/B(jfc) > 20lgA(jfc)=40dB,也即B(jfc) <10-2 ,
所以临界的反馈系数Bmax=10-2。
86/99
为保证系统稳定,需 20lg A(jfc)B(jfc)  <0,即 20lg 1/B(jfc) > 40dB
20lg|A(jf)|(dB) 
100 fp1 -20dB/dec 00
80 -40dB/dec
-450
60 fp2 -1350
fc 临界增益曲线
40 -60dB/dec
-1800
20 f p3 -2250
f
102 103 104 105 106 107 108

3) 深度反馈情况下,AUf≈1/ B(jf) ,AUf(dB)=20lg1/B(jf) 。


系统稳定时,要求处在fc处20lg 1/B(jfc) > 40dB, AUf = 1/B(jfc)。
即当20lg AUf  > 40dB时,系统稳定,否则就可能产生自激振荡。
87/99
为保证系统稳定,需 20lg A(jfc)B(jfc)  <0,即 20lg 1/B(jfc) > 40dB
20lg|A(jf)|(dB) 
100 fp1 20lg1/|B(jf)|
80 -450
60 fp2 -1350
fc 临界增益曲线
40 -1800
20 fp3 -2250
f
102 103 104 105 106 107 108

2. 引入反馈后使 AUf= 20lg 1/B(jf) = 60dB,与频率无关,说


明B为常数,因为20lg 1/B(jfc) = 60dB > 40dB,系统稳定。
此时 幅度裕度Gm= - 20dB,相位裕度m=450 。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
防止负反馈放大器自激的方法
88/99

6.4.3 防止负反馈放大器自激的方法
1. 防止负反馈放大电路自激的方法
(1)尽可能不使用多级放大电路组成的反馈环;

(2)限制反馈深度F=1+AB,使之不能满足自激条件;

(3)采用相位补偿的方法,破坏自激条件。
89/99

自激现象举例(1)的解决方案
自激现象:放大电路在没有输入时,输出有余弦信号输出。
解决方案:采用相位补偿的方法。
90/99
u1 u2
2. 常用的相位补偿方法 A1
ui2
A2

(1)滞后补偿:在产生第一
C
的极点的单元的输入端接入补
偿元件后,使第一个极点向左移
电容滞后补偿电路
动,附加相位更加滞后;但以
牺牲带宽为代价。所以适合带
宽要求不太宽的场合。

(2)超前补偿:在易于产 + R1
+
u1 R2
生自激的频率点附近引入一 -
u2
个零点,利用该零点产生的 -

超前相移来抵消原有的滞后
相移。 超前补偿电路
91/99

自激现象举例(2)的解决方案:
自激现象:乐队调音时出现的刺耳的声音。
解决方案:改变MIC(话筒)与扬声器(喇叭)之间的角度,
以改变扬声器输出的音频信号经无线信道传输至MIC之间反馈
信道的特性,破坏自激条件。
92/99

振荡电路的应用举例

RC振荡电路 RC振荡电路仿真结果
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
第六章小结
《负反馈放大电路》

主讲:霍炎
93/99

本章重点难点

重点:负反馈对放大器性能的影响。
难点:1.负反馈放大器的组态判别;
2.深度负反馈放大电路的性能分析;
3.多级负反馈放大器的闭环稳定性分析。
94/99
小 结
1. 负反馈放大器类型
电压串联、电压并联、电流串联和电流并联四种。
2. 反馈判别方法
1) 找出反馈网络方法:与输入回路有关,又与输出回路
有关的网络。
2)(输出端)电压、电流反馈的判别方法
判断方法1: 将输出电压‘短路’,若反馈回来的反馈信
号为零,则为电压反馈;若反馈信号仍然存在,则为电流
反馈。
判断方法2:反馈信号与输出电压成比例,则为电压反馈,
与电流成比例,则为电流反馈。
95/99

3)(输入端) 串联、并联反馈判别方法
反馈信号与输入信号加在放大电路输入回路的同一个电极,
则为并联反馈;反之,加在放大电路输入回路的两个电极,
则为串联反馈。
4)正、负反馈判别方法
瞬时极性法:在放大电路的输入端,假设一个输入信号的
电压极性,可用“+”、“-”, 按信号传输方向经基本放大
器反馈网络判断相关点的瞬时极性,直至判断出反馈
信号的瞬时电压极性。如果反馈信号的瞬时极性使净输入
减小,则为负反馈;反之为正反馈。
96/99
5) 直流、交流反馈判别方法
电容观察法:
反馈通路如存在隔直电容,则为交流反馈
反馈通路如存在旁路电容,则为直流反馈
反馈通路不存在电容,则为交直流混合反馈

3. 闭环增益:无论何种类型的负反馈可用统一形式
的反馈方程来描述,即Af=A/(1+AB)。反馈的类型不
同将影响A、Af和B的下标和量纲。反馈深度
F=1+AB将影响反馈放大器的性能。
97/99

4. 负反馈放大器的主要影响
(1) 提高增益的稳定性,电压负反馈可稳定输出电压,电
流负反馈可稳定输出电流。
(2) 改善非线性失真,减小内部噪声和干扰。
(3) 改变放大器的输入和输出阻抗。串联负反馈可使输入
阻抗增加,并联负反馈可使输入阻抗减小;电压负反馈可使
输出阻抗减小,电流负反馈可使输出阻抗增加。
(4) 增加放大器的频带宽度。
(5)信号源内阻对负反馈放大器性能的影响。串联负反馈,
信号源应采用低内阻Rs才能得到好的反馈效果;并联负反馈,
信号源应采用高内阻Rs才能得到好的反馈效果。
98/99
5. 方框图分析法
方框图分析法可用于分析各种类型的负反馈放大器。
6.自激振荡
多极点闭环系统引入负反馈时,应严格分析是否会出
现自激振荡现象。可由环路增益进行判别,也可通过开环
增益的波特图进行判别。
负反馈放大器产生自激振荡的平衡条件:
幅度条件:|A  j  B  j  |  1
相位条件:arg A  j   B  j    1800

工程设计中,应留有余量:一般Gm<-10dB m450
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
模拟集成电路涉及的主要问题
1/117
课程主要内容
1. 绪论
2. 晶体二极管及应用电路
3. 晶体三极管及基本放大电路
4. 场效应管及基本放大电路
5. 放大电路的频率响应
6. 负反馈放大电路
7. 双极型模拟集成电路(6学时)
8. 双极型模拟集成电路的分析与应用
9. MOS模拟集成电路(自学)
10.直流稳压电源电路
2/117
主讲:刘颖教授

第7章 双极型模拟集成电路
问题:
1. 集成电路由哪几个单元组成?
2. 集成电路中恒流源的作用有哪些?
3. 典型恒流源有哪几种?
4. 差分放大电路有什么特点?
5. 功率放大电路有什么特点?
6. 为什么运放输入电阻大、输出电阻小?
7. 模拟集成放大器有哪些型号?
3/117

第7章 双极型模拟集成电路
7.1 集成化元器件的工艺特点
7.2 集成电路的基本结构及特性
7.3 电流源电路及其应用
7.4 差分放大电路
7.5 低频功率放大电路
7.6 模拟集成运算放大器
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
集成化元器件的工艺特点
4/117
7.1 集成化元器件的工艺特点
1. 集成电路特征: 将有源器件、电阻、小电容及电
路连线等都集中在一块半导体基片上,然后封装在一个“管壳”
内形成的一个完整的电路和系统。
5/117

2.集成电路中集成化元件类别

① 集成二极管
② 集成三极管
③ 集成MOS管
④ 集成电阻
⑤ 集成电容
6/117
3.集成化元件的特点
(1)电容元件一般用PN结的结电容或MOS管电容来制作,一般
的容量小于100PF。不能制造大电容,因此在集成电路中通常
采用直接耦合方式,不采用阻容耦合、变压器耦合方式。
(2) 电阻元件可由半导体的体电阻构成,阻值越大,占用的硅
片面积越大,通常的电阻范围为几十 ~20k。高阻值的电阻
多用半导体三极管等构成的有源电阻代替或外接。
(3)半导体元器件温度特性较差,通常同一块基片上相邻的元
件具有同相偏差,它们的比值误差较小,匹配性好,对称性
也好,因此集成电路大量采用比值电路和对称电路。
(4)目前的集成工艺还不能制作电感。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
模拟集成电路基本概念
7/117

7.2 集成电路的基本结构和特性
7.2.1 模拟集成电路概念
1.概念
模拟集成电路( Integrated Circuit, IC)是由模拟基本单元电
路组成的具有某种专门功能的电子器件。
2.模拟集成电路的分类
(1)按应用领域进行分类
(2)按功能分
(1)按照应用领域进行分类
8/117 (2)按照电路的功能进行分类
1)通用集成电路 1)运算放大器
2)专用集成电路 2)模拟乘法器(除法
① 模拟信号处理电路 器)
② 控制系统专用集成电路,如 3)对数放大器
电机控制电路、可控硅控制电路 4)函数发生器
等. 5)滤波器
③ 通信系统专用集成电路,如 6)压控振荡器
电话电路、无线通信电路、交 7)集成功率放大器
换专用电路等。 8)集成 稳压电源
④ 测试系统专用集成电路, ……
ATE( Automatic Test
Equipment )电路、信号变换
和处理电路等。
⑤ 仪器专用电路等。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
模拟集成电路的基本结构
9/117
7.2.2 模拟集成电路的基本结构
1. 集成电路的基本组成结构

输入模块 功能模块 输出模块

控制/补偿
电源模块 保护模块
模块
10/117

模拟集成运放芯片图片
11/117

MC14573封装

MC14573内部结
构及管脚排列
12/117

LM324 LM324内部结构及管脚排列
13/117
2. 模拟集成放大电路(运算放大电路)基本组成结构

输入级 中间级 输出级

偏置
电路

(1)偏置电路:与分离元件不同,集成运放的偏置电路通常
采用恒流源电路,为各级放大电路提供合适的静态电流,确定
静态工作点。
(2)中间级:提供足够高的电压增益,多采用CE或共源放大
电路,放大倍数可达几千倍。
14/117

输入级 中间级 输出级

偏置
电路

(3)输入级: 也称为前置级。对输入级的基本要求是输入电
阻高、差模电压增益大、共模信号抑制能力强、静态电流和
失调偏差小的差分放大电路。
(4)输出级:主要作用是提高输出功率、降低输出电阻(即
提高带负载能力,如CC组态)、减小非线性失真和增大输出
电压的动态范围。此外还应有过压过流保护。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
集成电路中常用的电流源电路
简介
15/117

7.3 电流源电路及其应用
7.3.1集成电路中常用的电流源电路
7.3.2集成电路中电流源的主要应用
16/117

7.3.1 集成电路中常用的电流源电路
电流源(也称恒流源)电路特点:输出稳定电流的电子
电路。

电流源的主要要求:
(1)能够输出符合要求的恒定直流电源;
(2)输出电阻尽可能大;
(3)温度稳定性好;
(4)受电源电压等因素的影响小。
17/117

基本镜像电流源

IC中常用的电流源电路 比例电流源
微电流源
威尔逊电流源
……
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
基本镜像电流源
18/117
1.基本镜像恒流源
若二管对称 1  2   ,IB1= IB2, IC1 = IC2,
VCC
则基准电流:
 2
I R  I C1  I B1  I B2  I C1 1   IR R Io
 
IR
I O  I C1  IC1
 2
1    T1 T2
  IB1 IB2
若   1 ,则有:
VCC  U BE
I O  I R,其中I R 
R
Io似IR的镜像,故称为镜像电流源电路。
19/117 VCC
若   1 ,则有:
IR R Io
VCC  U BE
IO  IR 
R
IC1
镜像电流源具有一定的温 T1 T2
度补偿作用,过程如下: IB1 IB2

T  I C1  I R  U BE  I B1 

IR 
电路优点:结构简单,两管参数对称符合集成电路特点、动
态电阻大。具有一定的温度补偿作用。
电路缺点: Io数值仍受电源电压VCC 、R和UBE影响,且不易
得到小电流(μA级) 。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
比例电流源
20/117
2.比例恒流源 VCC

在基本恒流源中,T1 、T2管对 IR R
Io
称,接入的射极电阻分别是R1 、R2 。
IC1 IC2
由于电路对称:UBE1≈UBE2 T1 T2
IB IB
所以 UE1≈UE2,即 IE1R1 ≈ IE2R2
IC1R1 ≈ IC2R2 R1 R2

R1 R
 I o  I C2  I C1  1 I R
R2 R2
显然,调整R1/R2比值,即可调整Io与IR的比例关系,所以称
VCC  U BE
为比例恒流源。其中参考电流 I R  。
R  R1
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
微电流源
复习:PN结电流I E  I s  eU BE / UT  1  I S eU BE / UT U BE  UT 时
IE
21/117  U BE U T ln
IS

3.微电流源
通过接入R2电阻得到一个比基准
电流小许多倍的微电流源(A级),
适用微功耗的集成电路中。 Io
UBE1=UBE2+IE2R2
Io≈IE2= (UBE1 -U BE2)/R2=UBE /R2
所以 UBE1 -U BE2=Io R2
一般IS1=IS2
由PN结电流方程可知:
UBE1 =UTln (IE1 /IS1), UBE2 =UTln (IE2 /IS2)
ln (IE1 /IE2)=ln (IC1 /IC2) ≈ ln (IR /Io) = IoR2/UT
由IR=(VCC-UBE1)/R,而Io=UBE/R2<<IR ,且Io 比IR的稳定性要好。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
威尔逊电流源
22/117
4.威尔逊电流源 Io
IR=IC1+IB3=IC1+IC3/3
IC1=IC2
 3 I E3
I C3 
1  3
I C1 I C2
I E3  I C2  
β1 β2
三只管子的特性一致,值相同,
解上面联立方程得
IR V  U BE3  U BE1
Io = 其中I R  CC 精度提高
 2  R
 1   IR
  2
 2  Io =
2
对比:基本镜像电流源 1

制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
多路恒流源
23/117
5.多路恒流源 (也称为多路镜像电流源)
通过一个基准电流源稳定多个三极管的工作点电流,即可
构成多路电流源,一个基准电流IR可获得多个恒定电流Io1、
Io2,如下左图。
在IC中多路恒流源是由多个集电极晶体管实现的,如下右
图。
VCC

IC1
IR R
IC2 IC3
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
场效应管组成的电流源
24/117
6.场效应管组成的电流源-基本MOS管电流源
VCC
若二管对称时,基准电流
IR R Io=ID1
VCC  U GS
I R  I D1  I D2 
R
其中I D1  K U GS  U GS,th 
2
T1 T2

解上面二元二次方程,得到Io。 UGS

若二管不对称时,但是开启电压相同时,有
nCOX W
I D  K  UGS  UGS,th   U  U GS,th 
2 2
GS
2L
I D1 W1 L1
所以有 
I D2 W2 L2
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
集成电路中电流源的主要作用
25/117

7.3.2 集成电路中电流源的主要应用
电流源主要应用
(1)作直流偏置电路
(2)作有源负载取代电阻。

重要!
26/117
举例1:利用电流源取代电阻RC作有源负载。
+VCC +VCC +VCC

RC Io
RC  ro
T1 T2
uo RC
T
uo IR
ui T
R

Io=IC2

(a) 共射极电路 (b) 共射极有源负载电路 ui T uo

(c) 镜像电流源作有源负载电路
27/117
举例2:利用电流源作为直流偏置电路的CC组态放大电路。

+VCC

ui T

uo
Io

电流源作直流偏置电路
28/117
举例3:下图为型号F007运放的部分电路,假设图中所有的晶体
管发射结压降均为0.7V,试问
(1)若所有晶体管的β=50时,试求各管的集电极电流;
(2)若所有晶体管的β=5时,试求各管的集电极电流。
VCC
分析:
+15V
(1)T12、T13组成镜像电流源,
T12 T13
IC13=IC12 IC12
IR=IC12+2IB12 =(1+2 / β) IC12 R5
IC13

=(1+2 / β) IC13 IR
39kΩ
2V  U BE13  U BE11 IC10
其中I R  CC
R T10 T11
(2)T10、T11组成微电流源。 R4
3kΩ -VCC
ln (IR /IC10) = IC10R4/UT -15V
29/117
解:(1)I R  2VCC  U BE13  U BE11  30  0.7  0.7  0.73mA
R5 39
1 
I C13  I  I VCC
2 R 2 R
1 +15V
 T12 T13
  50时,I C13  0.70mA IC12
IC13
R5
IR
39kΩ
  5时,I C13 =0.52mA IC10

T10 T11
IR I C10 R4
(2) ln 
I C10 UT R4
3kΩ -VCC
用累试法和图解法解此超 -15V
越方程,得 I C10  28A
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
差分放大电路的组成及
输入输出方式
30/117

7.4 差分放大电路
7.4.1 差分放大电路的工作原理及性能分析
7.4.2 改进型差分放大电路
7.4.3 差分放大电路的失调与温飘
31/117
7.4.1差分放大电路的工作原理及性能分析

1. 差分放大电路的组成
由对称的两个放大
电路组成。如CE差分放
大电路由完全对称的两
个共射放大电路组成,
通过射极公共电阻Ree耦
合构成的。
说明:差分放大电路简称为差放,也称为差动放大电路。
32/117
对称
两个三极管的特性一致, 电路参数对应相等。

hfe1=hfe2=hfe
UBE1=UBE2= UBE
hie1= hie2= hie
ICBO1=ICBO2= ICBO
RC1=RC2= RC
Rb1=Rb2= Rb
33/117
2. 差分电路的输入输出方式
输入方式 单端输入
双端输入 uo

输出方式 单端输出 + uo1 uo2 +


双端输出
uii1 ui2
3. 差模信号和共模信号 -- -
差模信号
一对大小相等、极性相反的信号称为差模信号,用Uid1、Uid2
表示, Uid1= - Uid2 ,。
共模信号
一对大小相等,极性相同的信号称为共模信号,用Uic1、Uic2表
示,Uic1= Uic2
34/117

4. 差模输入、共模输入和任意输入
差模输入 当差放的两个输入为差模信号时,称为差模输
入方式。
共模输入 当差放的两个输入为共模信号时,称为共模输入方
式。
任意输入 对于差放的两个输入为任意信号ui1、ui2时,分析时
可将它们分解成一对共模信号和一对差模信号。
分解任意输入信号的一般公式为:
ui1=uic1+uid1 uic1=uic2=(ui1+ui2)/2
ui2=uic2+uid2 uid1=-uid2=(ui1-ui2)/2
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
差分放大电路的静态分析
35/117
5. 差分电路的静态分析
由于电路结构对称,
管子特性一致,所以有:
IBQ1=IBQ2 = IBQ
ICQ1=ICQ2=ICQ
UC1=UC2
I BQ Rb  U BE  2I EQ Ree  VEE
 VEE -U BE
 I BQ  R  2(1  β )R 2IEQ
 b ee
I  β I
 CQ BQ -VEE+2IEQRee=IBQRb-UBEQ1≈0

U CEQ  VCC  I CQ Rc  2I EQ Ree  VEE


制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
差放动态分析
—差模输入的放大作用分析
36/117
6. 差分电路的动态分析
1)对差模输入的放大作用分析 V CC

双端输入的是一对差模信号.
电路差模输入电压为 RC R C
ib2
ib1 + u -
uid= uid1-uid2=2uid1 ucd1
o
ucd2
R b Rb
M R
ib1= -ib2 + T1
L
T2
N

ie1= -ie2 ++ uid1


ucd1= -ucd2 --
uid Ree

流过Ree的交流电流: i e1 ie2
-V
ie=ie1+ie2= 0 -- u  u EE

+ id2 id1
所以Ree上交流压降为0。 -
+
注意:画交流通路时,Ree可视为短路,即两管的发射极直
接接交流地。
37/117
由ucd1= -ucd2可知,RL两端电位一端为正,一端为负,RL的
中间点应是地电位,即每管对地的负载电阻为RL/2。

RC RC
+ uo -
ucd1 ucd2
Rb Rb
M RL N
T1 T2

uid1 uid2  uid1


+ - - +

差模输入时的交流通路
38/117
RC RC
差模输入的放大输出值uod为 ucd1
+ uo -
ucd2
Rb Rb
M RL N
uod= ucd1-ucd2 =2 ucd1 T1 T2

差放电路性能分析内容:
(1)电压增益 uid1 uid2  uid1
(2)输入电阻 + - - +
(3)输出电阻 差模输入时的交流通路
uod
ucd1 ucd2
Rb RL RL Rb
T1Rc 2 Rc T2
uid1 2 uid2
uid
39/117 ucd1 uod ucd2

M Rb RL Rb N
差模输 RL
T1Rc 2 Rc T2
入时交 uid1 ie1 2
ie1 uid2
流通路
uid
ucd1 uod ucd2 R'L=Rc//(RL/2)
差模输
R hfe1ib1 hfe2ib2 R
入时低 M b RL RL b N
T1 R'L R'2 T2
频小信 uid1 h 2 L
uid2
号等效
ie1 hie2
电路

- hfe RL 
- hfe RL
ucd1  uid1 ucd2  uid2
Rb  hie Rb  hie
40/117
-hfe RL -hfe RL
双端输出电压增益 ucd1  uid1, ucd2  uid2
Rb  hie Rb  hie
AUd 
uod 与单管
uid 增益相同 ucd1 uod ucd2
ucd1  ucd2
 M Rb RL Rb N
uid1  uid2 RL
T1Rc 2 Rc T2
uid1 ie1
2
-hfe RL ie1 uid2

Rb  hie
uid
ucd1 uod
输入电阻 ucd2
Rid  2  Rb  hie  Rb hfe1ib1 hfe2ib2 Rb
M RL N
T1 R'L R'L T2
输出电阻 uid1
2
hie1 hie2 uid2
Rod  2 Rc
41/117

单端输出电压增益: 是单管增益的一半
ucd1 1 hfe RL
T1管集电极端输出 AUd  
ucd1

uid 2u id1 2 Rb  hie
u -u 1 hfe RL
T2管集电极端输出 AUd  cd2  cd1 
uid 2uid1 2 Rb  hie

输入电阻Rid=2(Rb+hie) 输出电阻Rod ≈ Rc
ucd1 ucd2

Rb RL RL Rb
T1Rc 2 Rc T2
uid1 ie1 2
ie1 uid2
uid
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
差放动态分析
—共模输入的抑制作用分析
42/117
2)对共模输入的抑制作用分析
双端输入是一对共模信号时:
因为 uic1=uic2=uic (经RL电流为零) uic2
所以 ib1=ib2 , ie1=ie2
流过Ree上的电流:
ie=ie1+ie2=2 ie1 ie1 ie2
Ree上的交流电压:uRee=2ie1Ree
画交流通路时,单管射极电阻应为2Ree。
单端共模输出电压增益(等效电路略):
uoc1 ic Rc
AUc (单) 
uic1 (Rb  hie )ib  ie1  2Ree
- hfe Rc Rc
 
Rb  hie  (1  hfe )  2 Ree 2Ree (1+hfe)·2Ree>>Rb+hie
43/117 uoc1 uoc1  Rc
单端共模输出电压增益 AUc ( 单)  
uic1 uic 2Ree
双端共模输出电压增益 uoc1 uoc uoc2 Rb N
M Rb
AUc(双)
uoc

uoc1  uoc2
0
T1 工作原理
T 2
uic uic iec1 Rc uoc1 uoc2 Rc iec2
uic1 uic2
M端输入电阻: 2Ree 2Ree
Ric (单)  Rb  hie  (1  hfe )  2Ree

共模输入电阻(对于uic来说,两个输入端并联):
R(双)
ic   Rb  hie  (1  hfe )  2Ree  / 2
共模输出电阻 R(双)
ic  2 RC , R(单)
ic  RC
小结:差放电路对共模信号具有很强的抑制能力,理论上双端
输出增益为0。单端输出时Ree越大,抑制能力越强,AUc远小于1。
因此由外界因素产生的同向漂移将有效的被抑制,如温度等。
44/117
3)共模抑制比CMRR

共模抑制比CMRR—衡量差放的一个重要指标。
AUd AUd
CMRR  或 CMRR  20lg (dB)
AUc AUc

双端输出: AUc  0 CMRR  

AUd (单)
单端输出: CMRR( 单)
AUc (单)

说明: 实际运放CMRR的典型值一般为几百dB。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
差放动态分析
—任意信号的输入作用分析
45/117
4)对任意输入信号的分析方法
对于两个任意输入信号ui1和ui2,分析时可将它们分解成一
对共模信号和一对差模信号,分别计算差模输入增益和共
模输入增益。
ui1=uic1+uid1
ui2=uic2+uid2
分解任意输入信号的一般公式为:
uic1=uic2=(ui1+ui2)/2
uid1=-uid2=(ui1-ui2)/2

任意输入信号时输出电压的分析方法:分别计算差模输入
和共模输入时的输出电压,利用叠加定理,计算总的输出。
46/117

5)单端输入差放电路的分析

ui1=ui ,ui2=0

处理方法:按任意信号处理

uic1=uic2=(ui+0)/2= ui/2
uid1=-uid2=(ui-0)/2= ui/2

说明:对于单端输入,实际上就是双端输入的特例,相当于差
模信号ui/2, -ui/2 和共模信号 uic1=uic2= ui/2共同输入的结果。
47/117
6)单输入差放电路的分析

ui1-ui2=ui
+Vcc

RC RC
+ uo -
uC1 uC2
RB RB
M RL N
T1 T2
+
ui
- REE

-VEE
48/117
单输入差放电路处理方法:对于交流而言,考虑到差分电
路的对称性,M、N之间的中间点应该是零电位,此时电路
的交流通路可以整理如下。之后按任意输入信号的分析方
法进行分析。

RC RC
+ uo -
uC1 uC2
RB RB
M RL N
T1 T2

REE

ui uui i
-
2 22
+ - +- +-

假设电路已经有核实的直流偏置
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
差放动态分析
—差模传输特性分析
49/117 e x  e x
thx  x
e  e x
7. 差模传输特性 +Vcc

概念:差模传输特性是指差放电路输出 RC
+ uo -
RC

差模电压(或差模电流)随着差模输入 uC1 uC2

T1
电压变化的特性。 +
T2

ui
两个晶体管发射电流分别为 -

 iE1  I s  e uBE1 / UT  1
IO


 -VEE

 i
 E2  I s  e uBE2 / UT
 1 uo   RC I o th
ui
UT

经整理得
u o

uo   RC I o th i ui
UT
特点:线性放大范围小。
50/117
推导过程(了解): +Vcc

 C1 E1

s 
 i  i  I e uB E1 / U T  1  RC RC

 
+ uo -
uC1 uC2

 C2
i  i E2  I s e uB E2 / U T
1 T1 T2

 
+

 I o  iC1  iC2  iC1 1  e uB E2 uB E1 / U T


ui
-

 
IO

 iC2 1  e uB E1 uB E2 / U T -VEE

 Io Io  U id 
iC1   uBE2  uBE1  / U T
 1+th 
 1 e 2  2 U T  e x  e x
 其中thx  x
i  Io Io  U id  e  e x
 1-th 
 C2 1  e  uBE1  uBE2  / UT 2  2U T 

Uid Ui
Uo    iC1  iC2  RC   RC I o th   RC I o th
2UT 2UT
51/117

增加线性放大范围的改进方法小:增加反馈电阻RW.
+VCC

RC RC
+ uo -

+ T1 T2
RW
ui
-
IO

-VEE

应用:乘法器电路设计等。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
恒流源差分放大电路
7.4.2 恒流源差分放大电路
52/117

1. 恒流源替代射极电阻Ree的差分放大电路
为了提高共模抑制比应加
大Ree 。但Ree加大后,为保证 +V cc

工作点不变,必须提高负电源, R CR C

+ u -
这是不经济的。同时集成电路
o
u C1 u C2
I B I B

难以制造大电阻,为此可用恒 VT1 VT2


R R
流源来代替Ree 。
B B
+ +

恒流源动态电阻大,可提 u
-
i1

I T3
u
-
i2

高共模抑制比。并可提供一个 R ee
o

-V EE
稳定的偏流。同时恒流源的管
压降只有几伏,可不必提高负 -VEE+2IEQRee=-IBQRB-UBEQ1≈0
电源之值。这种电路称为恒流
源差动放大电路。
53/117
恒流源差分电路1:
+Vcc
RC RC
100kΩ RL 100kΩ
300Ω
RB RB
M 5kΩ 5kΩ N
VT1 RW VT2
+ 100Ω
ui RB
-
RZ,1kΩ
VT3 +Vcc

REE DZ
53kΩ

-VEE
54/117
恒流源差分电路2:
1)恒流源作用一:提供偏置电流
Ube+I3R3=2UD+I2R2 (1)
由于IB3很小→ I1≈I2
Ee  2U D
I1  I 2 
R1  R2
如果 R1=R2 ,UBE=UD,将I2代入(1)
Ee
I c3  I 3  (恒定)
2 R3
55/117
2)作用二:作为有源负载,提供大
动态电阻Ree。

1 hfe3 R3
ro3  (1  )
hoe3 Rb3  hie3  R3
Rb3=R1//R2
(推导过程略)

用恒流源输出等效高阻代替实体
电阻,称该电阻为有源负载。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
温漂和失调
56/117
7.4.3 差分放大电路的失调与温飘
复习:零点漂移
零点漂移现象: 在直接耦合
的放大电路中,输入为零,而
输出电压却缓慢变化的现象,
称为零点漂移现象。

零点漂移产生的原因:对于
电源电压稳定、器件经过老化处
理的电路,温度变化所引起的半
导体器件参数的变化是产生零点
漂移现象的主要原因,因此零点 放大电路
漂移也称为温度漂移,简称温漂。
57/117

抑制零点漂移的方法: uo
(1)温度补偿
+ +-
(2)引入直流负反馈 ui1 ui2
(3)采用差分放大电路 -

2. 差放的失调
由于差放电路不对称,输入为零时,输出电压并不为零的现象。
差放的失调有失调电压和失调电流。
58/117
差放性能小结
1.差放电路的电压增益性能仅与输出方式有关,而与输入
方式无关;
2. 差放双端输出增益与单管放大增益相同;
3.差放单端输出增益是双端的一半,但是不同管子的输出
极性不同。
4. 差放输入电阻与输入方式无关,是单管放大电路输入电
阻的2倍;
5. 差放输出电阻与输出方式有关。
59/117
差放单元小结:
6. 差放仅仅放大差模输入信号,对共模输入信号有抑制
作用。
7. 对电源扰动及温度变化,在直接耦合放大电路中被逐
级放大,从而引起较大输出误差 ,产生零点漂移。对
于差放电路两个输入端产生同样的误差,这种大小相
等、极性相同的误差信号就是共模电压信号。
8. 差放电路是利用电路对称的特点,将一个管子产生的
漂移用来补偿另一只管子产生的漂移,从而抑制漂移。
9. 这种对称性在集成工艺中较易实现。因此,集成电路
中广泛使用差分电路。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
功率放大电路概述
60/117

7.5 低频功率放大电路
7.5.1 功率放大电路概念
7.5.2 乙类互补推挽功率放大电路
7.5.3 甲乙类互补推挽功率输出级
7.5.4准互补推挽输出级(复合管)
7.5.5单电源甲乙类功率输出级(OTL)
61/117
7.5.1 概述
1.功放概念:功率放大电路是一种以输出较大功率为目的
的放大电路,功率放大电路简称为功放。

2. 对功放的要求:为了获得大的输出功率,必须使
输出信号电压大;
输出信号电流大;
放大电路的输出电阻与负载匹配。
62/117

3. 电压放大器与功率放大器的区别
1)任务不同
①电压放大:不失真地提高输入信号的电压幅度,以驱动后
面的功率放大级,通常工作在小信号状态。
②功率放大:信号不失真或轻度失真的条件下提高输出功率,
通常工作在大信号状态。
2)分析方法不同
电压放大:采用微变等效电路法和图解法
功率放大:图解法
63/117
4. 功率放大电路中的一些特殊问题
1) 要求尽可能大的输出功率。管子工作在极限的工作状态。
负载得到的有用信号功率Po
2) 转换效率  =
电源供给的直流功率PDC
3)非线性失真要小
在大信号状态工作必然引起失真的问题,这就存在增
大输出功率和失真严重的矛盾,这就要求在电路结构上进
行改进,尽可能大的提高输出功率,减小非线性失真。

4)要考虑半导体三极管散热的问题。电源供给的能量大多
数以管耗的形式消耗掉,通常功放电路中的工作管必须加
散热片。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
放大电路的效率分析
64/117
5. 放大电路效率问题 1)单管CE组态放大电路
PDC = ICQVCC
(图中绿色矩形区域)
1 VCC
Po(max) =  I CQ  (灰色三角形区域)
2 2
(
Pcmax = I CQVCEQ  2Pomax 当VCEQ  0.5VCC )

转换效率:
Pomax
=  25%
PDC
65/117
集电极交流负载
2) 变压器耦合CE放大电路
2
VCC N 
RL
+ RL =  1  RL
N1 N2 RL u o  N2 
RC -
1
C iC 交流负载线 −
T RL
+ 1
ui - 直流负载线 − =
(RC=0) RC

转换效率: A Q I BQ
I CQ
Pomax
 =  50%
PDC

结论:低频小信号放大
电路效率低,最高50%。O VCC 2VCC uCE
D
66/117 Pomax
P
 = omax  25%  =  50%
PDC 1 PDC
iC 交流负载线 −
RL
1
直流负载线 − =
(RC=0) RC

A Q I BQ
I CQ

O VCC 2VCC
D

1)单管CE组态放大电路 2) 变压器耦合CE放大电路
问题:为什么低频小信号放大电路效率低?
解答:静态电流造成的管耗较大。如果将Q下移,使信号等
于零时,电源的输出等于零或很小,信号增大时,电源供给
的功率增大,这样便可解决效率低的问题。
67/117

3) 变压器耦合的乙类推挽功率放大电路

+ T1
N2 VCC N3
+
ui - N4
N1 - RL
-
+ N2 N3
T2
68/117 i C1

+ T1
1
交流负载线 − VCC
RL + N2 N3
ui - N4
N1 - RL
-
I CQ
+ N2 N3
T2

Q uCE1
uCE2
O VCC

变压器耦合乙类推
挽功率放大电路
作图分析

iC2

特点:可以实现阻抗变换,效率高,但是体积大,低频和高频特性差。
69/117

4) 无变压器耦合的乙类推挽功率放大电路
VCC
ui

t T1 iE1
C
+ + - +
ui RL uo
- T2
-
iE2 io
-VCC

特点:耦合电容大,低频特性不理想。
70/117

5) 无输出电容的乙类推挽功率放大电路
VCC
ui

t T1 iE1

+ +
ui RL uo
- T2
-
iE2 io

-VCC

说明:集成电路中应用广泛 。
71/117

6) 桥式推挽功率放大电路
VCC

T1 T3

+ uo -
+ -
RL

T2 T4

ui
说明:在单电源供电的情况下,不需要大电容。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
放大电路的工作状态
72/117

6. 放大电路的工作状态
在输入为正弦信号情况下,根据三极管导通时间,放大
电路可分为四类功放电路。但是目前功放电路分为五类:

① 甲类 (或称A类):晶体三极管导通角=2
② 乙类(或称B类):晶体三极管导通角=
③ 甲乙类(或称AB类):晶体三极管导通角 < <2
④ 丙类(或称C类):晶体三极管导通角 < 
⑤ 丁类(或称D类):不是工作点的不同,而是工作原理完
全不同的新型放大器,也称为数字放大器。
73/117 iC iC

甲类工作状态
iB = 常数
导通角=2 Q

O π 2 π ωt O uCE

iC
 iC

乙类工作状态
导通角=

iB = 常数
O π 2π ωt O Q uCE
74/117 iC
 iC

甲乙类工作状态
导通角 < <2
Q iB = 常数

O  2 ω t O uCE

iC iC

丙类工作状态
导通角 < 

iB = 常数
O  ωt O uCE
Q
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
常用乙类互补推挽功放介绍
75/117
7.5.2 乙类互补推挽功率放大电路
一、常用乙类互补推挽功放
(1)无输出变压器的功率放大电路,简称OTL:Output Transformerless
(2)无输出电容的功率放大电路,简称OCL:Output Capacitorless
(3)桥式推挽功率放大电路,简称BTL:Balanced Transformerless

OTL OCL BTL


制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
OCL互补功放的组成及工作原理
76/117
二、OCL互补功率放大电路组成及工作原理
ui
VCC
1.组成 t
由一对NPN、PNP特 iE1
T1
性相同的互补三极管
组成。 + +
ui RL uo
2. 电路工作原理 - T2
-
iE2 io
输入ui=0时(静态时)
输出uo=0。 - VCC

当ui 处于正半周时,T1导通,T2截止,流过RL的电流为iE1。
当ui 处于负半周时,T1截止,T2导通,流过RL的电流为iE2 。
由于管子的对称性, 在负载上获得完整的正弦波形。
77/117 ui iE1
VCC
t
T t
T1 iE1
iE2
+ +
ui RL uo
T2 T
- -
io
iE2 io

t
- VCC T
uo
输入信号处于正半周时, Uom
输出电压的峰值
Uom= VCC -Uces1 ≈ VCC T t

输入信号处于负半周时, O ωt
输出电压的峰值
- VCC -Uces2 ≈ - VCC =- Uom OCL电路及输出输出波形
78/117 io iC1
将两个放大电
-1/RL
路的输出特性
iC1 曲线合成。
Iom iB = 常数
Q UCES O
O ωt uCE2 O UCES VCCQ uCE1

Iom iC2

ui C2
VCC
t i
T1 iE1
U om
+ + uo
ui RL uo
- T2 U om
-
iE2 io
ωt
- VCC
OCL电路的作图分析法
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
乙类互补推挽功率放大电路的
分析计算
79/117 VCC
三、功放电路的分析计算
T1 iE1
1. 交流输出功率Po
2
Uom Iom U om
Po = uo io =  = +
ui
+
2 2 2RL RL uo
- T2
-
VCC 2 iE2
Po(max) = io
2RL
- VCC
2. 电源提供的直流功率PDC
io
每个单管工作半周时的平均电流为: iE1
1  Iom
I DC(single) =
2 0
Iom sin  td t =
 2
 ωt
IomVCC UomVCC
PDC(single) = IDC(single) VCC = = -iE2
π πRL O
80/117 U om 2
复习Po =
2RL

2UomVCC 2VCC 2
PDC = 2 PDC(single) = PDCm 
πRL πRL

Po  Uom 
3. 效率  = =  m = = 78.5%
PDC 4 VCC 4
4. 集电极的最大功耗PC
2VCC 2Po
PC = PDC − Po =  − Po
π RL Po =
U om 2
2RL
81/117

PC 2VCC 2 PO
PC = − PO
 RL

PO
matlab代码如下。
x = 0:0.1:10
y = 24/pi*sqrt(2*x/8)-x;
plot(x,y)
82/117

4. 集电极的最大功耗PC
2VCC 2Po
PC = PDC − Po =  − Po
π RL Po =
U om 2
2
2RL
dPC 2VCC
令 = 0,解得Po = 2 时
dPo π RL
2
2VCC 4Pom
PCmax = 2 = = 0.4 Pom  PTm
π RL π 2

PTm(single) = 0.2Pom
83/117 乙类功放
甲类功放
VCC 2
(CE、无变压器) Po(max) =
Pcmax = 2Po 2 RL

max = 25% VCC 2


PDCm 
πRL
例:负载输出功率 Po=1W, Pcmax = PTm = 0.4 Po
甲类CE单管放大和乙类放 PTm(single) = 0.2 Po
大电路的管子子功耗是多少? max = 78.5%
分析:

甲类:Pcmax=2W→ 大功率管 →必须加散热片→体积增大


乙类: Pcmax=0.2W —中功率管
说明:一般0.1W以下的管子称为小功率管。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
乙类互补推挽功放的选择
84/117 复习:晶体三极管极限参数,反向击穿电压UCEO,B、UEBO,B、 UCBO,B

四、 功率管的选择
每只管子的最大允许功耗 PCM  0.2 Pom
每只管子的反向击穿电压 U CEO,B  2VCC
VCC
每只管子允许的最大集电极电流 I CM  I om 
RL
VCC
思考题:如果明确最
T1 大输出功率Po(max)和负
+ +
载RL,如何选择电源电
ui
- T2
RL uo 压VCC?
-

VCC 2
提示Po(max) 
- VCC 2 RL
85/117
例题: OCL功放电路图所示。其中VCC=15V,RL=8Ω,选
取的功放管的极限参数为ICM=5A,反向击穿电压,
|U(BR)CEO|=50V, PCM=5W。

试问此时功放管是否能安全工作?
VCC

T1

+ +
ui RL uo
- T2
-

- VCC
VCC
例题 OCL功放电路图所示。其中
86/117
VCC=15V,RL=8Ω,选取的功放管的极 T1
限参数为ICM=5A,反向击穿电压,
+ +
|U(BR)CEO|=50V, PCM=5W。 ui RL uo
- T2
-
解:根据题意,计算功放电路的参数如下。
输出最大功率
2 2
- VCC
1 V 1 15
Po(max) 
  
CC
 14.1W
2 RL 2 8
单功放管的最大功耗  0.2 Pomax  0.2 14.1  2.8W
VCC 15
晶体三极管体管的最大集电极电流 =   1.9A
RL 8

晶体三极管管承受的最大反偏电压  2VCC  2 15  30V


例题 OCL功放电路图所示。其中VCC=15V,RL=8Ω,选取的功
87/117
放管的极限参数为ICM=5A,反向击穿电压,
|U(BR)CEO|=50V, PCM=5W。
与已经选取的功放管的极限参数进行比较,

VCC
管子的最大集电极电流ICM=5A> Iom =  1.9A
RL
管子的反向击穿电压 U (BR)CEO  50V>2VCC
(=30V)
VCC

管子的最大功耗 PCM=5W>0.2Pom = 2.8W


T1

+
结论:比较结果说明该功放电路的 ui
T2
RL
+
uo
- -
功放管是安全的。
- VCC
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
甲乙类互补推挽功放
7.5.3甲乙类互补推挽功率放大电路
88/117

1. 乙类互补对称功率放大器存在问题
当输入信号Ui在0~Ube之间变化时,不足以克服死区电
压,三极管不导通,此时在正、负半周交替过零处会出现
一些非线性失真,这个失真称为交越失真。
ui
VCC

Uon t
T1 iE1
-Uon

+ +
ui RL uo uo
- T2
-
交越失真
iE2 io io
t
- VCC
89/117
解决交越失真办法:可给三极管稍稍加一点偏置,让管子工作在
临界导通或微导通状态,使之工作在甲乙类。
VCC
2. 甲乙类双电源互补对称放大电路 R
1

甲乙类双电源互补对称放 T3
+ T1 iC1
大电路1特点: ui
-
D1 io
(1)静态时,三极管微导 M +
通,给三极管稍加了一点 0.7V D2 T2 RL uo
-
偏置,iC1=iC2, io=0,电路 R2 iC2

工作在甲乙类。静态时M
-0.7V - VCC
点电位为零。
(2)不易调整偏置。 甲乙类双电源互补对称放大电路1
90/117 VCC
甲乙类双电源互补对称放大电路2特点:
R
由于流入T4管的基极电流很小,
E3
iC1

流经R1电阻和R2的电流近似相 + T3

等,有 u i
T1
-
U BE4 U CE4
 R1 io
R2 R1 +R 2 M T4 +
R2 RL uo
对于T4管,其发射结的导通电 T2
-

压基本稳定(如硅管约0.7V, RC3
iC2
锗管约0.3V),所以有
 R 
- VCC
U CE4  1  1   U BE4
 R2  甲乙类双电源互补对称放大电路2
微调R1和R2的比值,就可以得到满意的T1、T2管的偏压值。
调整R1、R2、T3参数,使R1和R2中间点的电位近似 为0。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
准互补推挽功率放大电路
91/117
7.5.4 准互补推挽输出级
准互补推挽功率输出级概念: 用复合管构成的互补推挽功率输出
级称为准互补推挽功率输出级。
VCC VCC

RE3 Io
iC1

T3 B1
+ IR2 R1 T1A T1B
ui
- T1 T4
R1 io
R2
M T4 + B2 +
R2 RL uo RL uo
- -
T2 T2A T2B
+ T3
RC3
iC2 ui

`
-
-VCC
- VCC

甲乙类双电源互补对称放大电路2 准互补推挽功率输出
92/117
复合管概念:两支或两只以上管子(三极管或场效应管)合
理连接等效成一只管子,称为复合管或达林顿管。
复合管组成原则:在合适的外加电压下,每只管子的电流
都有合适的电流通路,才能组成复合管。
目的:增大管子电流增益(如β),减小前级驱动电流。
3 3 3 3
2 2
2 2

1 1 1 1
iE  iB1 (1  1 )(1   2 ) 经验:不同类型的管子复
  1 2 合后,其类型决定于T1管。
93/117

复合管的形式和参数:
常用有四种复合形式:
T1 T1 T1 T1 T2
T2 T2 T2

复合管的管型与T1相同。
这四个复合管的参数
均为:
hfe≈hfe1hfe2
NPN PNP NPN PNP
例题1 计算电压增益。
94/117

ic2  ic1   2 ib2


ri1
ic1   1 ib1 , ib2   1    ib1
直接计算:
 ic2   2  1 ib1
复合管电流增益为β1β2, 复合管共射电路增强了电流的放大能力
电压增益为 ,增大β倍。减小了对信号源驱动电流
uo  1  2  RC // RL  的要求。
AU   uo  1  2  RC // RL 
ui ri1 AU  
ui rbe1   1   1  rbe2
95/117
例题2 某放大电路交流通路如下图,计算电压增益。

分析:共射与共基电路组合在一起,既保持了电压的放大
能力,又获得较好的高频特性。如果把连个管子看做时
NPN型复合管,则是等效的CE组态放大电路。
uo ic1  RC // RL  1  RC // RL 
AU   
ui ib1  rbe1 rbe1
期中试题分析:
96/117
四、
分析图5所示电路,画出交流等效电路,求出AU,回答下列问题
1. 画出交流通路。
2. 第一级反相传输系数
3. 分析电路的高频特性。
解答:
1. 交流通路如下。
97/117
交流等效电路如下。
hfe2ib2
B1 C1 E2 C2

+ ib1 +
hfe1ib1 ib2 +
RS
ui Rb1//Rb2 uo1 RC
hie2 RL uo
+ hie1
us -
- - -
E1 B2
ri1 ri2 ro

输入信号源 第一级放大电路 第二级放大电路 负载

uo hfe 2ib2 ( RC // RL ) hfe 2 ( RC // RL )


AU2   
uo1 ib2 hie 2 hie 2
98/117 io1
hfe2ib2
B1 C1 E2 C2

+ ib1 +
hfe1ib1 ib2 +
RS
ui Rb1//Rb2 uo1 RC
hie2 RL uo
+ hie1
us -
- - -
E1 B2
ri1 ri2 ro

输入信号源 第一级放大电路 第二级放大电路 负载

uo1 hfe1ib1r2 hfe1r2


AU1   
ui hie1ib1 hie1
uo1 ib2 hie2 hie2
其中r2 =  
io1 (1  hfe 2 )ib2 (1  hfe 2 )

hfe1hfe2 ( RC // RL ) hfe1 ( RC // RL )
AU  AU1 AU2   
(1  hfe2 )hie1 hie1
hfe2ib2
io1
99/117 B1 C1 E2 C2

+ ib1 +
hfe1ib1 ib2 +
RS
ui Rb1//Rb2 uo1 RC
hie2 RL uo
+ hie1
us -
- - -
E1 B2
ri1 ri2 ro

输入信号源 第一级放大电路 第二级放大电路 负载

2. 第二级放大电路为CB组态其输入电阻ri2很小, ri2为第一级
CE反相放大电路的负载,因此第一级反相放大电路的增益比
较小。
3. 这是一个CE、CB组态级联放大电路,在高频情况下,CE
组态放大电路的密勒倍增效应与电压增益成正比。由于第二
级CB组态的输入电阻小,减小了CE组态的电压增益,因此
CE组态输入回路的密勒倍增电容将很小,减小了输入回路时
间常数,从而提高了CE放大电路的高频截频 fH,电路具有较
好的高频特性。
100/117
练习:判断下列各图是否能组成复合管?
判断准则:在合适的外加电压下,每只管子的电流都有合适
的通路,才能组成复合管。

能 不能 不能

能 能 能
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
单电源互补推挽功放输出级
101/117
7.5.5单电源互补推挽功率输出级(OTL)
问题: 单电源互补推挽功率放大电路是如何工作的?
R1 +VCC
静态分析:
T1 和T2对称,Co两端的电 VT1
压为UC1=VCC/2,输出电 CO
C1
压uo为零。 D1
+
ui D2 RL uo
动态分析: VT2 -
ui正半周时, T1导通; R2
ui负半周时, T2导通。

Co的作用:既是耦合电容也是储能电容,在T2导通时作辅助电源。
104/117
单电源互补推挽功率放大电路(OTL)的分析方法:
功率分析可近似用乙类的计算公式,但应注意公式中的VCC应
换成VCC/2。

R1 +VCC
VT1

Ci CO
D1
+
ui D2 RL uo
VT2 -
R2

单电源互补推挽功率放大电路(OTL)
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
D类功放
105/117
7.5.6 D类功率放大电路简介
1. 五类功放电路特性小结
① 甲类(或称A类):失真最小,静点工作电流大,效率低;
② 乙类(或称B类) :失真较大,静点工作电流小,效率较高;
③ 甲乙类(或称AB类):失真较小,静点工作电流较小,效率
较高;
④ 丙类(或称C类):失真极大,主要用于射频电路(详见通
信电子线路)
⑤ 丁类(或称D类):工作原理与前四类完全不同,有人称之
为数字放大器。效率非常高,理想可达到100%。
106/117 PWM信号解调电路
2. D类放大器的构成(原理)
三角
- 低通
275kHz 波发 比较器 功放
生器 + 滤波
以上

输入信号 脉宽调制信号PWM

比较器输出

功放输出
107/117
D类功放的性能主要取决于以下几个方面:
1)三角波频率。三角波为抽样脉冲,需要满足抽样定理。
2)三角波稳定度。决定信号的线性失真程度。
3)比较器(也称调制器)精度。精度越高,调制信号的动
态范围就越大。
4)解调电路(即低通滤波)的性能要有保证。
5)实际应用中还要考虑射频干扰,应对其采取良好的屏蔽
措施。

三角
- 低通
波发 比较器 功放
生器 + 滤波

输入信号 D类放大器的构成
108/117

3. D类放大器效率
D类放大器特点:是一种具有极高工作效率的开关功率放大
器,被放大的信号不是信号信号本身,而是信源信号经过
采用变化为脉宽变化的开关信号,此时功放电路中的功率
管均处于开关状态。

D类放大器效率:
(1)在理想情况下,功率管导通时没有电压降(饱和压降
忽略不计),关断时没有电流流过,效率可达100%。
(2)实际应用中,由于受器件限制(如开关速度、漏电流、
导通电阻不为零等)和设计上的不完善,其实际效率通常
可以达到90%以上。
109/117

4. D类放大器的原理图
+Vcc
M1
vi
信号 - L1
co vo’ vo
比较器
三角
+ R1
波 vT C1
M2
-Vcc C2

单端输出的D类放大器
110/117

加负反馈的D类放大器

5. D类放大器的应用
集成芯片:无滤波器双路D类功放MAX9713/9714等。
主要应用:手机、MP3、iPad等。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
集成运放简介
111/117
7.6 模拟集成运算放大器
常用集成运放芯片部分型号(可查运放手册)
CA3080 跨导运算放大器
CA3100宽频带运算放大器
CA3193 BiMOS精密运算放大器
CA3401 单电源运算放大器
F007 通用型放大器
F010 低功耗运算放大器
F118 高速运算放大器
F1550 射频放大器
F1490 宽频带放大器
112/117
LFC2 高增益运算放大器
LFC3 中增益运算放大器
LFC4 低功耗运算放大器
LFOP37 超低噪声精密放大器

LM318 高速运算放大器
LM324 四运算放大器
LM358 单电源双运算放大器
LM380 音频功率放大器
NE592 视频功率放大器
TL081 通用JFET输入型运算放大器
TL082 四高阻运算放大器
113/117

输入级 中间放大级 输出级

共集-共射差分放大电路 共集-共射放大电路 射级输出电路

LM324放大单元的内部电路图
114/117
单极型集成运放
+UDD

T1 T2

T7

UI UO
T3 T4 C

T8

R T5 T6

-USS

C14573 的电路原理图

第一级是以P沟道管T3和T4为放大管、以N沟道管T5和T6管构成的
电流源为有源负载。
第二级是共源放大电路,以N沟道管T8为放大管,漏极带有源负载。
115/117

送到另外一组放大电路

输入级 输出级

源级耦合差放 共源放大

MC14573放大单元的内部电路图
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
第七章小结
《双极型模拟集成电路》

主讲:白双
本章主要内容
1.恒电流源电路及其分析方法、作用
2. 差放电路的分析方法
3. 功放电路的分析方法

本章重点和难点
1.差放电路的分析方法
2. 功放电路的分析方法
1.恒电流源电路及其分析方法、作用
恒电流源电路
基本镜像电流源

比例电流源

微电流源

威尔逊电流源

多路恒流源

电流源电路特点:输出直流电流、直流电阻小、交流电阻大、温度特性好、
受电源电压等因素的影响小。
基本镜像恒流源
IO犹如IR的镜像故称为镜像电流源电路。

比例恒流源
调整射极电阻的比值,即可调整IO与IR的比例关系,所以称为比例恒流源。

微电流源
通过对基本镜像恒流源电路进行改变,得到一个输出比基准电流小许多倍
的微电流源(A级),适用于微功耗的集成电路。
威尔逊电流源
利用负反馈原理构成,具有很高的传输精度。

多路恒流源
通过一个基准电流源稳定多个三极管的工作电流,即可构成多路电流源,
一个基准电流IR可获得多个恒定电流IO1、IO2。

电流源的主要应用
主要用于集成工艺制造的放大电路中,作用包括:
(1)作直流偏置电路,
(2)取代电阻作有源负载。
2. 差放电路的分析方法
差分电路的组成
由对称的两个放大电路组成。如CE差分放大电路由完全对称的两个共射
放大电路组成,通过射极公共电阻Ree耦合构成的。

差分电路的输入输出方式
单端输入 单端输出
输入方式 输出方式
双端输入 双端输出

差模信号和共模信号
差模信号:输入是一对大小相等、极性相反的信号,用Uid1、Uid2表示,Uid1= - Uid2。
共模信号:输入是一对大小相等,极性相同的信号,用Uic1、Uic2表示,Uic1= Uic2
差分电路的分析
(1) 静态分析

确定晶体管静态工作点。

(2) 动态分析

1)对差模信号的放大作用分析
差模信号增益,差模输入电阻,差模输出电阻。
2)对共模信号的抑制作用分析
共模信号增益,共模输入电阻,共模输出电阻。
3. 功放电路的分析方法
功率放大电路是一种以输出较大功率为目的的放大电路,用于驱动
执行装置。为了获得大的输出功率,往往使电路工作在大信号工作状态。

功放电路的分析方法:图解法

为提高功率放大电路的效率和改善失真,三极管可工作在不同的静
态工作点,根据导通时间不同可分为:
(1)甲类功率放大电路,
(2)乙类功率放大电路,
(3)甲乙类功率放大电路,
(4)丙类功率放大电路。
甲类功率放大电路
转换效率:   Po /PDC  25% (最大值)
集电极最大功耗: PCmax  PDC  4Po
甲类功率放大电路的静态电流造成的管耗较大,使电路转换效率低。

乙类互补推挽功率放大电路
由一对NPN、PNP特性相同的互补三极管组成。
效率:  Pomax /PDCmax    / 4  78.5%
集电极的最大功耗: Pcmax 单   0.2 Po
缺点:当输入信号Ui 在0~Ube 之间变化时,不足以克服死区电压,三
极管不导通。因此在正、负半周交替过零处会出现一些非线性失真,
这个失真称为交越失真。
甲乙类互补推挽功率放大电路
为解决交越失真,可给电路中的三极管稍稍加一点偏置,使之工作在
甲乙类。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
功放电路实验
一、实验测试要求

1.乙类功放交越失真测试
+5V
乙类功放电路由于静态
工作电流为零,因此输入信号 T1
在正、负半周交变时由于信号
+ +
幅度小会产生交越失真。测试 ui
RL uo
电路如右图所示,正确连接电 - T2
-
1kΩ
路,检查无误后接通电源,观
测乙类功率功放电路输出端产
-5V
生的交越失真现象。
乙类功率放大电路
2. 甲乙类功放对消除交越失真的测试
+5V

为消除交越失真,通过
改进电路给乙类功放中两个三 R1
2kΩ
极管提供正向小偏置电压,形 T1

成甲乙类功率放大器,使输入 D1
信号在正负半周交变时的小信 +
+ T2
号也可有正常的输出跟随输入 ui
D2 RL
1kΩ
uo
-
电压变化的能力,改进后的甲 -
R2
乙类功放电路测试电路如图右 2kΩ
所示。

-5V
甲乙类功率放大电路
二、电路仿真测试

1.乙类功放交越失真的仿真测试
Multisim仿
真乙类功放电路
图如右所示,输
入信号为频率
f=5kHz,uipp=3V
的正弦信号。
可通过仿真软件中的模拟示波器观测,当输入信号在正负
半周交替变化时,输出产生交越失真现象,仿真测试的输入、
输出波形如下图所示,其中红色曲线为输入,蓝色为输出,显
然存在交越失真。
2. 甲乙类功放仿真测试
Multisim仿真甲乙类功放电路如下图所示,输入信号为
频率f=5kHz,uipp=3V的正弦信号。
通过模拟示波器仿真观测输入、输出信号在正负半周交替
变化,波形如下图所示,显然乙类功放产生的交越失真现象被
消除了。
三、电路实测

1. 乙类功放电路实测

购买电子元器件,功放电路中的三极管选用对管S8050
和S8550,在北京交通大学定制的“口袋实验室”提供的面
包板上搭接乙类功放电路,检查无误后通电测试,其中输入
正弦信号的频率f=5kHz,幅度uipp=3V。利用“口袋实验室”
提供的虚拟示波器进行电路测量观察,实际电路连接测试环
境。用虚拟示波器测试输入、输出波形所示,使用RIGOL的
MSO2302A示波器对电路进行测量,输入、输出波形。
面包板上搭接的乙类功放电路
实际电路连接测试图
实验结论:
乙类功放
存在交越
失真!

虚拟示波器测试的乙类功放产生交越失真波形

用RIGOL示波器测试的乙类功放产生交越失真波形
2.甲乙类功放电路实测

面包板上搭接甲乙类功放电路,检查无误后通电测试,
其中输入正弦信号的频率f=5kHz,幅度uipp=3V。利用虚拟示
波器进行电路测量观察,实际电路连接测试环境。用虚拟示
波器测试电路的输入、输出波形,使用RIGOL的MSO2302A
示波器对电路进行测量,输入、输出波形。
面包板上搭接的甲乙类功放电路
实测环境
实验结论:
甲乙类功
放很好地
消除了交
越失真!
虚拟示波器测试的甲乙类功放输入、输出波形

用RIGOL示波器测试的甲乙类功放输入、输出波形
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
1/101

集成运放分析及理想运放模型
1/101
课程主要内容
1. 绪论
2. 晶体二极管及应用电路
3. 晶体三极管及基本放大电路
4. 场效应管及基本放大电路
5. 放大电路的频率响应
6. 负反馈放大电路
7. 双极型模拟集成电路
8. 双极型模拟集成电路的分析与应用(6学时)
9. MOS模拟集成电路(自学)
10.直流稳压电源电路
2/101
主讲:刘颖

第8章 双极型模拟集成电路的分析与应用
问题:
1. 理想集成运放有哪些特性?
2. 利用集成运放如何设计运算电路?
3. 利用集成运放可以实现哪些功能电路?
3/101
第8章 双极型模拟集成电路的分析及应用

8.1 运放线性应用及理想运放模型
8.2 基本运算电路
8.3 电压比较器
8.4 周期信号发生器
8.5 集成运放的其它应用电路
8.6 集成运放的主要参数
小结
4/101

8.1 运放线性应用及理想运放模型

8.1.1 运放线性应用和非线性应用
8.1.2 理想运放模型
5/101

理想模型
按精度分类 非理想模型
运放宏模型
运放模型分类 直流模型
按功能分类 交流小信号模型
大信号模型
噪声模型
运放分析特点:芯片内部分析复杂、外部特征特征分析简单
传输特性(输入与输出信号关系特性)
频域特性
运放分析内容 时域特性 本课程主要
温度特性 分析内容
噪声特性……
6/101
8.1.1 运放线性应用和非线性应用
输出
1. 线性应用:运放输入输出
成线性关系的应用。
电路特征: 此时运放以负反 输入
馈(闭环)应用为主。 电压放大器特性

输出
2. 非线性应用:运放输入输出
成非线性关系的应用。
电路特征: 此时运放为开环 输入
应用或带正反馈时的应用。 电压比较器特性
7/101
8.1.2 理想运放模型
1. 理想运放的性能
(1) 开环电压增益AUd=∞;
(2) 输入电阻Rid=∞;
(3)输出电阻Ro=0;
(4)频带宽度BW=∞;
(5)共模抑制比KCMR=∞;
(6)失调、漂移和内部噪声为零。
8/101
2.理想运放模型

I-
U- -
Uo
U+ + +
I+ AUd(U+-U-)
-

模型特点:
1) 同相端与反相端呈开路状态;
2)输出回路为一受控电压源AUd(U+-U-) ,由于
输出电阻Ro=0,所以Uo=AUd(U+-U-)。
9/101
3. 线性运用状态时主要特征
1) 虚断:理想运放的同相和反相输入端,因为Rid≈∞,电流近
似为零I+=I-≈0,称虚断。
2) 虚短:理想运放的同相和反相输入端电位近似相等 ,因为
U+-U-=Uo/AUd≈0,所以U+=U-,称虚短。
3)虚地:如将运放的同相端接地U+=0,则U-=0,即反相端是一
个不接“地”的“地”,称为“虚地”。
I- -
说明: U-
虚地点对地的电阻为“0” U+ + + Uo

I+ AUd(U+-U-)
-
Rid≈∞
10/101
4.非线性运用状态时主要特征(饱和工作状态时特征)
1) 虚断:理想运放的同相和反相输入端电流近似为零I+=I-≈0。

2)饱和:当U+ >U-时,Uo= AUd (U+-U-),为正饱和值;


当U+<U-时,Uo= AUd (U+-U-),为负饱和值。

I- - 输出
U-
+ + Uo
U+
输入
I+ AUd(U+-U-)
- 电压比较器特性
Rid≈∞
12/101

制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
反相比例运算电路
11/101

8.2 基本运算电路
8.2.1 比例运算电路
8.2.2 加减运算电路
8.2.3 积分运算和微分运算电路
8.2.4 对数运算和指数运算
8.2.5 乘法除法运算电路
说明:集成运放的应用最初表现在它能构成各种运算电路,因此
得名“运放”。
12/101 虚地点对地的电阻为“0”
8.2.1 比例运算电路 电压并联负反馈
1. 反相比例运算电路 If Rf
Ii R
∵ I+=I- ≈0,(虚断) ∴ Ii=If , 1
Ui -
∵U+=U-(虚短), U+= 0, I- Uo
+
∴ U-= 0(虚地) RP 平衡电阻
Ui  U  Rp≈R1//Rf
Ii  
Ui U Uo
, If  -
Uo

R1 R1 R Rf
f

R Uo Rf
Uo   f Ui  AU f   
R1 Ui R1

输入电阻Rif=Ui/Ii=R1 输出电阻 Rof=Rf// 0=0


12/101
AUf只与Rf、R1有关,
而与运放的参数无关。

If Rf
Uo R
电压增益 AUf   f Ii R
Ui R1 1
Ui -
输入电阻 Rif =Ui/Ii=R1 Uo
+
输出电阻 Rof=Rf// 0=0 RP
平衡电阻
Rp≈R1//Rf
小结:电路的输出Uo与输入Ui呈比例关系,且相位相反,比
例因子为 Rf/R1 ,因此,该电路常用于反相比例运算。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
同相比例运算电路
14/101
电压串联负反馈
2. 同相比例运算电路
R1 Rf
∵ I- = I+ ≈ 0  U  
R1  Rf
Uo
R1
R1 -
∵U+=U- U i  U +  R1  Rf
Uo R2 Uo
Ui +
Rf
 U o  (1  )U i
R1

AU f 
Uo R
 1 f
小结:同相放大电路输出Uo与输入Ui
Ui R1
Rif ≈∞ 呈比例关系,且相位相同,比例因子为

Rof= 0 1+Rf/R1 ,因此,该电路常用于同相比


例运算。
15/101
Rf
R1
- R2
-
R2 Uo Uo
Ui + Ui +

Uo R
AU f   1 f Uo
Ui R1 AUf  1
Ui
Rif ≈∞ Rif ≈∞
Rof= 0 Rof= 0

电压跟随器
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
加减运算电路
16/101 U+= 0
8.2.2加减运算电路
If Rf
1. 反相加法器 Un
I n Rn


∵I+=I- ≈0→ I1+I2+…+In=If U2
I2 R2
U1 -
∵U+=U- , U+= 0 ∴U-= 0 I1 R1 Uo
+
U1  U  U 1 RP
 I1   平衡电阻
R1 R1 Rp≈R1//R2//…//Rn//Rf
I2 
U2
,……, I n  U n , U-  Uo Uo
If  
R2 Rn Rf Rf
U1 U2 Un Uo U1 U 2 U
      U o   Rf (    n )
R1 R2 Rn Rf R1 R2 Rn

当R1=R2=…=Rn=Rf时,Uo= -(U1+U2+…+Un)
17/101
2.同相加法器 If Rf
Rs
I1+I2+…+In=0 U -
∵I+=I- ≈0→ Rs R1 Uo
U  Uo U1 I1 R2 +
Rs  Rf
U2 U
I2 R


Rs
∵U+=U- U   Uo n
Rs  Rf Un
In

U1  U  U U Un  U 
 I1  , I2  2 , ……, I n 
R1 R2 Rn
U1  U  U 2  U  Un  U 
    0
R1 R2 Rn
1 1 1 U U U
U  (    )  1  2   n
R1 R2 Rn R1 R2 Rn
18/101
1 1 1 If Rf
U (    ) Rs
R1 R2 Rn -
U
Uo
U1 U 2 Un +
    U1 I1 R1
R1 R2 Rn U2 U
I2 R2


1 1 1 1
令     Un
R1 R2 Rn K In Rn
Rs
U   Uo
Rs  Rf

Rf U 1 U 2 U
 U o  K (1  )(    n )
Rs R1 R2 Rn
19/101
3.减法器
Rf
分析:运放工作在线性放大时,
R1
可用叠加原理来推导输出表达 U1 -
式。 U U'ooo
U
U''
U2 +
当U2=0时,在输入信号U1 R2
的作用下,产生的输出为U'o R3
Rf
U o   U1
R1
当U1=0时,在输入信号U2的作用下,产生的输出为U''o
R3
U  U2
R2  R3

Rf Rf  R3 
U o  (1  )U   (1  ) U2
R1 R1  R2  R3 
20/101
Rf
当U1和U2共同作用时:
R1
据叠加原理: U1 -
Uo
U o  U o  U o U2 +
R2
R1  Rf R3 Rf R3
( ) U2  U1
R1 R2  R3 R1
Rf
若R1=R2 , R3=Rf Uo  (U 2  U 1 )
R1

小结:
1) 当R1=R2,R3=Rf时,减法器的输出电压为两个输入信号
之差乘以放大系数Rf/R1, 故又称它为差分放大器。
2)为减小失调误差,需 R1//Rf=R2//R3。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
积分与微分计算
21/101
8.2.3 积分与微分计算 if(t) C
i (t) R +uc-
1.积分运算电路 ui(t) -
∵I+=I- ≈0→
uo(t)
i(t) = if(t) +
∵ U+= U-,∴ U- = U+= 0
u (t ) 1 1
 i (t )  i  uc ( t )   if ( t )dt   ui ( t )dt
R  i f (t ) C RC
 uo (t )   uc ( t ) 可得 uo ( t )   1  ui ( t )dt
RC
(1)积分器的输出电压与输入电压呈积分关系,积分时间常
数为RC。
E
(2) 当ui(t)=E时, uo ( t )   RC t
uo(t)~t按负斜率下降,最终达到负饱和值。
22/101 1
uo ( t )    ui ( t )dt
练习1 RC

if(t) C ui(t)
E
i (t)
R t
ui(t) - uo(t)
uo(t)
+ -Uo(sat) t

积分器应用
① 数学运算
② 波形变换。如将一方波变换成三角波。
23/101 if(t) C 1
uo ( t )    ui ( t )dt
i (t) R RC
ui(t) - uo(t)
+

练习2
ui(t)
实现波形变换,将 t
一方波变换成三角

uo(t)
t
24/101

积分电路中加入直流反馈Rf 的作用:抑制直流漂移,提高控
制精度,防止低频、直流增益过大 。
if(t) C Rf
i (t) R C
ui(t) - uo(t) R
ui(t) - uo(t)
+
+

Zf Zf
AUf   AUf  
R R
1 1
Zf  Zf  // R f
jωC jωC
25/101
if(t)
ic (t) Rf
2.微分运算电路
C uo(t)
-
∵I+=I- ≈0, ic(t) = if(t) ui(t)
+
∵U+=U-=0

duc (t ) d  ui (t )-U   dui (t )


ic (t )  C C C
dt dt dt
U   uo (t ) uo (t )
if (t )  
Rf Rf
dui ( t )
 uo ( t )   Rf C
dt
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
对数运算电路
28/101
8.2.4 对数运算和指数运算
1. 对数运算电路 Ic
原理:利用PN结结电压与电流 Ii
R
之间的对数关系而构成的。 Ui -
Uo(t)
∵I+=I- ≈0 ∴ Ii = Ic +
∵U+=U-, U+=0 ∴ U-=0
U be
Ui
 Ii 
Ui
,I c  I e  I s e UT  U be  U T ln( )
R RIs
Ui
由电路可看出: o U  U be  U T ln( )
RIs
小结:
① 输出电压Uo与输入电压Ui呈对数关系;
② 输出电压Uo最大不会超过Ube,动态范围比较小;
③ 温度稳定性差。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
指数运算电路
及运算例题
29/101
If RRf f
2. 指数运算电路
Ii C
原理:利用PN结结电流与电压 -
R
之间的指数关系而构成的。 uUi(t)i - Uo
Ui + uo(t)
+
UT
 I f  I i  I se

U o   I f Rf
Ui

  I s Rf e UT

小结:
① 输出电压与输入电压呈指数关系;
② 温度的稳定性差。
30/101
例题:画出在给定输入波形 if(t) 100nF
作用下积分器的输出波形。 i (t) C
R uo(t)
1
-
uo ( t )    ui ( t )dt
ui(t)
RC +

积分器的输入和输出波形
例题: 如图所示积分器已知输入
31/101 Rf
矩形波电压幅值E=1V,T=10ms C
,运放最大输出电压Uom=+10 V
,求电路元件R和C的值。 R
ui(t) -
解: uo(t)
1 E +
uo ( t )  
RC  i
u (t )dt  
RC
t
ui(t)
要求输出电压的幅值要小于等于Uom, T
由此可知,输出电压可表示为 E

-E
t  U om ,
t
RC t T
-E
E 110 103 uo(t)
即RC   T   10  s 
3

U om 10 Uom

可取电阻:R10k;电容:C0.1F t
32/101
例题:求图所示数据放
大器的输出表达式,
并分析R1的作用。
解1:
vs2  vs1 v o2  v o1
 
R1 R1  2 R2

 2 R2 
 vo2  vo1  1    vs2  vs1  Rf
 R1 
R1
 2 R2  U1
  vs2  vs1 
-
vo  vo2  vo1  1  Uo
 R1  U2 R2
+
R3
显然调节R1可以改变放大器的增益。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
乘法运算电路
iE  I s  euBE / UT  1  I S euBE / UT  uBE  UT 
8.2.5 乘法除法运算电路
34/101
ie diE I S euBE / UT i
gm     E
1、模拟乘法器简介 ube duBE UT UT

1)变跨导型模拟乘法器基本原理  ie  gmube
分析:
uO  ( iC1  iC2 ) Rc   gm  ube1  ube2  Rc   gm Rc uX
I EQ 1 uY  uBE3
其中:gm  ,I EQ 
UT 2 Re
uY
若uY  uBE3,gm 
2U T Re
 Rc
uO   uX uY
2U T Re

说明:实际电路需在多方面改进,如线性度、温度的影响、输
入电压的极性等方面。
35/101
2) 模拟乘法器的符号及等效电路

uO  kuXuY

符号 等效电路
理想情况下:
(1) ri1、 ri2、fH为无穷大;
(2)失调电压、电流及其温漂为0;
(3) ro为0,
(4) ux 、uy 幅值和频率变化时 k 值不变。

有单象限、两象限和四象限之分。
36/101
2、在运算电路中的应用
1) 乘法运算
uO  kuI1uI2

说明:实际的模拟乘法器
k =+0.1V-1或k=-0.1V-1。
2.乘方运算

uO  kuI2

若uI  2U i sin  t
实现了对正弦波
则uO  2kUi2 sin 2  t  2kUi2 (1  cos2 t )
电压的二倍频变换
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
除法运算电路
37/101

3) 除法运算 分析:
i1  i2

uI1  uO'
i2 
i1 R1 R2

 R2
uO'    uI1  k uI2 uO
 R1
R2 uI1
uO   
R1 kuI2

条件要求:k、uI2同极性,满足负反馈条件。
思考:若集成运放的同相输入端与反相输入端互换,则k和uI2
的极性应如何?
38/101
3) 除法运算
R2 uI1
uO   
R1 kuI2

条件要求:k、uI2同极性,满足
负反馈条件。

4) 开方运算 利用除法电路,当 uI2 = uO时


R2
uO    uI
kR1
39/101

R2
uO    uI
kR1

思考:
(1)为实现上式,电路中uI、 uO、k的极性是什么?为什么?
(2) 若要uO<0,则有何变化?
(3) 若要求uI、 uO均大于0,则有何变化? 提示:满足负反馈要求
(4) 如何实现开三次方运算电路?

规律总结:若集成运放的负反馈通路中为某种运算电路,则整
个电路实现其逆运算!
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
单门限电压比较器
及练习
40/101
第8章 双极型模拟集成电路的分析及应用

8.1 运放线性应用及理想运放模型
8.2 基本运算电路
8.3 电压比较器
8.4 周期信号发生器
8.5 集成运放的其它应用电路
小结
41/101

8.3 电压比较器
8.3.1 单门限电压比较器
8.3.2 迟滞比较器
8.3.3 比较器的特点及应用
42/101
8.3.1 单门限电压比较器
R1 Ro
Ui -
R2 Uo
UR +
Dz

 单门限比较器:是指只有一个门限电压的比较器。
 比较器的功能
对两个输入电压进行比较,将比较结果以高、低电平的形
式输出。此功能的运放通常工作在饱和区。
通常将一个输入电压固定UR作为参考电压,输入电压Ui与
UR比较,结果由Uo反映。
43/101

R1 Uo
Ui - Ro UOM
R2
UR Uo Ui
+
Dz UR -UOL

输出电压被限定在
(UZ+UD)
原理
当Ui>UR时,输出应为负饱和值,Uo为低电平-VOL。

当Ui≤UR时,输出为正饱和值, Uo为高电平VOM。
44/101

例题: 电路如图 (a)所示,当Ui如图 (b)所示时,试画出Uo及Uo


Ui
'的波形。
0 t (a)

Uo DZ
Ui R1
+ Uo Uo’
Uo
Uid A R1
VOH
- -
0 Ui t
A
0
VOL Ui (b)
R2 + Uo
Uo’

过零比较器 0 t
©
(a)电路 (b)传输特性 (c)带输出限幅的过零比较器
微分电路 图 6—31 过零比较器
(a) 输入 U i 波形 (b) 输出 Uo 波形
(c) 经 RC 微分电路后的输出 Uo’波形
(高通滤波器)
图(b) 波形图电路
图 6—32 例 6—2 波形图
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
迟滞比较器
44/101
8.3.2 迟滞比较器
问题的提出:对于控制系统,如温度控制系统,当温度控
制门限为单门限时,当环境温度与控制门限值接近,导致
空调控制系统频繁启动。如何解决?
Uo
R1 Uom
Ui -
R2  Uo Ui
UR + U2 U1
Rf 引入正反馈起加 Uon
速输出电压变化
迟滞比较器:具有迟滞回环特性,输入电压的变化方向不
同,阈值电压也不同,但输入电压单调变化使输出电压只
跃变一次。
46/101 Uo
R1 Uom
Ui -
R2  Uo Ui
UR + U2 U1
Rf Uon

原理: 当Ui很小,输出Uo为高电平Uo=Uom时,同相端受到Uom
和UR同时作用,U Σ  U Σ  R2U om  Rf U R
1
R2  Rf R2  Rf
当Ui逐渐增大,Ui≥U1时,输出将由Uom跳变到低电平Uon。
U1称为上门限电压,也称正向阈值电压。
当输出Uo为低电平Uo=Uon时,同相端受到Uon和UR同时作用,
R2U on Rf U R
UΣ  UΣ2   ≤U1
R2  Rf R2  Rf
当Ui逐渐减小Ui≤U2时,输出将由Uon跳变到高电平Uom 。
U2称为下门限电压,也称负向阈值电压。
47/101
R2U om Rf U R Uo
上门限电压:U Σ1  
R2  Rf R2  Rf Uom

R2U on Rf U R Ui
下门限电压: U Σ 2   U2 U1
R2  Rf R2  Rf Uon
R2
门限宽度:ΔU  U Σ1 - U Σ 2 
R2  Rf
(U om - U on )

小结:
1. 改变基准电压UR可改变上、下门限电压U1、 U2 ,
但不影响门限宽度U。
2. 改变正反馈系数R2/(R2+Rf),将影响U和 U1、 U2 。
3. Uom、Uon运放的正负饱和电压,可通过加限幅电路限制其值。
48/101

8.3.3 比较器的特点及应用
特点:
1. 工作在开环或正反馈状态。
2.开关特性,因开环增益很大,比较器的输出只有高电平
和低电平两个稳定状态。
3.非线性,因大幅度工作,输出和输入不成线性关系。
49/101

应用:比较器主要用来对输入波形进行整形,可以将不
规则的输入波形整形为方波输出。

正弦波周期信号变换 零交叉检测
为矩形波周期信号
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
RC振荡器
52/101

8.4.1 RC振荡器
1.反馈振荡器的基本原理
2.RC振荡器的组成和分类
3.RC振荡器(余弦波发生电路)
53/101
1.反馈振荡器的基本原理
振荡概念:没有外加输入信号的情况下,输出端有稳定的具
有一定频率和幅度的信号的现象。

复习:负反馈放大电路设计不当将产生自激,此时有频
率fc的单频余弦波输出。
Xdi Xo
Xi + 基本放大电路
A
-

Xf

反馈网络
B

负反馈放大电路
54/101
1.反馈振荡器的基本原理
复习:负反馈放大电路设计不当将产生自激,此时有频
率fc的单频余弦波输出。
Xdi Xo
Xi + 基本放大电路
A
-

Xf

反馈网络
B

起振条件: A B   1 平衡条件: A B =  1
A B =1
幅值条件: A B  1 幅值条件:
相位条件: A   B  (
2 n + 1)  相位条件: A   B 2 n + 1) 
 (
55/101
问题:起振之初是增幅振荡,那么这种增幅是否会一直
“增”下去 ?

分析:不会。这主要是由于放大管非线性和限幅作用,由
于基本放大电路的电流增益β随输入信号Ui增长而下降,当
当达到|AB|=1,进入等幅状态。

复习
平衡条件: A B =  1

幅值条件: A B =1
相位条件: A B  (
2 n + 1) 
56/101 A Xo
复习 负反馈方程式 A U = 
1+AB Xi
Xdi Xo
+
Xi 基本放大电路
A
对于负反馈:
- Xi=0时, Xdi=-Xf
Xf Xo =-A Xdi=-AB Xo
反馈网络 振荡条件AB=-1
B

A X
正反馈方程式 A U =  o
1-AB Xi
Xdi Xo
Xi + 基本放大电路
A 对于正反馈:
+
Xi=0时, Xdi=Xf
Xf Xo =A Xdi=AB Xo
反馈网络 振荡条件AB=1
B
57/101 Xdi Xo
Xi + 基本放大电路
A
+

Xf

反馈网络
B

正反馈放大电路框图
振荡平衡条件:
幅值平衡条件: AB  1
相位平衡条件: A  B  2n (n  0,1,2  )
起振振荡条件:
幅值平衡条件: AB  1
相位平衡条件: A  B  2n (n  0,1,2  )
58/101
2. RC波振器的组成和分类
正弦波振荡器分类:
(1)桥式RC振荡器(文氏振荡器)
(2)LC振荡器 (通信电子线路介绍)
(3)石英晶体振荡器 (通信电子线路介绍)

正弦波振荡器组成:
( 1)放大电路
(2)正反馈电路
(3)选频网络
(4)稳幅电路
59/101
3. RC振荡器(文氏振荡器)
RC振荡器分两类:移相式,桥式(最为常用)。
桥式RC振荡器(文氏振荡器)组成核心内容:
(1)RC选频网络(2)放大器
C
1)RC选频回路的传输特性
R
1 {
Z1
Uo
R

Z1  R 
1
j C
Z2 
R+
jωC
1
jωC
=
R
1+jωRC {
Z2 C RU
f

U Z2 1 RC选频回路
B f  
U0 Z1  Z 2 3  j ωRC  1 ωRC 
60/101
1 Uf 1 |B|
令0  ,B  
RC Uo   1/3
3  j(  0)
0 
1
C B 
 

3 (  0 )2 0
2
R
Uo 0  B
/2
R U   0 
C f   
   
 B   arctg  0
3 0
-/2

小结:反馈系数B的幅频特性曲线说明RC网络具有选频作
用,当=0时,|B|=1/3最大,B=0
61/101 UO Rf  t 
放大电路A的增益 A  1
U di R1
Uf 1
正反馈系数 B   其中0 
1
Uo   o  RC
3  j  
 o  

R
Z1  t 

C
Ui
Uf U di 放大电路A P ∞
+ Uo
A
Z2  t  R C R1 N
-
Rf(t)
RC振荡器设计
反馈网络 基本放大电路
62/101 Uf 1
B 
RC回路构成具有正反馈的选频回路, U0   
3  j  o 
1  o  
当    o时 , B 
3
若 Rf (t)  2 R1 反馈网络B 反相比例运算放大电路A
Rf (t)
A  1  3, R
R1
此时 C UP P ∞
+ Uo
AB  1 A
+ R1
arctanAB  0 o
N
-
R C Uf
Rf(t)
小结:当ω=ωo -
满足起振条件。
RC振荡器(文氏振荡器)
63/101
C
Rf(t)
R Uo

C R R1
反馈网络B 反相比例运算放大电路A
R

C UP P ∞
+ Uo
A
+ R1 N
-
R C Uf
Rf(t)
-

RC振荡器(文氏振荡器)
64/101 R f (t)
起振时A  1   3,
R1

Rf(t) 为具有负温度系数的热敏电阻的情况:

起振后Rf(t) 电流  Rf(t)  A   A =3时 |AB|=1

最终达到平衡|AB|=1
C
Rf(t)
R
应用: 当 信号频率要求较低(几十 Uo
KHz以下)时,常采用RC振荡器来
产生低频信号,输出信号角频率为 C R R1
1
ω0 
RC。
RC桥式振荡器
65/101
桥式RC振荡器实用电路及模拟调试结果。

1kHz正弦振荡电路的仿真。
1
0 
RC
R (t)
A  1 f R1
Rf(t)
R1
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
矩形波发生电路
66/101
8.4.2 矩形波发生电路
输出特点:输出无稳态,有两个暂态;若输出为高电平时
定义为第一暂态,则输出为低电平为第二暂态。
1. 基本组成部分
1) 开关电路:输出只有高电
平和低电平两种情况,称为两种状
态;因而采用电压比较器。
2) 反馈网络:自控,在输出
为某一状态时孕育翻转成另一状态
的条件。应引入反馈。
3) 延迟环节:使得两个状态
均维持一定的时间,决定振荡频率。
利用RC电路实现。
67/101
2. 电路组成

UZ

UZ

RC
回路 滞回比较器 R1
UT  UZ
正向充电: R1  R2

uO(+UZ)→R3→C→地
反向充电:
地→C→ R3 → uO(-UZ)
68/101

3. 工作原理

分析方法:
up

(1)初始态时: 设合闸通电时电容上电压为0,若uO大
于零,则产生正反馈过程: uO↑→ up↑→ uO↑↑ ,直至 uO=
UZ, uP=+UT,第一暂态。(若uO小于零,进入第二暂态 )
69/101
3. 工作原理(续)
(2)第一暂态时:uO=UZ, uP=+UT
原理:电容正向充电,t↑→ uN↑,当
uN =+UT时,继续增大uN ,uP< uN ,
输出 uO从+ UZ跃变为-UZ, uP=-UT,
电路进入第二暂态。
uN
up
(3)第二暂态时:uO=-UZ, uP=-UT
原理:电容反向充电,t↑→ uN↓,当
uN =-UT时,继续减小uN , uP> uN ,
uO从- UZ跃变为+UZ, uP=+UT,电
路返回第一暂态。
70/101
复习信号系统知识: uo ( t )  uo (  )  uo ( 0   1 e t /
 uo (0)

4. 波形分析

根据三要素,即起始值、终了值、时间常数,求出
2 R1 T
T  2 R3C ln(1  ) 占空比  k  50%
R2 T
71/101
推导过程(了解): 利用一阶RC电路的三要素法列出方程如下:
 
uo ( t )  uo ()  uo (0  1  e  t /   uo (0)

充电时:
R1
 uo ( t ) t T  U T  UZ ,
1 R1  R2
R1
uo ( 0)  U T   UZ ,
R1  R2
uo (  )  U Z ,  R3C


2 R1
R1  R2

U Z   U Z 
R1
R1  R2


U Z  1  e T1 /  
 
T1 2 R1
 T1  R3C ln(1  )
R2
72/101
推导过程(续):
 
uo ( t )  uo (  )  uo ( 0   1  e  t /   uo ( 0)
放电时
R1
 uo ( t ) t T  U T   UZ ,
2 R1  R2
R1
uo ( 0)  U T  UZ ,
R1  R2
uo (  )  U Z ,  R3C


 2 R1
R1  R2

U Z    U Z 
R1
R1  R2


U Z  1  e T2 /  
 
2 R1
T2  T2  R3C ln(1  )
R2
2 R1
 T  T1  T2  2 R3C ln(1  )
R2
73/101

5. 占空比可调电路
正向充电和反向充电时间常数可调,占空比就可调。

思考题:为了占空
比调节范围大, T1 T2
R3应如何取值?
74/101
占空比可调电路分析过程:
充电时间T1   R3  Rw 1 C ln(1 
2 R1
)
R2

放电时间T2   R3  Rw 2 C ln(1 
2 R1
)
R2

方波脉冲周期T  T1  T2  2 R3  Rw 1  Rw 2 C ln(1 
2 R1
)
R2
T1 R3  Rw 1
占空比:  
T1  T2 R3  Rw 1  Rw 2

T1

T2
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
三角波和锯齿波发生电路
75/101
8.4.3 三角波发生电路
1. 电路组成
用积分运算电路可将方波变为三角波。
两个RC环节 实际电路将两个RC 环节合二而一
uC

UZ 

uO要取代uC,必须改变输入端。

集成运放应用电路的分析方法:
化整为零(分块),分析功能(每块),统观整体,性能估算。
76/101
2. 工作原理

up1

滞回比较器 积分运算电路 滞回比较器特性


讨论: 滞回比较器的电压传输特性:三个参数uOH 、 uOL , UT 。
R
R1 R2 当uO1  +U Z  uO  - 1  U Z  U T
uP1   uO1   uO R2
R1  R2 R1  R2
R1
令uP1  uN1  0, 当uO1  -U Z  uO  +  U Z  U T
R2
77/101 电路状态翻转时,uP1=?
三角波发生电路的工作原理

up1

初始态:合闸通电,通常C 上电压为0,输出电压uO =0。设


uO1↑→ uP1↑→ uO1↑↑,直至uO1 = UZ(第一暂态);
第一暂态:积分电路反向积分,t↑→ uO↓,一旦uO过- UT ,uO1从
+ UZ跃变为- UZ (第二暂态) 。
第二暂态: 积分电路正向积分,t↑→ uO↑, 一旦uO过+ UT , uO1
从 - UZ跃变为+ UZ ,返回第一暂态。
重复上述过程,产生周期性的变化,即振荡。
78/101
3. 波形分析

uO t 2     tt 2 uO1t dt  uO t1 


1
R3C 1

 U T  
1
  U Z    ( U T )
T t1 t2 振荡
R3C 2 T 中间
2
又 U T 
R1
UZ 过程
R2
4 R1 R3C 思考题:如何调整三
T 
R2 角波的幅值和频率?
79/101
8.4.4 锯齿波发生电路
2 R1 R3  R6 C
T1 
R2
2 R1 R3  R7 C
T2 
R2
T  T1  T2
80/101

思考题:
1. RW的滑动端在最上端
和最下端时的波形?

2. R3短路时的波形?
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
电压-电流源变换电路
81/101

8.5 集成运算放大器的其他应用
8.5.1 电压—电流变换电路
8.5.2 RC有源滤波器
8.5.3 测量放大电路
8.5.4 双极性增益可调放大电路
8.5.5 单电源供电放大电路
8.5.6 线性稳压电路
82/101
8.5.1 电压—电流源变换电路
 电流—电压变换电路 Rf
Uo= -IsRf Is
-
Uo
+
RP
 电压—电流变换电路
IL=Us/R1 - RL
Us + IL R1
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
RC有源滤波器
83/101
8.5.2 RC有源滤波器
1.一阶低通有源滤波电路 根据反相比例运算电路的分析
1
R2 //
Zf Uo Z f (ω) jωC
A  
Ui R1 R1
R2 1
 
R1 1  jωR2C
R2 1
U o  AU i   Ui
R1 1  jωR2C
1
•截止角频率 H 
R2C

•低频滤波增益 |A|=R2/R1
84/101

2.一阶高通有源滤波电路 据反相比例运算电路的分析:
Uo R R2
Z1 A  2 
Ui Z1 1
R1 
jωC
R2 jωR1C

R1 1  jωR1C
R2 jωR1C
U o =AU i   Ui
R1 1  jωR1C
1
截止角频率:  L 
R1C

高频滤波增益:|A|=R2/R1
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
测量放大电路
85/101
8.5.3 测量放大电路
电桥放大器: 主要用于非电量测量如压力,温度,应变等。
Rf UR Rf
R
UR

R R - R
-
Uo Uo
+ +
UR R+R
R RX=R+R
R
工作原理 :RX的阻值随被测量物体的变化而变,RX=R+R。
当RX=R时电桥平衡,Uo=0。
当RX产生R变化时,输出电压将变化Uo, Uo正比于R。
Rf 
当电阻Rf>>R,且相对变化= R/R<<1时,输出Uo= UR
2R
86/101
Rf UR Rf
R
UR

R R - R
-
Uo Uo
+ +
UR R+R
R RX=R+R
R
电桥放大器输出推导:利用叠加原理,
R R f  R时
反相输入引起的输出:U o1   f UR  2 Rf   1   
R   R   2    U R

 Rf   Rf   R  R 
同相输入引起的输出:U o 2  1   
R / 2   R  R  R
R 
R / 2  
U  1 U
  
Rf  R  RU
总电压输出为:U o  U o1  U o 2   UR  f  UR  f R 
R 2 R 2 2R
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
双极性增益可调放大电路
87/101
8.5.4双极性增益可调放大电路
R1/n Rf

R1/(n-1)
-
ui uo
A
+ R1/n Rf
qR2 ui
R2 R1/(n-1)
-
A uo
增益可调放大电路
+
qR2
ui
R2
88/101
利用叠加定理分析:
设 R1=R2= Rf ui1=u1 R1/n Rf

R1/(n-1)
当ui1=ui, ui2=0(仅有反相输入时) -
A uo
R1
uo1   ui   nui +
R1 / n ui2=u1 qR2

当ui1=0, ui2=ui (仅有同相输入时) R2

 
 R1  qR2
uo2  1   u+ , u+  ui  qui q   0,
1
 R1 R1  R2
//
 n n 1 
 uo2  2qnui  uo  uo1  uo2   2q  1 nui
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
单电源供电放大电路
89/101
8.5.5 单电源供电放大电路
集成运放通常采用双电源供电,其中负电源主要提供差
分放大级的发射极电源(静态工作点)。
当使用单电源供电时,为保证电路有合适的静态点,运
放的输入级由外电路提供偏置电压。

Ec Rf
Rf
R R1
Ui - - Ec/2
Uo Ec/2 +
+
R
单电源供电放大电路 直流通路
90/101
1. 直流偏置:两个偏置电阻分压,在同相端得到Ec/2的直流
偏压,Uo=Ec/2。
2. 当Ui输入交流时,
Rf Rf jωR1C1 C很大 Rf
AUf (jω)     
R1  1/(jωC1 ) R1 1  jωR1C1 R1

注:Uo中包含有Ec/2的直流电压,外接负载时,可加隔直电容。

Rf Ec Rf
R
R1
Ui - - Ec/2
C1 Uo Ec/2 +
+
R
单电源供电放大电路 直流通路
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
线性稳压电路
91/101
8.5.6 线性稳压电路
 正电压可调电源
R2
Uo  UZ
R1  R2
Ec R3
-
R1 Uo
调节W,可调节R2部 W +
分的阻值比,改变输 DZ
R2
出电压。
92/101
 串联型稳压电源 Uce
T1
Ui变化
Uo保持不变 B1
负载RL变化 +
U+=UZ - R1 RL
稳压过程一: Ui Uo
RL变化→ Uo↑ DZ
U2 R2
U2↑

U+-U- ↓ → UB1↓ → IB1 、 Ic1↓ → Uo↓


因为U2=UZ=UoR2/(R1+R2) Uo=UZ(1+R1/R2)
Uo只与UZ及R1/R2的比值有关,
与输入电压Ui、RL无关。
93/101
Uce
T1
Ui变化
Uo保持不变
负载RL变化 + B1
- R1 RL
稳压过程二: Ui Uo
Ui变化↑ → U+↑ DZ
U2 R2
U+-U-↑

UB1↑ → IB1 、 Ic1 ↑ → Uo ↑ →U2 = U- ↑


UB1 ↓ → IB1 、 Ic1 ↓ → Uo ↓
U+-U- ↓
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
集成运放的主要参数
94/101

8.6 集成运放的主要参数
1.电源电压 8 .输入失调电流的温漂dIos/dT
2.开环差模电压增益AUd 9 .输入偏置电流IB
3.共模抑制比KCMR 10 .最大共摸输入电压Uic,max
4.差模输入电阻rid 11 .最大差模输入电压Uid,max
5.输入失调电压Uos 12 . -3dB带宽
6.输入失调电压的温漂dUos/dT 13 .单位增益带宽BWG----fT
7.输入失调电流Ios 14 .转换速率SR(摆率) |duo/dt|max
95/101

1)输入失调电压(Input Offset Voltage)VIO


说明:放大电路应该是输入为零,输出为零。实际上集成
运放中输入级的差放很难完全对称,当输入为零时,输出
端有一定的电压输出。
输入失调电压是指 当输入电压为零时,为了使输出电
压为零,在输入端需要加的补偿电压。该指标越小越好。
2)输入失调电流(Input Offset Current)IIO
说明:IIO是指当输入为零时,为使输出电流为零而在运
放的两输入端之间应加的补偿的差分电流。因此该指标
越小越好。
96/101
3)输入失调电压的温飘 =ΔVIO/ΔT
说明:ΔVIO/ΔT是指在允许的工作温度范围内,输入失调电
压的温度系数。需要注意的是,失调电压可用调零电位器补
偿,但是失调电压漂移无法通过补偿的方法去除。该指标越
小越好。
4)输入失调电流的温飘=ΔIIO/ΔT

5)转换速率SR(摆率) |duo/dt|max

说明:放大电路的SR参数,将影响放大电路输出信号的斜
率范围。例如,若对某测试余弦波信号进行放大测试,输
出小时无失真,提高电压增益到一定值,输出为近似三角
波,产生失真,其原因就是SR小于输出信号斜率所致。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
第八章小结
《集成运算放大器的分析与应用》

主讲:任希
重点难点
重点:运放构成的电路系统分析。
难点:理解虚短、虚断和虚地的概念;
理解迟滞比较器的工作原理;
理解正弦波(余弦波)、方波和三角波
发生器的工作原理。
小 结
 集成运算放大器本质上是一种多级高增益交直流放大器
,在外部反馈网络配合下,它的输出与输入之间可以灵活地
实现各种特定的函数关系。因而具有对不同信号进行组合、
运算和处理等多种功能,可实现各种功能电路。
 采用理想模型分析时要掌握理想运放的主要条件:
1. 开环电压增益Aud=∞;
2. 输入电阻Rid=∞;
3. 输出电阻Ro=0;
4.频带宽度F=∞;
5.共模抑制比CMRR=∞;
6.失调、漂移和内部噪声为零。
小 结
 理想运放有两种工作作态。
线性工作状态特点:
1. 理想运放的同相和反相输入端电流近似为零, I+=I-≈0(虚
断)。
2. 理想运放的同相和反相输入端电位近似相等, U+=U-(虚
短)。

饱和工作状态特点:
1. 理想运放的同相和反相输入端电流近似为零, I+=I-≈0
2. 当U+>U-时,Uo为正饱和值;
当U+<U-时,Uo为负饱和值。
小 结
运放构成的电路系统分析

运放在线性工作状态下构成的电路特点:
1. 闭环电路;
2. 负反馈电路。

运放在线性工作状态下构成的电路基本分析方法:
1. 虚断;
2. 虚短。
100/101
小 结
 两种基本电路
If Rf
1. 反相放大器:它实质上是一
个电压并联负反馈放大器, I1 R
1
主要特点是反相输入端呈“虚 Ui -
地”。 Uo
+
电压增益: AUf=-Rf/Rl RP
式中, Rf是反馈电阻,R1是反
相输入端电阻。
100/101
小 结
两种基本电路 Rf
2. 同相放大器:它实质上是一个
电压串联负反馈放大器,电压增 R1
益: AUf=1+Rf/Rl -
R2 Uo
同相放大器的输入电阻rif = ∞, Ui +
输出电阻rof=0。
100/101
小 结
 基本运算电路
1. 加法电路
反相加法电路、同相加法电路

If Rf
If Rf
Un Rs
In Rn -


Uo
U2 I R U1 I R +
2 2 1 1
U1 - U2
I1 R1 I2 R2


Uo
+ Un
RP In Rn
小 结 Rf
基本运算电路 R1
2. 减法电路 U1 -
Uo
U2 +
3. 微分电路:数学运算和波形整形 R2
R3
4. 积分电路:数学运算和波形整形
if(t) C
if(t) C
i (t)
R i (t)
ui(t) - R -
ui(t)
uo(t) uo(t)
+ +
小 结
运放构成的电路系统分析

运放在饱和工作状态下构成的电路特点:
1. 开环环电路;
2. 正反馈电路。

运放在饱和工作状态下构成的电路基本分析方法:
1. 虚断;
2. 当U+>U-时,Uo为正饱和值;当U+<U-时,Uo为负饱和值。
小 结
 比较器电路
1. 单门限比较器:开环电路,只有一个门限电压的比较器。

R1
Ui - Ro
R2 Uo
UR +
Dz

限幅电路R0、DZ作用:输出电压被限定在(UZ+UD)。
UR=0时,构成过零比较器。
小 结
 比较器电路
2.迟滞比较器:正反馈电路,有两个门限电压的比较器。

R1 Uo
Uom
Ui -
R2  Uo Ui
UR + U2 U1
Rf Uon
R2U om Rf U R
上门限电压: Σ1
U  
R2  Rf R2  Rf
R2U on Rf U R
下门限电压: U Σ 2  
R2  Rf R2  Rf
小 结
运放构成的电路系统分析 R2
R1 U2 R
U1
多级运放电路分析 -
+ Uo
+ Uo1 R
1. 分别求出每级电路输入输出 -
关系; R
R3 R4
2. 前级的输出作为后级的输入。

R2 R3  R4 R3  R4 R2
U o1   U1 U o  (U o1  U 2 ) U o  (U 2  U1 )
R1 2 R3 2 R3 R1
小 结
运放应用
1.矩形波发生电路
小 结
运放应用
2.三角波波发生电路
方波发生器+积分电路
小 结
运放应用
3.正弦波发生电路
振荡条件
A( )  F ( )  1 振幅平衡条件
 a ( )   f ( )  2nπ 相位平衡条件
起振条件
A( )  F ( )  1

 a ( )   f ( )  2nπ
小 结
振荡电路基本组成部分
放大电路(包括负反馈放大电路)

反馈网络(构成正反馈的)

选频网络(选择满足相位平衡条件的一个频率。
经常与反馈网络合二为一。)

稳幅环节
小 结
RC桥式振荡电路
正反馈网络兼做选频网络
1 1
当   0  
时, f  0 FV 
RC 3
电路满足相位平衡条件  a   f  2nπ

Rf
放大电路的电压增益为 AV  1  3
R1
1
电路满足振幅平衡条件 V V A F  3  1
3
1
电路振荡频率为 f 0 
2πRC
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
运放应用电路实验
一、带通滤波器设计要求

通过查阅资料,用二阶有源低通滤波器和二阶有源高
通滤波器通过级联设计一带通滤波器,要求带通滤波器的
上、下截止频率分别为fH=3kHz、fL=300Hz,品质因数
Q=0.707,通带增益A0=1。

带通滤波器设计步骤如下。
1. 选取正确的电路

二阶有源低通滤波器如下图所示。

C1

R1 R2

+
- +
C2
Ui Uo
R4
R3
- -

图 8.6.1 二阶有源低通滤波器
二阶有源低通滤波器
通过分析,二阶有源低通滤波器传递函数为
U o ( jω) A0
AU = =
U i ( jω) jω 2 1 jω
( ) +  +1
c Q c
其中:
A0 = 1 + R4 R3
1
c =
R1R2C1C2

R1 R2C1C 2
Q=
C 2( R1 + R2 ) + (1 − A0 ) R1C1

根据设计要求,可确定低通滤波器的截止频率为3kHz。
2.选取正确的电路

二阶有源高通滤波器如下图所示。
R1

C1 C2

+
- +
R2
Ui
R4 Uo
R3
- -

图 8.6.2 二阶有源高通滤波器
二阶有源高通滤波器
通过分析,二阶有源高通滤波器传递函数为

U o ( jω) A0
AU = =
U i ( jω)  1 
( c )2 +  c +1
jω Q jω
其中:
A0 = 1 + R4 R5
1
c =
R1R2C1C2

R1 R2C1C 2
Q=
R1 (C1 + C2 ) + (1 − A0 ) R2C2

根据设计要求,高通滤波器的截止频率为300Hz。
二、电路设计及仿真调试
按照设计要求及电路所对应的参数公式计算相关电阻和
电容的数值,依据实际的电阻和电容系列标称值,选取元器
件。仿真电路如下图示,其中输入正弦信号的幅度uipp=1V。
观察带通滤波器上、下限截频点fH=3kHz、fL=300Hz处的输
入和输出波形如下。

f= fH时的输入和输出波形
f= fL时的输入和输出波形
通过仿真软件中的波特仪可测量带通滤波器的幅频和相频特性。

带通滤波器的幅频特性曲线
带通滤波器的相频特性曲线
三、实际电路调试与性能实测
购买所需电子元器件,搭接带通滤波器电路,检查无误
后通电进行测试,其中输入正弦信号的幅度uipp=1V。利用北
京交通大学国家电工电子实验教学示范中心发给每位学生的
“口袋实验室” 面包板上搭接的电路如下图所示。
实际电路连接测试图如下图。
利用口袋实验室提供的虚拟仪表测试带通滤波器特性,
测试结果如下。

f= fH时的输入和输出波形
f= fL时的输入和输出波形
带通滤波器的频率特性曲线
使用RIGOL公司MSO2302A示波器对实验电路性能进行
测量,测量结果如下图所示。

f= fL时的输入和输出波形
f= fH时的输入和输出波形
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
直流稳压电源概述
1/54
课程主要内容
1. 绪论
2. 晶体二极管及应用电路
3. 晶体三极管及基本放大电路
4. 场效应管及基本放大电路
5. 放大电路的频率响应
6. 负反馈放大电路
7. 双极型模拟集成电路
8. 双极型模拟集成电路的分析与应用
9. MOS模拟集成电路(自学)
10.直流稳压电源电路(2学时)
2/54

第10章 直流电源电路
问题:
1. 220V交流电如何转换为直流电?
2. 稳压电路有哪些种类?
3/54

第10章 直流电源
10.1 直流稳压电源概述
10.2 整流电路
10.3 滤波电路
10.4 稳压电路
10.5 串联反馈式稳压电路
10.6.集成稳压电路
10.7 开关型稳压电路
4/54

10.1 直流稳压电源概述
概念:直流电源是能量转换电路,可以将220V(或380V)
50Hz的交流电转换为直流电。

小功率直流电压源组成框图

直流电源中各单元作用:
(1)电源变压器:将电网交流电压值降为所需要的值。
(2)整流电路:将交流电变为脉动的直流电。
(3)滤波电路:利用储能元件减小脉动。
(4)稳压电路:负载变换、电网电压变化时,输出不变。
5/54

小功率直流电压源组成框图
在分析电源电路时要特别考虑的两个问题:
(1)允许电网电压波动±10%,
(2) 负载有一定的变化范围。

稳压电路的主要指标:
1. 输出电压Uo;
2. 输出电流Io;
3. 输出功率Po;
6/54
U O U O U O U I
4. 稳压系数: S r  U I U I RL = 常 数
 
U I U O RL = 常 数

在负载电阻不变时,输出电压相对变化量与输入电压变化
量之比。稳压系数表明电网电压波动时电路的稳压性能。
U O
5. 输出电阻: R o   I U I =常 数
O

在电网电压不变时,负载变化引起的输出电压的变化量
与输出电流的变化量之比。
6. 纹波电压: 输出电压的交流分量。用纹波系数Kr表示纹
波电压的相对大小
U Or
Kr  , U Or是 谐 波 电 压 总 的 有 效 值 。
UO
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
整流电路
7/54

10.2 整流电路
整流电路:利用二极管的单向导电性,将交流电变换
成脉动的直流电的电路。

半波整流

全波整流
常见的整流电路
桥式(全波)整流

倍压整流电流等。
8/54
半波整流
1 
UL 
2 0
2U 2 sin td t  0.45U 2,其中U 2为余弦波有效值

u2
D 2U 2
+ + + O t
u1 u2 RL uL
- - -
uL
0.45U2
O t

(a) 半波整流电路 (b) 半波整流电路输入和输出波形


9/54 1 2
桥式整流 UL 
2 0
2U 2 sin td t  0.9U 2

ue22
+
~220V u2
- uL

-
~220V u2
+
uL uL
10/54
注意: 四只管子连接方法!

~220V u2
uL

单相桥式全波整流电路

2 2U 2 U O(AV) 0.9U 2
U O(AV)   0.9U 2 I L(AV)  
π RL RL

说明:整流堆就是集成的桥式整流电路。
11/54
2. 整流二极管的选择

~220V u2
uL

复习: 2.2.4 二极管的主要参数


1.最大整流电流IF:指二极管长期运行时,允许通过的
最大正向平均电流。
2.最高反向工作电压UBR:管子工作时所允许的最高反
向电压。
3.反向电流IR :二极管未击穿时的反向电流,近似为Is。
4.最高工作频率fM :二极管工作的上限频率。
12/54

U R max  2U 2
I L(AV)
0.45U 2
I D(AV)  
2 RL
考虑到电网电压波动范围为
10%,二极管的极限参数应满足
 0.45U 2
最大电流 I F  1.1 
 RL
最高反向电压U  1.1 2U
 BR 2
13/54

例:稳压电路如下图所示。
(1)如果二极管D2接反了,将出现什么情况?
(2) D2开路了,输出将怎样?
14/54

解答:
(1)有可能烧坏变压器T。
15/54

解答:
(1)有可能烧坏变压器T。
(2)D2开路了,输出将为半波整流。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
整流电路
16/54
10.3 滤波电路
一、电容滤波电路
uO1
+
D4 D1

~220V u
50Hz u1
1 uu22 D2 C
+ RL1 uO1
D3

电源变压器 整流电路 滤波电路

单相桥式全波整流电容滤波电路
17/54 uO1
+
D4 D1

~220V u u2 +
1
D2 RL1 uO1
50Hz C
D3

1. 工作原理 -

电源变压器 整流电路 滤波电路


uo1=uc 放电
充电 b
c
a u2
O t1 t2 π t3 2π 3π 4π ωt
当 u2  uC 时,一对二极管导通,电容通过D充电, 充电非常小。
当 u2  uC 时,所有二极管均截止,电容通过RL放电, 放电  RLC。
C 越大, RL越大, τ放电将越大,曲线越平滑,脉动越小。
18/54
uO1
+
D4 D1

~220V u
50Hz u1
1 uu22 D2 C
+ RL1 uO1
D3

电源变压器 整流电路 滤波电路

单相桥式全波整流电路
思考题: 2U 2

(1)若RL 开路时,输出电压平均值Uo1(AV)= ?
(2)若无电容时,Uo1(AV)= ? 0.9U 2
19/54 uO1
+
D4 D1

~220V u
50Hz u1
1 uu22 D2 C
+ RL1 uO1
D3

电源变压器 整流电路 滤波电路

2. 滤波电容选择 桥式整流滤波电路
T T
经验:当RLC  (3~5) 时,U O1(AV)  1.2U 2  C =(3~5)
2 2 RL
若电网波动  10%,C的耐压值>1.1 2U 2  1.56U 2
1
其中,T 为50 Hz交流电的周期,T = =20ms。
50
20/54

3. 电容滤波电路优缺点
(1)简单易行,输出平均直流电压高,C足够大时交流分量较小;
(2)不适于大电流负载。
uO1
+
D4 D1

~220V u
50Hz u1
1 uu22 D2 C
+ RL1 uO1
D3

电源变压器 整流电路 滤波电路

单相桥式全波整流电路
21/54

负载电流和滤波电容C的经验匹配选择

负载电流 1A左右 0.5~1A 0.1~0.5A 200~50mA 50mA以下

滤波电容
2000 1000 500 200~500 200
/μF
22/54
二、其它滤波电路

电感滤波电路

复式滤波电路
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
稳压电路
23/54

10.4 稳压电路
稳压电路类别:稳压管稳压、反馈稳压、开关稳压、集成
稳压等。
1. 稳压管稳压电路
稳压电路特点:利用稳压二极管提供稳定的直流电压。
稳压电路组成:稳压管和调节电阻R。
R
+ IZ IL +
ui DZ RL UZ
-
-

稳压条件:ui>Uz
24/54
稳压管的伏安特性和主要参数
稳压管
符号

-IZb 稳压管
模型

-IZM rZb

稳定电压 UZ:稳压管的击穿电压。
稳压电流 IZ 、 IZ M:稳压管工作在稳压状态的最小、最大电流。
最大耗散功率 PZM:允许的最大功率, PZM= IZM UZ。
动态电阻 rz:工作在稳压状态时,rz=△U /△I。
25/54

UI  U R  UO
稳压原理:
(1)电网电压  U I  U O  (U Z )  I DZ  I R  U R 
UO 

小结:稳压电路利用调节电阻 R上的电压变化补偿 U I的波动,


若U I  U R,则U O 基本不变。
26/54

I R  I DZ  I L
稳压原理:
(2)RL  I O  I R  U R  U O  ( U Z  )  I DZ  I R  U R 
UO 

小结:利用稳压管电流I DZ的变化来补偿负载电流I L的变化。


若ΔI DZ  ΔI L,则U R基本不变,U O也就基本不变。
27/54
2. 主要指标

-IZb

-IZM
Ro
1) 输出电压 UO=UZ 2) 输出电流 [IZ, IZM]
3) 稳压系数 U O
UO U O U I rz∥RL U I rz U I
Sr       
U I U I U O
RL
R  rz∥RL U O R U O
UI RL

4)输出电阻 Ro  rz∥R  rz
特点: 简单易行,稳压性能好。适用于输出电压固定,输
出电流变化范围较小的场合。
28/54
3. 稳压电路输入、稳压管、调节电阻R的选择
1). 稳压电路输入直流UI的选择: 通常UI=(2~3)UZ
2). 稳压管的选择: UZ=UO ,IZM-IZ > ILmax- Ilmin
其中:UO是稳压电路输出,
ILmax、 Ilmin分别是负载电流的最大值和最小值
3). 限流电阻R的选择:保证稳压管既稳压又不损坏。
电网电压最低且负载电流最大时稳压管的电流最小时满足:
U U Z U Im in  U Z
I D Z min  Im in  I Lmax  I Z R
R I Z  I Lmax

电网电压最高且负载电流最小时稳压管的电
流最大时满足:
U Im ax  U Z U Im ax  U Z
I D Z max   I Lmin  I ZM R 
R I ZM  I Lmin
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
电源设计案例
29/54
直流电源设计案例:已知输出电压为6V,负载电流为0~
30mA,直流电源电路如下图。试选择稳压管、限流电阻、滤
波电容、整流二极管、变压器副边电压有效值,电网电压波动
δ=±10%。

分析: 整流堆

依次选择稳压管、 UI、 R、 C、U2、整流 二极管。


1. 稳压管Dz:根据输出电压要求→稳压管UZ=6V,
根据负载电流变化,要求 IZM-IZ > ILmax- ILmin= 30mA
2. 稳压电路输入即整流输出电压UI=(2~3)UZ= (12~18)V
30/54

RL
3.限流电阻R
根据输出电压UZ 、负载电流最大值ILmax和最小值ILmin 、稳
压管电流范围( IZ~IZM )、稳压电路输入 UI (1±10%),
U Imax  U Z U Imin  U Z
 R
I ZM  I Lmin I Z  I Lmax

4. 变压器输出电压有效值U2
当RLC  (3~5) 时,U I  1.2U 2  U 2  I
T U
2 1.2
其中RL′=R+rZ//RL≈ R+rZ ,T=20ms
31/54

ZL RL
T
5. 滤波电容C: C   3~5
2 RL
6. 整流二极管的选择
考虑电网电压波动 δ=±10% 时,整流二极管的最大整流
电流IF和最高反向工作电压UR分别满足
 0.45U 2
I
 F  1.1 
 R L

U BR  1.1 2U 2
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
串联反馈式稳压电路
32/54

10.5 串联反馈式稳压电路
串联稳压电路特点:电压调节电路与输出负载串联。

+
调节电路
+

比较放大 样
UI RL VCC


基准电压Uz -
-

串联稳压电路框图
33/54 基准 比较放大 调整管
电压

复习: R
8.5.6串联型稳压电源
UZ
UB
A T
UN
取样

UI
R1
DZ
RW1
 R  RW1  RW RL Uo
Uo  1  1  UZ RW2
 R2  RW2 
R2

小结:输出电压仅与基准电压有关,与负载变化和输入电压变
化无关,所以输出稳定。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
三端集成稳压电路
36/54
10.6 三端集成稳压电路
一、7800系列
1. 简介
输出电压:5V、6V、9V、12V、15V、18V、24V
输出电流:1.5A(W7800)、0.5A (W78M00)、0.1A(W78L00)
37/54
38/54
39/54 消除高频噪声
2. 基本应用
说明:输入UI取
使CO不通过
稳压器放电 值范围需查阅芯
片的相关参数。
抵销长线电感效应,
消除自激振荡
如7805,
8V≤UI≤18V
二、基准电压源三端稳压器 W107

输出电压:
Uo=1.25V

基准电压源电路
40/54

三、三端集成稳压电路的应用
1. 提高输出电压的稳压电路
1 2
W78XX

3 R1
CI UXX
UI 0.33μF CO
UO
1μF
VDZ UZ

输出电压: Uo  UXX  UZ
41/54

2. 可调输出的三端稳压器典型电路

 R 
输出电压: U O   1  2   1.25V
 R1 

输出电流: 3mA  IO  1.5A

条件: 3V  U I-UO  40V


42/54
例题:分析可调输出的三端稳压器典型电路

R2

R2

分析:7800输出电压1.5V,运放A线性运用,输出电压
 R3 +R2 
U O  1   1.25V
 R1 +R2 
 
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
开关型稳压电路
43/54
10.7 开关型稳压电路
1 .线性稳压电路优点: 结构简答、调节方便、输出电压稳
定、纹波电压小等。
2 .线性稳压电路不足: 效率低,甚至仅为30~40%,稳压
电路消耗功率为PC=IL(UI-UO)。

3.开关型稳压电路的分类:
① 串联型
按照调整管与负载的连接方式:
② 并联型
http://wenku.baidu.com/link?url=Nhmdvg8mgVIiJRIQddiFj3_KN9vi8-
xQYjYDtU2WbKfzlWmxA8HEcQfdGWe9IqLbIQFtJQcg3BTOI5W2KVv-
fLfh_aKNXqLA8SzcFnmX3oW
44/54
4.串联开关型稳压电路
1)换能电路的基本原理

换能电路的基本原理图及等效电路
说明:输入UI是整流滤波后的输出直流电压,调整管(即开
关管,功率三极管)T的基极B的输入信号uB是脉冲宽度调制
(PWM)信号,控制T的开关状态;D称为续流二极管,L是储
能电感或换能电感,C仍是滤波原件。
45/54

(1) uB为高电平时:T饱和导通,Uces较小,T的射极电
压uE =UI-UCES≈UI ,即高电平;续流二极管D截止。电感
L中电流iL的变化率(diL/dt)>0, uL>0,电感L存储能量,
输出电压UO=UI-uL。
46/54

(2)uB为低电平时: T截止,电感L释放能量, uL<0,其感生


电动势uL使二极管D导通,二极管导通形成电流通路,此时电
容C向负载放电,uE =-UD,即调整管发射极输出低电平。
47/54
uB T=TON+TOFF

TON TOFF

uE
输出电压UO分析:
由于调整管T的基极uB是脉冲宽度
uL UI-UO
调制(PWM)信号,所以其发射极
电压uE也为大功率PWM信号, uE高
-UD-UO
电平为(UI-UCES),低电平为- UD 。
与调整管发射极接口的电路实质上 iO
时LC低通滤波电路,LC低通滤波电
路将中的谐波分量滤去,负载上获 U
得的就是发射极信号uE的平均分量。 O
48/54
uB T=TOFF+TON

TON TOFF

uE

直流分量 uL UI-UO

-UD-UO
iO
结论:输出电压与调整管T基极输入
的PWM信号 uB的占空比q成正比,调
节占空比的大小,就可以输出不同 UO
大小的直流电压。
49/54
2)串联开关型稳压电路工作原理
UP2 UN1 L

UI uB UREF
uN2 UO

uN2
UP2

uB
50/54

串联开关型稳压电路的简化电路

5 .开关型稳压电路特点:
1)效率高(可以达到90%以上)。调整管工作在开关状态,
导通时电压小,截止时电流小,所以自身功耗小。
2)负载电流IO的脉动成分比线性稳压电压要大一些。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
第九章小结
《直流电源电路》

主讲:邓涛
51/54

重点难点
重点:直流稳压电源的组成及其工作原理。
难点:直流稳压电源的设计;
开关型稳压电路的工作原理。
52/54

小 结
 直流稳压电源组成及工作原理
1. 变压器;
2. 整流电路;
3. 滤波电路;
4. 稳压电路。
53/54

小 结
 两种稳压电路的结构与特点:
1. 稳压管稳压电路;
2. 串联型线性稳压电路。
 开关型稳压电路的工作原理
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
主讲:
路 勇

2017-7-4 1
本课程概述
课程性质:
是电气、电子信息类专业一门重要的技术基础理论课程,
同时也是该专业的必修课(3学分)。
课程任务:
•使学习者熟悉并掌握基本模拟电子电路的器件知识、放大器
的工作原理和分析方法;
•要求能对主要功能电路进行分析和设计;具备根据实践的具
体要求,运用所学知识构成实用模拟电子电路的能力;为后
续专业课打下坚实地基础。
授课学时: 48学时(课堂讲授40学时+实验8学时)
第1章 绪论
第2章 晶体二极管及应用
第3章 晶体三极管及应用
第4章 场效应管及其应用
第5章 放大器的频率响应
第6章 负反馈放大电路
第7章 双极性模拟集成电路
第8章 双极性模拟集成电路分析应用
第9章 MOS模拟集成电路
第10章 直流电源电路
第一章 绪论

电子技术的发展历程。
1)1个多世纪的发展:
经历了:电子管——半导体——集成电路——大规模IC。
2) 电子技术与现代生活息息相关。
模拟电子电路与数字电子电路的区别
电子系统的基本构成。(原则、举例)
第二章 晶体二极管及应用

半导体是导电能力介于导体和绝缘体之间的一种物体。
•具有如下特性:温敏性、光敏性和掺杂特性。
•PN结:
1)单向导电:
当PN结加正向电压时,PN结导通,呈现低阻特性。
当PN结加反向电压时,PN结截止,呈现高阻特性。
2) PN结具有非线性电阻特性、电容效应、击穿稳压特性。
第二章
第二章 小 结
晶体二极管及应用

二极管的性能(伏安特性)用二极管的电流方程来描述:
I=Is(e U/UT-1) ≈ Is e U/UT
硅管:当UD>0.7V时,二极管导通,导通后,UD=0.7V
锗管:当UD>0.2V时,二极管导通,导通后,UD=0.2V
稳压管是一种的特殊类型的二极管;
工作区在反向击穿区,可以提供一个稳定的电压。
半导体光电器件分光敏器件和发光器件:
可实现光—电、电—光转换。
光电二极管在反压下工作;发光二极管在正偏电压下工作。
第二章 晶体二极管及应用

二极管的应用:
整流:半波——全波——桥式
限幅:正向——反向
钳位:也叫自举——二极管和电容
第三章 晶体三极管及应用
双极型三极管
•作用:具有电流放大作用。(条件——内部、外部)
•电流分配关系:

IE = IC + IB
IC =βIB

•放大的特征:是电流控制器件。
•主要参数:β、α、ICBO 、ICEO 、ICM 、PCM 、U(BR)CEO 、fT
•三个工作区:饱和、放大、截止。
•三极管的模型(在仿真中应用)
第三章 第三章 小 结
晶体三极管及应用

一、放大电路的组成原则

1.核心元件:是有源元件,即晶体管或场效应管。

2.直流电源设置:数值、极性的设置要正确。

3.电路参数设置:应保证晶体管工作在放大区、场效应管工
作在恒流区,即建立起合适的静态工作点,保证电路不失真。

4.结构的设置:保证的信号传输,应能够有效地作用于有源
元件的输入回路,输出信号能够作用于负载之上。
第三章 晶体三极管及应用
二、放大电路的主要性能指标
1.增益A:输出变化量幅值与输入变化量幅值之比,或二者的正
弦交流值之比,用以衡量电路的放大能力。
2.输入电阻Ri:从输入端看进去的等效电阻,反映放大电路从信
号源索取电流的大小。
3.输出电阻R。:从输出端看进去的等效输出信号源的内阻,说明
放大电路的带负载能力。
4.最大不失输出电压Um:未产生截止失真和饱和失真时,最大输
出信号的正弦有效值(或峰值)。
5.下限、上限截止频率fL和fH、通频带BW:均为频率响应参数,反
映电路对信号频率的适应能力。
6.最大输出功率Pm和效率:及衡量在输出波形基本不失真情况下
负载能够从电路获得的最大功率,以及电源为此应提供的功率。
第三章 晶体三极管及应用

三、放大电路的分析方法

1.静态分析:就是求解静态工作点Q,在输入信号为零时,
晶体管(和场效应管)各电极间的电流与电压就是Q点。可
用解析法或图解法求解。

2.动态分析:就是求解各动态参数和分析输出波形。通常,利
用h参数等效电路计算小信号作用时的Au、Ri和Ro,利用图解
法分析UOm和失真情况。

•放大电路的分析应遵循“先静态、后动态” 的原则:

只有静态工作点合适,动态分析才有意义;Q点不但影响电路
输出是否失真,而且与动态参数密切相关。
第三章 晶体三极管及应用

四、晶体管基本放大电路
•晶体管基本放大电路有共射、共集、共基三种接法。
1.共射放大电路:即有电流放大作用又有电压放大作用,输
入电阻居三种电路之中,输出电阻较大,适用于一般放大。
2.共集放大电路:只放大电流不放大电压,因输入电阻高而
常做为多级放大电路的输入级,因输出电阻低而常做为多级
放大电路的输出级,因电压增益接近1而用于信号的跟随。
3.共基电路:只放大电压不放大电流,输入电阻小,高频特性
好,适用于宽频带放大电路。
第三章 晶体三极管及应用

五、多级放大电路
1.级间耦合的几种方式:
直接耦合、阻容耦合、变压器耦合、观点耦合
2.多级放大器的计算:
多级放大电路的增益(考虑前后几的影响)
n
A  A1 A2 A3    An   Ai
i =1

2.输入电阻输出电阻的计算:
第四章 场效应管及其应用

1.场效应管的种类:

•结型(分N沟道和P沟道两种)和绝缘栅型(又称M0SFET);

•分N沟道耗尽型和增强型;P沟道耗尽型和增强型两大类。

2.场效应管特点:

•是单极型电压控制器件;温度稳定性好。

•是输入电阻极高,一般可达108以上,因而可组成多级放大
器的输入级,同时在作中间级放大器时,不需考虑对前级的负
载作用。

•工艺简单、功耗小。适于集成。
第四章 场效应管及其应用

1.场效应管的应用:三种基本放大电路的比较如下

组态对应关系 CE / CB / CC CS / CG / CD
电压增益
 g m R D
h fe R L CS : A U =
CE : A U = - 1  g m Rs
h ie
h fe R L
CC : A U =  1 CD : A = g m R s  1
h ie  (1  h fe ) R L U
1  g m R s
h fe R L
CB : A U = +
h ie CG : A U = + g m R D
第四章 场效应管及其应用

组态对应关系 CE / CB / CC CS / CG / CD
输入电阻Ri

CE: Rb //hie CS:RG

R //[h  (1  h ) R ] CD:RG
CC: b ie fe L

CG:Rs//(1/gm)
CB: Re //( hie /1 +hfe )
第四章 场效应管及其应用

组态对应关系 CE / CB / CC CS / CG / CD

输出电阻Ro

CE:Rc CS:rds // RD
hie + Rb // Rs
Re //(
CC: ) CD:Rs//(1/gm)
1 hfe
CG:RD
CB:Rc
第五章 放大器的频率响应
频率响应:称幅频特性;放大器的相移也与频率有关,称相频
特性,两者统称为频率响应。
高频响应—由晶体管的结电容及分布参数以及三极管的引起的。
低频响应—由电路中耦合电容和旁路电容引起的
二极管的应用:
分析放大器的高频响应用混合模型,具体分析步骤:
1. 画出放大器的交流通路;
2.用混合模型代替晶体管画出放大器的高频等效电路
3.求出中频增益
4.根据高频等效电路确定高频极点。
5.写出高频传输函数,确定高频截频及带宽
第五章 放大器的频率响应

¨ 多极点闭环系统引入负反馈时,应严格分析是否会出现
自激振荡现象。
¨ 可由环路增益进行判别,也可通过开环增益的波特图进
行判别。
•产生自激振荡的条件:

幅度条件: | AB | 1
相位条件: arg A j B  j   180 0
主讲:
路 勇

2017-7-4 1
第六章 负反馈放大电路
1.反馈的概念(正、负、交流、直流反馈)。
2.负反馈放大器四种组态的判别:
( 1 ) 电压、电流反馈:用输出电压短路法;
(2)串联、并联反馈:用馈入信号连接方式法;
(3)正、负反馈:用瞬时极性法。
3.负反馈对放大器性能的影响(仅对反馈环内的参数有影响):
(1)提高增益稳定度;
(2)改善非线性失真、降低噪声;
(3)展宽频带;
( 4 ) 改变输入、输出电阻;
( 5 ) 付出的代价:增益降低(1+AB)倍。
4. 分析法: 等效电路法、方框图法、深度负反馈下的近似计算
第七章 双极性模拟集成电路
本章围绕着模拟集成电路的构成单元来讲述:

1.差分放大电路是模拟集成电路中最重要的环节。
特点是:对差模信号有很大的放大能力,对共模信号具有根强
的抑制作用。
3.抑制共模信号的能力:
双端输出时:靠电路对称性抑制共模信号;
单端输出时:靠共公发射极大电阻或恒流源电路。
第七章 双极性模拟集成电路

差放电路主要结论:

-h fe R L
双端输出差模电压增益 A Ud 
R b  h ie

双端输出共模电压增益 A Uc  0

双端输出共模抑制比 CMRR  
第七章 双极性模拟集成电路
1 h fe R L
单端输出差模电压增益 A Ud  
2 R b  h ie
单端输出共模电压增益
 h fe R c Rc
A Uc (单) 
R b  h ie  (1  h fe )  2R ee 2R ee
A Ud (单)  h fe R e
单端输出共模抑制比 CMRR(单)
A Uc (单) R b  h ie
输入任意信号时可分解差模与共模信号`

共模信号 Uic1=Uic2=(Ui1+Ui2)/2
差模信号 Uid1=-Uid2=(Ui1-Ui2)/2
第七章 双极性模拟集成电路
1 .分类:甲类、乙类、甲乙类、丙类几种。

2.功放的参数分析:
输出功率、效率、管耗。
3.功放的选管原则:

甲类功放 乙类功放
2 2
Po  E c /2R L Po  E c / 2R L
PDC  E c 2 / π R L
PDC  2E c 2 /R L
Pcmax  0.4Po
Pcmax  4Po Pcmax 单   0.2Po
  很低   7 8 .5 %
• 最终解决失真、效率、安全应用问题
第八章章 双极性模拟集成电路分析应用

•集成运放原理及内部结构,而应用时常采用
•重点掌握:
•理想运放有两种工作作态。
线性工作状态特点:

1. 理想运放的同相和反相输入端“虚断”, I+=I-≈0
2. 理想运放的同相和反相输入端“虚短”, U+=U-
非线性工作状态特点:
1.当U+>U-时,Uo为正饱和值。
2.当U+<U-时,Uo为负饱和值。
第八章章 双极性模拟集成电路分析应用

•闭环运放的两种基本电路
1. 反相放大器:实质上是一个电压并联负反馈放大器,
主要特点是反相输入端呈“虚地”.
•电压增益: AUf=-Rf/Rl
•输入电阻:rif = R1;
•输出电阻:rof=0。
2. 同相放大器:实质上是一个电压串联负反馈放大器;
电压增益: AUf=1+Rf/Rl
输入电阻:rif = ∞;
输出电阻:rof=0
第九章 MOS模拟集成电路
1.分立元件的基本放大电路:CS、CD、CG
2.MOS集成电路的分类(NMOS、PNOS、CMOS、CMOS互补)
3.MOS器件作为有源负载(单管增强型、单管耗尽型、镜像电流源)
4.MOS器件作为开关的条件(开关工作频率>时钟控制频率)

5.MOS管作为放大器件,构成放大器: (1)E/E NMOS放大器


(2)E/D NMOS放大器
(3)CMOS放大器
(4) CMOS互补放大器
6.开关电容电路 (1)SC等效电阻电路 (串联型、并联型)
(2)SC积分器
(3)SC低通滤波器
第十章 直流电源电路

•直流电源的构成:变压器-整流-滤波-稳压
• 稳压电源的参数: 输出电压、 输出电流、 稳压系数、纹
波系数等
•稳压电源设计:电路参数的选择

•三端稳压片:W7800系列、基准电压源稳压W117
第二章小结
《晶体二极管及应用》

主讲:刘颖
知识点小结
① 半导体及其特性:掺杂特性、温度特性、光照特性;
② 掺杂半导体:本征半导体,N型半导体、P型半导体;
③ 半导体中的载流子:自由电子和空穴;
④ PN结:也称为耗尽层、势垒区、空间电荷区,有内电场。
PN结正偏时耗尽层变窄,反偏时耗尽层变宽;
⑤ PN结特性:单向导电性、光电电光特性、结电容特性等。
⑥ PN结的电容:按照产生机理,有势垒电容和扩散电容。
⑦ 晶体二极管及其特性:实际上就是一个PN结加了管壳和电
极引脚;描述二极管的性能常用二极管的伏安特性,可用二
极管的电流方程来描述,I=Is(e U/UT-1);
⑧ 二极管击穿:有可逆击穿和不可逆击穿,可逆击穿又分为
雪崩击穿和齐纳击穿;
⑨ 二极管具有单向导电性:通常来讲,硅管导通后管压降
UD≈0.7V,锗管导通后UD≈0.3V;
特殊二极管小结
二极管类型 工作条件 功能

稳压二极管 反偏、反向击穿 稳压

整流二极管 正偏 单向导电性

发光二极管 正偏 电—光转换

光电二极管 反偏 光—电转换

变容二极管 反偏 可变容

肖特基二极管 正偏 工作频率高
重点难点

重点:晶体二极管的原理、伏安特性及电流方程。
难点:1.两种载流子的形成
2.载流子的运动
3. PN结的形成
4.单向导电性
测试题
一、选择填空
1. 稳压二极管通常工作在( )状态下,能够稳定电压。
A正向导通 B反向截止 C反向击穿 D 任意状态

答:C

2. PN结加反向偏置时,空间电荷区( )。
A.变宽 B.变窄 C.不变 D.不确定

答:A
二、填空
1. 在杂质半导体中,多数载流子的浓度主要取决于( A ),
少数载流子的浓度则与( B )有很大关系。
答:A是掺杂浓度,B是温度。

2. 整流二极管的主要特性是( A ),它的两个参数是(B)。

答:A.单向导电性 B. 反向击穿电压UBR和最大整流电流IF。
三、简答题
与本征半导体相比,N型半导体中空穴是多了还是少了?
提示:N型半导体,自由电子是多子,空穴是少子。在杂质型
半导体中,多子浓度与少子浓度两者乘积保持不变。

解答:
+5
+4 +4 +4
N型半导体自由电子是多
子,空穴是少子,与本证
半导体相比,少子空穴少 +4 +4 +4
了。

+5
+4 +4 +4
10V 15V
四、分析判断题 +
25k 140k
18k
D
1.判断图1所示电路中的 B A
二极管能否导通。 2k 5k 10k
-

C
图1 二极管应用电路

分析思路:判断二极管在电路中工作状态的方法是先假设二极
管断开,分别计算二极管两极的电压,然后比较阳极电压与阴
极间将承受的电压,如果该电压大于二极管的导通电压,则说
明二极管导通,否则截止。
如果判断过程中,电路出现两个以上的二极管承受大小
不等的正向电压,则应判定承受正向电压较大者优先导通,其
两端电压为导通电压,然后在用上述方法判断其他二极管的导
通状态。
10V 15V
+
25k 140k
18k

B A
2k 5k 10k
-

C
图2 图1所示二极管应用电路判断过程

解答: 10
VA   15  1V
10  140
2 5
VB   10   15  1  2.5  3.5V
2  18 5  25
则 VA< VB, 二极管为截止状态。
2.图3所示稳压电路,RL=R ,已经稳压管参数UZ=5V,试分析:
(1)若UI=7V时,求输出Uo;
(2)若UI=12V时,求输出Uo。
IR
uR -
+
R IDz IL +
UI RL Uo
DZ
-

图3 稳压管稳压电路

分析思路:判断图示电路中的稳压二极管是否反向击穿。

RL
如果  U I  U DZ,则输出为稳压值U DZ。
R  RL
3.双向限幅电路如图4所示,已知输入波形,请画出输出波形。

R
ui
+ +

VD1 VD2 V1+VD


ui uo
t
V1 V2
- - V2+VD

图4 双向限幅电路

分析:电路利用了二极管的单向导电性和电压源V1和
V2的输出稳定特性。

当VD1导通、VD2截止时,输出近似为V1+VD;
当VD1截止、VD2导通时,输出近似为负的V2+VD。
当VD1、VD2均截止时,输出等于输入。
第二章小结 结束
第三章 小结
《晶体三极管及应用》
125/131
第三章小结
一、双极结型晶体管(BJT)特性
晶体三极管是电流控制元件,通过控制基极电流或射极电
流可以控制集电极电流。
 要使三极管正常工作并有放大作用,管子的发射结必须
正向偏置,集电结必须反向偏置。
 三极管的特性可用输入和输出特性曲线来表示,也可用
特性参数来表示。主要的特性参数有:电流放大系数、,
极间电流ICBO、ICEO,极限参数ICM、PCM和BUCEO。
 由于 、、ICBO、ICEO等受温度影响较大,为了稳定,
选管子时ICBO、ICEO要小, 也不要过大。
126/131
第三章小结
二、放大的概念
•放大的对象:在电子电路中,放大的对象是变化量,常用的
测试信号是正弦波。
•放大的本质:是在输入信号的作用下,通过有源元件(晶体管
或场效应管)对直流电源的能量进行控制和转换,使负载从电
源中获得的输出信号能量,比信号源向放大电路提供的能量大
得多。
•放大的特征:是功率放大,表现为输出电压大于输入电压,
输出电流大于输入电流,或者二者兼而有之。
•放大的前提:是不失真。
127/131
第三章小结(续)
三、放大电路的组成原则
1.放大电路的核心元件:是有源元件,即晶体管
2.直流电源电压:数值、极性的设置要正确。
3.电路参数:应保证晶体管工作在放大区即建立起合适的静
态工作点,保证电路不失真。
4.输入信号:应能够有效地作用于有源元件的输入回路,输
出信号能够作用于负载之上。
128/131
四、放大电路的主要性能指标
1.增益A:输出变化量幅值与输入变化量幅值之比,或二者的
正弦交流值之比,用以衡量电路的放大能力。
2.输入电阻ri:从输入端看进去的等效电阻,反映放大电路从
信号源索取电流的大小。
3.输出电阻r。:从输出端看进去的等效输出信号源的内阻,说
明放大电路的带负载能力。
4.最大不失输出电压Um:未产生截止失真和饱和失真时,最大
输出信号的正弦有效值(或峰值)。
5.下限、上限截止频率fL和fH、通频带BW:均为频率响应参数,
反映电路对信号频率的适应能力。
6.最大输出功率Pm和效率:及衡量在输出波形基本不失真情况
下负载能够从电路获得的最大功率,以及电源为此应提供的功
率。
129/131
第三章小结(续)
五、放大电路的分析方法
1.静态分析:就是求解静态工作点Q,在输入信号为零时,
晶体管(和场效应管)各电极间的电流与电压就是Q点。可
用解析法或图解法求解。
2.动态分析:就是求解各动态参数和分析输出波形。通常,利
用h参数等效电路计算小信号作用时的Au、Ri和Ro,利用图
解法分析UOm和失真情况。
•放大电路的分析应遵循“先静态、后动态” 的原则:
只有静态工作点合适,动态分析才有意义;Q点不但影响电路
输出是否失真,而且与动态参数密切相关。
130/131
第三章小结(续)
六、晶体管放大电路
•晶体管基本放大电路有共射、共集、共基三种接法。
1.共射放大电路:即有电流放大作用又有电压放大作用,输
入电阻居三种电路之中,输出电阻较大,适用于一般放大。
2.共集放大电路:只放大电流不放大电压,因输入电阻高而
常做为多级放大电路的输入级,因输出电阻低而常做为多级
放大电路的输出级,因电压增益接近1而用于信号的跟随。
3.共基电路:只放大电压不放大电流,输入电阻小,高频特性
好,适用于宽频带放大电路。
4.级联放大电路:可根据指标要求,使级联后的放大电路满
足指标要求。
131/131
第三章小结(续)
学完本章希望能够达到以下要求:
(1)双极结型晶体管(BJT)特性及相关参数。
(2)掌握以下基本概念和定义:放大、静态工作点、饱和失真与
截止失真、直流通路与交流通路、直流负载线与交流负载线、h
参数等效模型、放大倍数、输入电阻和输出电阻、最大不失真
输出电压、静态工作点的稳定。
(3)掌握组成放大电路的原则和各种放大电路的工作原理及特
点, 能够根据具体要求选择电路的类型。
(4)掌握放大电路的分析方法,能够正确估算基本放大电路的静,
态工作点和动态参数AU、ri和ro ,正确分析电路的输出波形和
产生截止失真、饱和失真的原因。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
第三章小结
《晶体三极管及应用电路》

主讲:侯建军
模拟电子技术基础

主讲人:侯建军教授
北京交通大学电子信息工程学院
求静态工作点概念要清楚、系统性要强。
如静态工作点电路分析中求Ib,不仅分析
而是研究。即强调典型电路,又扩展到射级偏
置电路。即考虑Rb,又考虑RE等整体。既有底
蕴,又有延展。I (
( CEECRUb2 )
be  U ) / R / (1   )
I bb 
Rb1R Rb2
be E
b
Ec
EC

IBQ
UB
uo UE
ui uo
ui Re IEQ
电 路 如 图 所 示 。 设 hfe1=hfe2=hfe3=100 , hie1=hie2=5k ,
hie3=1.5k。 求静态时,若要求Uo=0,哪些参数影响
Ib1=? 电 路 如 图 所 示 。 设 hfe1=hfe2=hfe3=100 , hie1=hie2=5k ,
解: hie3=1.5k。求静态时,若要求Uo=0,试估算Ib1=?
15RE3
I b1  [U CC  (U CC   0.7)] / RC1 / hfe1
RC3
UCC(+15V)
RC1 RC2 RE3
10k 10k 2.1k
体现:底蕴和延展;
RB1 T3
多相思维思想; +
3k
T1 T2
u
Uo o
上升到数学模型。 u Uii RB2
3k
- RC3
I0 7.5k

-UEE(-15V)
EC EC
Ic
Ii
hfeIi
uo
uo U
ui us i
hie

 hfe RL' 26
AU 
uo
 hie  300  (1  hfe )
ui hie I EQ
增大电压放大倍数可采取的措施: 研究数学模型(数学等式),理解数学模型的变量(元器件)
增大hfe,要换管,不可取。 物理意义,达到深入理解电路的基本原理。
增大RC,适量,否则影响UCE。

从数学模型转变到物理模型,并解释静态与动态的关系,不是
增大RL,不行,一般的情况下负载RL小。
顾此失彼的研究问题。
减小Rb 方法较好,但会影响静态工作点Ib。
1
差模电压增益AUd : AUd  g m RD  10
2
差模输入电阻Rid :  RG1  1MΩ 电阻R1为什
Rid电容C的
作用?
差模输出电阻Rod: Rod  RD2  10k 么引入?

1.乍一看复杂,仔细分析, UCC(+10V)
RD1 RD2
清晰。很快掌握。 10k 10k C

2. 简单差分电路,只不过 T2 10F
+
Uo
T1 u- o
是个电流源替代耦合电阻 +
R1
RG1 RG2 17k
RE。 uUii 1M 1M

3. 单端输入、单端输出情
况,可看作双端输入、单 T3 T4
端输出。
R3 R2
4. 增益为什么是正值?双 2k 2.4k

端输入电阻为什么不加倍? -UEE(-10V)
结束语: 本文引用了许多学者研究积累的一些素材,难以一
一注明出处,特此说明并表示感谢!
侯建军
2017.5.18
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
第四章小结
《场效应管及应用电路》

主讲:李赵红
67/73
小 结
① 场效应管放大电路主要有共源、共栅和共漏三种基本
组态放大器。
② 静态偏置电路主要有自给偏置电路和分压式偏置电路
两种。自给偏置电路适用于结型和耗尽型场效应管。
而对增强型场效应管只能采用分压式偏置电路。
③ 静态分析可采用解析法和图解法。
④ 动态分析与三极管基本相似,主要采用微变等效电路
法进行分析。
68/73

场效应管放大电路性能比较
输入与输出电
组态 AUs ri′ ro′
压关系

共源 高 高 较高 反相

共漏 低 高 低 同相

共栅 高 低 较高 同相
69/73
填空

电压 电流
1.场效应管是_______控制器件,而双极型三极管是_______
控制器件。
栅极绝缘
2.绝缘栅型场效应管输入电阻很大,是因为____________的
原因。
70/73
选择填空
b
1.场效应晶体管是用_______控制漏极电流的。
a. 栅源电流 b. 栅源电压 c. 漏源电流 d. 漏源电压
2.结型场效应管发生预夹断后,管子________。 b
a. 关断 b. 进入恒流区 c. 进入击穿区 d. 可变电阻区
3.场效应管的低频跨导gm是________。 b,c
a. 常数 b. 不是常数 c. 栅源电压有关 d. 栅源电压无关
4. 场效应管靠__________导电。
a
a. 一种载流子 b. 两种载流子 c. 电子 d. 空穴。
5. 增强型PMOS管的栅源开启电压__________。 b
a. 大于零 b. 小于零 c. 等于零 d. 或大于零或小于零
6. 增强型NMOS管的(栅源)开启电压__________。 a
a. 大于零 b. 小于零 c. 等于零 d. 或大于零或小于零
解答:1.b 2.b 3.b,c 4. a 5.b 6.a
71/73
选择填空

7. 某场效应管的IDSS为6mA,而IDQ自漏极流出,大小为8mA,
则该管是_______。
d
a. P沟道结型管 b. N沟道结型管
c. 增强型PMOS管 d. 耗尽型PMOS管
e. 增强型NMOS管 f. 耗尽型NMOS管

解答:7.d
73/73

第四章结束
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
第五章小结
《放大电路的频率响应》

主讲:黄亮
65/68

 放大器的增益与频率有关,称幅频特性;放大器的相移也
与频率有关,称相频特性,两者统称为频率响应。
 分析放大器的高频响应借助于晶体管的高频模型即混合
模型,具体分析步骤如下:
1. 画出放大器的交流通路;
2. 用混合模型代替晶体管画出放大器的高频等效电路;
3. 计算中频增益;
4. 写出高频传输函数,确定高频极点和带宽。
66/68

几点结论
1. 放大电路的耦合电容和旁路电容引起是引起低频响应的主要原因。

2. 晶体管的结电容和分布电容是引起放大电路高频响应的主要原因。

3. 衡量放大电路性能的重要指标——增益带宽积(单位增益带宽)。

4. 一般情况下,多级放大电路级联后,增益提高了,通频带变窄了。

5. 在进行放大电路频率响应分析时,可以使用“密勒定理”将跨接
在输入回路与输出回路之间的阻抗Z分别等效为输入端阻抗Z1和输出
端阻抗Z2。
67/68
6. 由于存在“密勒倍增效应”,三极管放大电路“共射组
态”的高频特性较差。
7. 三极管放大电路“共基组态”的高频特性最好。
8. fα > fT >> fβ
9. BW = fH ─ fL

重点难点
1. 频率响应的分析方法(主要是高频截频的分析方法)。
2. 波特图的绘制。
68/68
绘制波特图的步骤:
1. 将传输函数整理为标准式。
 
A j  1  j 
 1 
A j  
     
 1  j  1  j  1  j 
  2   3  4 

2. 绘制幅频特性渐近线(零点、极点和微分因子对 幅频特
性的影响)。
3. 绘制相频特性渐近线(零点、极点和微分因子对相频特
性的影响)。
习题1:已知电压传输函数如下,请绘出幅频特性波特图。
jω  1013
AU  jω  
  
102  jω 105  jω 106  jω 
答案:首先将传输函数由非标准形式变为标准形式:

AU  jω  
 ω  ω  ω 
   
102  105  106 
1 j 1 j 1 j
  
习题2:已知电压传输函数如下,请绘出相频特性波特图。
1014
AU  jω  
 jω  104  jω  105 
答案:首先将传输函数由非标准形式变为标准形式:
105
AU  jω  
 ω  ω 
 1  j 4 
1  j 5 
 10  10 
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
第六章小结
《负反馈放大电路》

主讲:霍炎
93/99

本章重点难点

重点:负反馈对放大器性能的影响。
难点:1.负反馈放大器的组态判别;
2.深度负反馈放大电路的性能分析;
3.多级负反馈放大器的闭环稳定性分析。
94/99
小 结
1. 负反馈放大器类型
电压串联、电压并联、电流串联和电流并联四种。
2. 反馈判别方法
1) 找出反馈网络方法:与输入回路有关,又与输出回路
有关的网络。
2)(输出端)电压、电流反馈的判别方法
判断方法1: 将输出电压‘短路’,若反馈回来的反馈信
号为零,则为电压反馈;若反馈信号仍然存在,则为电流
反馈。
判断方法2:反馈信号与输出电压成比例,则为电压反馈,
与电流成比例,则为电流反馈。
95/99

3)(输入端) 串联、并联反馈判别方法
反馈信号与输入信号加在放大电路输入回路的同一个电极,
则为并联反馈;反之,加在放大电路输入回路的两个电极,
则为串联反馈。
4)正、负反馈判别方法
瞬时极性法:在放大电路的输入端,假设一个输入信号的
电压极性,可用“+”、“-”, 按信号传输方向经基本放大
器反馈网络判断相关点的瞬时极性,直至判断出反馈
信号的瞬时电压极性。如果反馈信号的瞬时极性使净输入
减小,则为负反馈;反之为正反馈。
96/99
5) 直流、交流反馈判别方法
电容观察法:
反馈通路如存在隔直电容,则为交流反馈
反馈通路如存在旁路电容,则为直流反馈
反馈通路不存在电容,则为交直流混合反馈

3. 闭环增益:无论何种类型的负反馈可用统一形式
的反馈方程来描述,即Af=A/(1+AB)。反馈的类型不
同将影响A、Af和B的下标和量纲。反馈深度
F=1+AB将影响反馈放大器的性能。
97/99

4. 负反馈放大器的主要影响
(1) 提高增益的稳定性,电压负反馈可稳定输出电压,电
流负反馈可稳定输出电流。
(2) 改善非线性失真,减小内部噪声和干扰。
(3) 改变放大器的输入和输出阻抗。串联负反馈可使输入
阻抗增加,并联负反馈可使输入阻抗减小;电压负反馈可使
输出阻抗减小,电流负反馈可使输出阻抗增加。
(4) 增加放大器的频带宽度。
(5)信号源内阻对负反馈放大器性能的影响。串联负反馈,
信号源应采用低内阻Rs才能得到好的反馈效果;并联负反馈,
信号源应采用高内阻Rs才能得到好的反馈效果。
98/99
5. 方框图分析法
方框图分析法可用于分析各种类型的负反馈放大器。
6.自激振荡
多极点闭环系统引入负反馈时,应严格分析是否会出
现自激振荡现象。可由环路增益进行判别,也可通过开环
增益的波特图进行判别。
负反馈放大器产生自激振荡的平衡条件:
幅度条件:|A  j  B  j  |  1
相位条件:arg A  j   B  j    1800

工程设计中,应留有余量:一般Gm<-10dB m450
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
第七章小结
《双极型模拟集成电路》

主讲:白双
本章主要内容
1.恒电流源电路及其分析方法、作用
2. 差放电路的分析方法
3. 功放电路的分析方法

本章重点和难点
1.差放电路的分析方法
2. 功放电路的分析方法
1.恒电流源电路及其分析方法、作用
恒电流源电路
基本镜像电流源

比例电流源

微电流源

威尔逊电流源

多路恒流源

电流源电路特点:输出直流电流、直流电阻小、交流电阻大、温度特性好、
受电源电压等因素的影响小。
基本镜像恒流源
IO犹如IR的镜像故称为镜像电流源电路。

比例恒流源
调整射极电阻的比值,即可调整IO与IR的比例关系,所以称为比例恒流源。

微电流源
通过对基本镜像恒流源电路进行改变,得到一个输出比基准电流小许多倍
的微电流源(A级),适用于微功耗的集成电路。
威尔逊电流源
利用负反馈原理构成,具有很高的传输精度。

多路恒流源
通过一个基准电流源稳定多个三极管的工作电流,即可构成多路电流源,
一个基准电流IR可获得多个恒定电流IO1、IO2。

电流源的主要应用
主要用于集成工艺制造的放大电路中,作用包括:
(1)作直流偏置电路,
(2)取代电阻作有源负载。
2. 差放电路的分析方法
差分电路的组成
由对称的两个放大电路组成。如CE差分放大电路由完全对称的两个共射
放大电路组成,通过射极公共电阻Ree耦合构成的。

差分电路的输入输出方式
单端输入 单端输出
输入方式 输出方式
双端输入 双端输出

差模信号和共模信号
差模信号:输入是一对大小相等、极性相反的信号,用Uid1、Uid2表示,Uid1= - Uid2。
共模信号:输入是一对大小相等,极性相同的信号,用Uic1、Uic2表示,Uic1= Uic2
差分电路的分析
(1) 静态分析

确定晶体管静态工作点。

(2) 动态分析

1)对差模信号的放大作用分析
差模信号增益,差模输入电阻,差模输出电阻。
2)对共模信号的抑制作用分析
共模信号增益,共模输入电阻,共模输出电阻。
3. 功放电路的分析方法
功率放大电路是一种以输出较大功率为目的的放大电路,用于驱动
执行装置。为了获得大的输出功率,往往使电路工作在大信号工作状态。

功放电路的分析方法:图解法

为提高功率放大电路的效率和改善失真,三极管可工作在不同的静
态工作点,根据导通时间不同可分为:
(1)甲类功率放大电路,
(2)乙类功率放大电路,
(3)甲乙类功率放大电路,
(4)丙类功率放大电路。
甲类功率放大电路
转换效率:   Po /PDC  25% (最大值)
集电极最大功耗: PCmax  PDC  4Po
甲类功率放大电路的静态电流造成的管耗较大,使电路转换效率低。

乙类互补推挽功率放大电路
由一对NPN、PNP特性相同的互补三极管组成。
效率:  Pomax /PDCmax    / 4  78.5%
集电极的最大功耗: Pcmax 单   0.2 Po
缺点:当输入信号Ui 在0~Ube 之间变化时,不足以克服死区电压,三
极管不导通。因此在正、负半周交替过零处会出现一些非线性失真,
这个失真称为交越失真。
甲乙类互补推挽功率放大电路
为解决交越失真,可给电路中的三极管稍稍加一点偏置,使之工作在
甲乙类。
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
第八章小结
《集成运算放大器的分析与应用》

主讲:任希
重点难点
重点:运放构成的电路系统分析。
难点:理解虚短、虚断和虚地的概念;
理解迟滞比较器的工作原理;
理解正弦波(余弦波)、方波和三角波
发生器的工作原理。
小 结
 集成运算放大器本质上是一种多级高增益交直流放大器
,在外部反馈网络配合下,它的输出与输入之间可以灵活地
实现各种特定的函数关系。因而具有对不同信号进行组合、
运算和处理等多种功能,可实现各种功能电路。
 采用理想模型分析时要掌握理想运放的主要条件:
1. 开环电压增益Aud=∞;
2. 输入电阻Rid=∞;
3. 输出电阻Ro=0;
4.频带宽度F=∞;
5.共模抑制比CMRR=∞;
6.失调、漂移和内部噪声为零。
小 结
 理想运放有两种工作作态。
线性工作状态特点:
1. 理想运放的同相和反相输入端电流近似为零, I+=I-≈0(虚
断)。
2. 理想运放的同相和反相输入端电位近似相等, U+=U-(虚
短)。

饱和工作状态特点:
1. 理想运放的同相和反相输入端电流近似为零, I+=I-≈0
2. 当U+>U-时,Uo为正饱和值;
当U+<U-时,Uo为负饱和值。
小 结
运放构成的电路系统分析

运放在线性工作状态下构成的电路特点:
1. 闭环电路;
2. 负反馈电路。

运放在线性工作状态下构成的电路基本分析方法:
1. 虚断;
2. 虚短。
100/101
小 结
 两种基本电路
If Rf
1. 反相放大器:它实质上是一
个电压并联负反馈放大器, I1 R
1
主要特点是反相输入端呈“虚 Ui -
地”。 Uo
+
电压增益: AUf=-Rf/Rl RP
式中, Rf是反馈电阻,R1是反
相输入端电阻。
100/101
小 结
两种基本电路 Rf
2. 同相放大器:它实质上是一个
电压串联负反馈放大器,电压增 R1
益: AUf=1+Rf/Rl -
R2 Uo
同相放大器的输入电阻rif = ∞, Ui +
输出电阻rof=0。
100/101
小 结
 基本运算电路
1. 加法电路
反相加法电路、同相加法电路

If Rf
If Rf
Un Rs
In Rn -


Uo
U2 I R U1 I R +
2 2 1 1
U1 - U2
I1 R1 I2 R2


Uo
+ Un
RP In Rn
小 结 Rf
基本运算电路 R1
2. 减法电路 U1 -
Uo
U2 +
3. 微分电路:数学运算和波形整形 R2
R3
4. 积分电路:数学运算和波形整形
if(t) C
if(t) C
i (t)
R i (t)
ui(t) - R -
ui(t)
uo(t) uo(t)
+ +
小 结
运放构成的电路系统分析

运放在饱和工作状态下构成的电路特点:
1. 开环环电路;
2. 正反馈电路。

运放在饱和工作状态下构成的电路基本分析方法:
1. 虚断;
2. 当U+>U-时,Uo为正饱和值;当U+<U-时,Uo为负饱和值。
小 结
 比较器电路
1. 单门限比较器:开环电路,只有一个门限电压的比较器。

R1
Ui - Ro
R2 Uo
UR +
Dz

限幅电路R0、DZ作用:输出电压被限定在(UZ+UD)。
UR=0时,构成过零比较器。
小 结
 比较器电路
2.迟滞比较器:正反馈电路,有两个门限电压的比较器。

R1 Uo
Uom
Ui -
R2  Uo Ui
UR + U2 U1
Rf Uon
R2U om Rf U R
上门限电压: Σ1
U  
R2  Rf R2  Rf
R2U on Rf U R
下门限电压: U Σ 2  
R2  Rf R2  Rf
小 结
运放构成的电路系统分析 R2
R1 U2 R
U1
多级运放电路分析 -
+ Uo
+ Uo1 R
1. 分别求出每级电路输入输出 -
关系; R
R3 R4
2. 前级的输出作为后级的输入。

R2 R3  R4 R3  R4 R2
U o1   U1 U o  (U o1  U 2 ) U o  (U 2  U1 )
R1 2 R3 2 R3 R1
小 结
运放应用
1.矩形波发生电路
小 结
运放应用
2.三角波波发生电路
方波发生器+积分电路
小 结
运放应用
3.正弦波发生电路
振荡条件
A( )  F ( )  1 振幅平衡条件
 a ( )   f ( )  2nπ 相位平衡条件
起振条件
A( )  F ( )  1

 a ( )   f ( )  2nπ
小 结
振荡电路基本组成部分
放大电路(包括负反馈放大电路)

反馈网络(构成正反馈的)

选频网络(选择满足相位平衡条件的一个频率。
经常与反馈网络合二为一。)

稳幅环节
小 结
RC桥式振荡电路
正反馈网络兼做选频网络
1 1
当   0  
时, f  0 FV 
RC 3
电路满足相位平衡条件  a   f  2nπ

Rf
放大电路的电压增益为 AV  1  3
R1
1
电路满足振幅平衡条件 V V A F  3  1
3
1
电路振荡频率为 f 0 
2πRC
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
第九章小结
《直流电源电路》

主讲:邓涛
51/54

重点难点
重点:直流稳压电源的组成及其工作原理。
难点:直流稳压电源的设计;
开关型稳压电路的工作原理。
52/54

小 结
 直流稳压电源组成及工作原理
1. 变压器;
2. 整流电路;
3. 滤波电路;
4. 稳压电路。
53/54

小 结
 两种稳压电路的结构与特点:
1. 稳压管稳压电路;
2. 串联型线性稳压电路。
 开关型稳压电路的工作原理
制作单位:北京交通大学电子信息工程学院
《模拟电子技术》课程组
C

C
O

O
O

O
M

M
北 京 交 通 大 学 期 末 考 试 试 题 ( 模 拟 )




课程名称: 模拟电子技术 学年学期: 第八学期


开课学院: 电子信息工程学院 任课教师: 模拟电子技术 MOOC 课程组
学生姓名: 学院: 班级:
C

C
O

O
题 号 一 二 三 四 五 六 七 八 九 总分
O

O
M

M


得 分



阅卷人


C

C
一、判断正误题(共 8 分,每题 1 分)
O

O
O

O
M

M
1. 电流串联负反馈不仅能够稳定输出电流也能稳定输出电压。 ( )



2.集成运放的失调电压、失调电流、转换速率(摆率)均越小越好。 ( )



3. 级联放大电路的输出电阻原则上取决于最后一级放大电路。 ( )
4. 整流二极管、肖特基二极管、发光二极管均工作在正偏压状态。 ( )
5. 放大电路的耦合电容和三极管结电容均影响放大电路的高频增益特性。( )
C

C
6. 乙类(即 B 类)放大电路的效率要高于丁类(即 D 类)放大电路的效率。 ( )
O

O
O

O
M

M
7. 电流负反馈将使放大电路的输出电阻减小。 ( )

8. 同相比例、反相比例运算放大电路的输出电阻均为零。 ( )




二、填空题(共 8 分,每题 1 分)

1. PN 结加反偏压时,空间电荷区将__________。(A.变宽 B. 变窄)
2. 温度降低时,三极管的共射电流增益β将____________,三极管的集电极和发
C

C
O

射极间的穿透电流 ICEO 将_________________。(A.增大


O

B.减小)
M

3. 晶体三极管在放大状态时,发射结_________、集电结_________。
(A.正偏

B.

反偏)


4. 直流稳压电源中的整流电路的作用是_________________,滤波电路的作用是
_________________。
5. 若得到电压-电流转换电路,应在转换放大电路中引入________________反馈。
C

C
O

O
O

1
M

M





C

C
O

O
O

O
M

M
三、简答题(共 24 分,每题 4 分)



1. 试比较 CC、CE、CB 三种组态放大电路的电流增益特性。



2. 试比较场效应管工作在共漏、共源、共栅三种连接方式下放大电路的输入电
阻特性。
3. 负反馈对放大电路增益的稳定性、通频带有怎样的影响?
C

C
4. 级联放大电路的耦合方式有哪四几种?
O

O
O

O
M

M
5.说明抑制零点漂移有哪几种方法(至少说出 2 种)。


6. 如果负反馈放大电路自激,请提供一种抑制自激的方法。




四、 (12 分)若某级联放大电路的电压增益函数为
1015
AU ( jω ) = .
( jω + 105 )( jω + 106 )
C

C
O

O
O

O
M

M
(1)试画电压增益幅频特性和相频特性波特图的渐近线;


(2)截止频率是多少? 通频带是多少?



(3)如果引入负反馈后,为保证闭环放大电路稳定,环路增益应满足什么样


的约束条件?
C

C
O

O
O

O
M

M





C

C
O

O
O

O
M

M





C

C
O

O
O

2
M

M





中 中 中 中 中 中
国 国 国 国 国 国
大 大 大 大 大 大
学 学 学 学 学 学
M M M M M M
O O O O O O
O O O O O O
C C C C C C

40
60
100

80

20

00

-900
-450

-1800
-1350

-2250
中 中 中 中 中 中

1
1

()
国 国 国 国 国 国
大 大 大 大 大 大

10
学 学 学 学 学 学
M M M M M M
O O O O O O
O O O O O O
C C C C C C
20lg|A(j)|(dB)

中 中 中 中 中 中

3
国 国 国 国 国 国
大 大 大 大 大 大
学 学 学 学 学 学
M M M M M M
6
O O O O O O
O O O O O O
C C C C C C

102 103 104 105 106 10


10 102 103 104 105 10 10

7
7

中 中 中 中 中 中
国 国 国  国 国 国

大 大 大 大 大 大
学 学 学 学 学 学
M M M M M M
O O O O O O
O O O O O O
C C C C C C

中 中 中 中 中 中
国 国 国 国 国 国
C

C
O

O
O

O
M

M
五、(12 分) 两级放大电路如图 4-1 所示。场效应管的 gm=1mS,三极管的 hfe=60,


hie=1.5kΩ。



(1) 画图 4-1 放大电路的低频小信号等效电路图;


uo
(2) 计算放大电路的电压增益 AU = ;
ui
C

C
O

O
(3) 若在电路中加入级间交流负反馈,请在图 4-1 电路中添加负反馈网络,
O

O
M

M
并说明反馈类型。(负反馈网络可直接画在图 4-1 中)



+VCC



RB1 RC
RD 33kΩ 8kΩ
2kΩ + Co
C1
C

C
T2 +
O

O
Ci T1
O

O
M

M
+


+ RE2 uo
RB2


6.8kΩ 2kΩ


ui RG


2MΩ
- -

图 4-1
C

C
O

六、(12 分)功放电路如图 4-2 所示。 O


O

O
M

(1) 简要说明各 T1、T2、T3、T4 管的作用;



(2) 若电路中电源电压 VCC=12V,RL=20Ω,输出电流最大值是多少?




VCC

RE3
C

C
O

T3
O

+
M

ui

- T1

R1


T4 +
R2 RL uo
-
T2
RC3
C

C
O

O
O

4
M

- VCC





中 中 中 中 中 中
国 国 国 国 国 国
大 大 大 大 大 大
学 学 学 学 学 学
M M M M M M
O O O O O O
O O O O O O
C C C C C C

R
C
R

C
中 中 中 中 中 中
国 国 国 国 国 国

R6
Rf(t)
大 大 大 大 大 大
学 学 学 学 学 学
M M M M M M

+
O O O O O O

-
O O O O O O

A
C C C C C C
七、(12 分) 电路如图 4-3 所示。

U o1

中 中 中 中 中 中

5
国 国 国 国 国 国
(1)画出 UO1、UO2 、UO3 点输出波形;
图 4-2

大 大 大 大 大 大
-

图4-3
学 学 学 学 学 学
B

M M M M M M
O O O O O O
O O O O O O
C C C C C C
U o2

R
R

中 中 中 中 中 中
-

国 国 国 国 国 国
C

大 大 大 大 大 大
R

学 学 学 学 学 学
M M M M M M
(2)增大电阻 R,各点输出波形是否有变化?若有,请说明怎样变化。

O O O O O O
O O O O O O
C C C C C C
U o3

中 中 中 中 中 中
国 国 国 国 国 国
中 中 中 中 中 中
国 国 国 国 国 国
大 大 大 大 大 大
学 学 学 学 学 学
M M M M M M
O O O O O O
O O O O O O
C C C C C C

中 中 中 中 中 中

o
o
国 国 国 国 国 国
大 大 大 大 大 o 大
学 学 学 学 学 学
U o1

U o2

U o3
M M M M M M
O O O O O O
O O O O O O
C C C C C C

中 中 中 中 中 中

6
国 国 国 国 国 国
大 大 大 大 大 大
学 学 学 学 学 学
M M M M M M
O O O O O O

出图 4-4(c)中 RS2、us2、Ci、C O 的计算表达式。


O O O O O O
C C C C C C

中 中 中 中 中 中
国 国 国 国 国 国
t
t
t

大 大 大 大 大 大
学 学 学 学 学 学
M M M M M M
O O O O O O
O O O O O O
C C 八、 (6 分) 共射放大电路如图 4-4(a)所示,其中晶体三极管混合模型如图 C C C C
4-4(b)所示, 共射放大电路的高频微变等效电路图如图 4-4(c)所示。试写

中 中 中 中 中 中
国 国 国 国 国 国
中 中 中 中 中 中
国 国 国 国 国 国
大 大 大 大 大 大
学 学 学 学 学 学
M M M M M M
O O O O O O
O O O O O O

-
+
C C C C C C

us
RS
C1
+
中 中 中 中 中 中
国 国 国 国 国 国
RB

大 大 大 大 大 大

Re
学 学 学 学 学 学
M M M M M T M

图 4-4(a)
O O O O O O
RC

O O O O + O O

-
+
+

RS2
C C C C C C

us2
Ce
C2

RL

Ci

e
VCC

b’
-
+

uo

中 中 中 中 中 中

7
国 国 国 国 国 国

gmub'e
大 大 大 大 大 大
c
学 学 学 学 学 学

图 4-4(c)
M M M M M M

Co
b

O O O O O O
O O O O O O
C C C C C C
rbb’

RC//RL
rb’e

-
+

uo
b’

中 中 中 中 中 中
Cb’e

国 国 国 国 国 国
图 4-4(b)

大 大 大 大 大 大
Cb’c

学 学 学 学 学 学
c

M M M M M M
O O O O O O
O O O O O O
gmub'e

C C C C C C

中 中 中 中 中 中
国 国 国 国 国 国
中 中 中 中 中 中
国 国 国 国 国 国
大 大 大 大 大 大
学 学 学 学 学 学
M M M M M M
O O O O O O
O O O O O O
C C C C C C

中 中 中 中 中 中
国 国 国 国 国 国
大 大 大 大 大 大
学 学 学 学 学 学
M M M M M M
O O O O O O
O O O O O O
C C C C C C

中 中 中 中 中 中

8
国 国 国 国 国 国
大 大 大 大 大 大
学 学 学 学 学 学
M M M M M M
O O O O O O
O O O O O O
C C C C C C

中 中 中 中 中 中
国 国 国 国 国 国
大 大 大 大 大 大
学 学 学 学 学 学
M M M M M M
O O O O O O
O O O O O O
C C C C C C

中 中 中 中 中 中
国 国 国 国 国 国
中 中 中 中 中 中
国 国 国 国 国 国
大 大 大 大 大 大
学 学 学 学 学 学
M M M M M M
O O O O O O
O O O O O O
C C C C C C

中 中 中 中 中 中
国 国 国 国 国 国
大 大 大 大 大 大
学 学 学 学 学 学
M M M M M M
O O O O O O
O O O O O O
C C C C C C
(注意:本试卷共有九道大题!!!)

中 中 中 中 中 中

9
国 国 国 国 国 国
大 大 大 大 大 大
学 学 学 学 学 学
M M M M M M
O O O O O O
O O O O O O
C C C C C C

中 中 中 中 中 中
国 国 国 国 国 国
大 大 大 大 大 大
学 学 学 学 学 学
M M M M M M
O O O O O O
O O O O O O
C C C C C C

中 中 中 中 中 中
国 国 国 国 国 国
中 中 中 中 中 中
国 国 国 国 国 国
大 大 大 大 大 大
学 学 学 学 学 学
M M M M M M
O O O O O O
O O O O O O
C C C C C C

中 中 中 中 中 中
国 国 国 国 国 国
大 大 大 大 大 大
学 学 学 学 学 学

ui
M M M M M M

ii
(2)分析电路的功能。

O O O O O O
O O O O O O
C C C C C C
-

C
九、(6 分)电路如图 4-5 所示。

A1
(1)推导输入阻抗 Z i 计算表达式;

中 中 中 中 中 中

10
国 国 国 国 国 国
R1

大 大 大 大 大 大
学 学 学 学 图 4-5 学 学
M M M M M M
-

O O O O O O
O O O O O O
A2

C C C C C C
R2

中 中 中 中 中 中
uo

国 国 国 国 国 国
大 大 大 大 大 大
学 学 学 学 学 学
M M M M M M
O O O O O O
O O O O O O
C C C C C C

中 中 中 中 中 中
国 国 国 国 国 国
C

C
O

O
O

O
M

M


北 京 交 通 大 学 期 末 考 试 试 题 ( 模 拟 )




参 考 答 案
课程名称: 模拟电子技术 学年学期: 第八学期
开课学院: 电子信息工程学院 任课教师: 模拟电子技术 MOOC 课程组
C

C
O

O
O

O
学生姓名: 学院: 班级:
M

M





题号 一 二 三 四 五 六 七 八 九 总分

得分

阅卷人
C

C
O

O
O

O
M

M



一、判断正误题(共 8 分,每题 1 分)



1. 电流串联负反馈不仅能够稳定输出电流也能稳定输出电压。 ( )
2.集成运放的失调电压、失调电流、转换速率(摆率)均越小越好。 ( )
3. 级联放大电路的输出电阻原则上取决于最后一级放大电路。 ( )
C

C
O

O
4. 整流二极管、肖特基二极管、发光二极管均工作在正偏压状态。 ( )
O

O
M

M
5. 放大电路的耦合电容和三极管结电容均影响放大电路的高频增益特性。
( )



6. 乙类(即 B 类)放大电路的效率要高于丁类(即 D 类)放大电路的效率。 ( )



7. 电流负反馈将使放大电路的输出电阻减小。 ( )
8. 同相比例、反相比例运算放大电路的输出电阻均为零。 ( )
参考答案
C

C
1.错,2.错 3 对 4 对 5.错,6 错,7 错 8 对。
O

O
O

O
M

M
二、填空题(共 8 分,每题 1 分)



1. PN 结加反偏压时,空间电荷区将__________。(A.变宽 B. 变窄)

2. 温度降低时,三极管的共射电流增益β将____________,三极管的集电极和
发射极间的穿透电流 ICEO 将_________________。(A.增大 B.减小)
3. 晶体三极管在放大状态时,发射结_________、集电结_________。
(A.正偏 B.
C

C
O

反偏)
O

O
M

4. 直 流 稳 压 电 源 中 的 整 流 电 路 的 作 用 是 _________ , 滤 波 电 路 的 作 用 是


_________。


5. 若得到电压-电流转换电路,应在转换放大电路中引入________________反馈。
参考答案
1.变宽 2.减小,减小 3.正偏,反偏 4.将交流变直流,滤除整流信号中交流成分
C

C
O

O
O

1
M

M





C

C
O

O
O

O
M

M
5.电流串联负反馈





三、简答题(共 24 分,每题 4 分)

1. 试比较 CC、CE、CB 三种组态放大电路的电流增益特性。


C

C
2. 试比较场效应管工作在共漏、共源、共栅三种连接方式下放大电路的输入电
O

O
O

O
阻特性。
M

M


3. 负反馈对放大电路增益的稳定性、通频带的性能有怎样的影响?



4. 级间放大电路的耦合方式有哪四几种?


5.说明抑制零点漂移有哪几种方法(至少说出 2 种)。
6. 如果负反馈放大电路自激,请提供一种抑制自激的方法。
参考答案:
C

C
O

O
1. CC、CE、CB 三种组态放大电路的电流增益分别是 1+β、β、α,越来越小。
O

O
M

M
2. 共漏、共源、共栅放大电路中,共源电、共源放大电路输入电阻大,共栅放



大电路输入电阻小。



3. 增益的稳定性提高,通频带增大。
4. 阻容耦合、直接耦合、变压器耦合、光电耦合。
5. 温度补偿,引入直流负反馈,采用差分放大电路
C

C
6. (1)采用相位补偿,破坏自激条件;
(2)尽可能减少级联放大电路的级联数
O

O
O

O
量(3)限制反馈深度(或环路增益),使之不满自激条件
M

M




四、 (12 分)若某级联放大电路的电压增益函数为

AU ( jω ) =
1015 中
( jω + 105 )( jω + 106 )
C

C
(1)试画电压增益幅频特性和相频特性的波特图的渐进线;
O

O
O

O
M

M
(2)截止频率是多少? 通频带是多少?

(3)如果引入负反馈后,保证闭环放大电路稳定,试问环路增益满足什么样的


约束条件?

参考答案:

104
电压增益函数标准式如下 AU ( jω ) =
C

 jω   jω 
O

 1+ 105   1+ 106 
O

  
M

M

(1) 增益幅频特性和相频特性的波特图的渐进线如下。


(2) 截止角频率为l=10 ,下限低频截 fl=10 /2π=15.9 KHz;通频带为


5 5

15.9 KHz。
C

C
O

O
O

2
M

M





C

C
O

O
O

O
M

M


(3) 为 保 证 闭 环 放 大 电 路 稳 定 , 要 求 在 相 位 交 界 频 率 fC 处 , 幅 度 裕 量



Gm = 20 lg A ( j f ) B ( j f )  0 ,f C=107/2π=1.59 MHz


f = fC

20lg|A(j)|(dB)
C

C
O

O
O

O
M

M


100




80

60
C

C
O

O
40
O

O
M

M


20




1
5
10 102 103 104 10 10 10
6 7

()
C

C
5 7
10 102 103 104 10 10 10
6
1
O

O
O

O
00

M

M


-450




-900

-1350
C

C
O

O
-1800
O

O
M

M

-2250



五、 (12 分)两级放大电路如图 4-1 所示。场效应管的 gm=1mS,三极管的


hfe=60,hie=1.5kΩ。
C

C
O

O
O

O
M

M





C

C
O

O
O

3
M

M





C

C
O

O
O

O
M

M


+VCC



RB1 RC


RD 33kΩ 8kΩ
2kΩ + Co
C1
T2 +
C

C
Ci T1
O

O
+
O

O
uo
M

M
+ RB2 RE2


6.8kΩ 2kΩ
RG


ui
2MΩ



- -

图 4-1
(1) 画低频小信号等效电路图;
C

C
O

O
O

O
uo
M

M
(2) 计算放大电路的电压增益 AU = ;


ui



(3) 若在电路中加入级间交流负反馈,请在图 4-1 电路中画入反馈网络,并说


明反馈类型。

参考答案:(1)低频小信号等效电路如下。
C

C
O

O
O

O
M

M
G1 D1


+


+ gm1ugs hfe2ib +
hie2



RG uo1
ui RC
2MΩ uo
RD//RB1//RB2 8kΩ
RE2
C

C
- 2kΩ -
O

O
- S1
O

O
M

M
E2

Ri2



uo1 uo
(2) AU = AU1 AU2 = 
ui uo1
uo1
= − gm ( RD // RB1 // RB2 // Ri2 )
C

AU1 =
O

O
O

ui
M

M

Ri2 = hie2 + ( 1 + hfe2 ) RE2 = 1.5 + 61  2 = 123.5kΩ




AU1  −1.5 ,
C

C
O

O
O

4
M

M





C

C
O

O
O

O
M

M
uo − hfe2 RC −60  8


AU2 = = = = −3.9
uo1 hie2 + ( 1 + hfe2 ) RE2


123.5



+VCC
AU = 1.5  3.9 = 5.9
RB1
RD 33kΩ RC
2kΩ 8kΩ Co
C

C
+
O

O
C1
O

O
T2 +
M

M
Ci T1


+



+ RB2 uo


RG 6.8kΩ
ui RE2
2MΩ
2kΩ -
-
C

C
Rf ib
O

O
O

O
六、(12 分)功放电路如图 4-2 所示。
M

M


(1) 简要说明各 T1、T2、T3、T4 管的作用;


(2) 若电路中电源电压 VCC=12V,RL=20Ω,输出电流最大值是多少?



VCC

RE3
C

C
O

O
O

O
T3
M

M
+


ui


- T1


R1


T4 +
R2 RL uo
-
T2
C

C
RC3
O

O
O

O
M

M

- VCC



图 4-2

参考答案:
C

C
O

(1) T1、T2 是功放管,组成 OCL 功放电路; T3 是小信号放大管,组成 CE 组


O

O
M

态放大电路;T4 管是调整管,利用 T4 和 R1、R2 给三极管 T1、T2 稍稍



加一点偏置,让管子工作在临界导通或微导通状态,使之工作在甲乙类放


大状态,克服交越失真。
C

C
O

O
O

5
M

M





中 中 中 中 中 中 中
国 国 国 国 国 国 国
大 大 大 大 大 大 大
学 学 学 学 学 学 学
M M M M M M M
O O O O O O O
O O O O O O O
C C C C C C C

R
中 中 中 中 中 中 中

C
R
国 国 国 国 国 国 国

C
大 大 大 大 大 大 大
学 学 学 学 学 学 学
M M M M M M (1) 画出 UO1 M

R6
O O O O O O O

o
Rf(t)
O O O O O O O
C C C C C C C

U o1
N
P

U o2

U o3
+

-
(2) 输出电流最大值 Iom =

A3
中 中 中 中 中 中 中
=

国 国 国 国 国 国 国
大 大 大 大 大 大 大
VCC 12
RL 20

七、 (12 分) 电路如图 4-3 所示。

学 学 学 学 学 学 学
M M M M M M M
U o1

6
O O O O O O O
O O O O O O O
= 0.6A

图 4-3
C C C C C C C
、UO2 、UO3 点输出波形;

A4

中 中 中 中 中 中 中
U o2

国 国 国 国 国 国 国
大 大 大 大 大 大 大
R
R

学 学 学 学 学 学 学
M M M M M M M
O O O O O O O
-

t
t
t
O O O O O O O
C C C C C C C
A5
R
(2)若增大电阻 R,各点输出波形是否有变化?若有,请说明怎样变化。

中 中 中 中 中 中 中
国 国 国 国 国 国 国
U o3

大 大 大 大 大 大 大
学 学 学 学 学 学 学
M M M M M M M
O O O O O O O
O O O O O O O
C C C C C C C
C

C
O

O
O

O
M

M
(2)有变化。各点信号的频率减小,幅度不变。





八、 (6 分) 共射放大电路如图 4-4(a)所示,其中晶体三极管混合模型如图(b)
所示, 放大电路的高频微变等效电路图如图(c)所示。试写出 RS2、us2、Ci、C O
C

C
O

O
O

O
的计算表达式。
M

M




VCC


RC Cb’c
RB rbb’
b b’ c
C2
+ gmub'e
C

C
C1
+
O

O
+
O

O rb’e

O
T
M

M
Cb’e
RS RL


+
uo


+ Ce
Re


e
us -


-

图 4-4(a) 图 4-4(b)
C

C
O

O
O

O
b’ c
M

M


+
gmub'e


RS2


Ci Co uo


+
RC//RL
us2
- -
e
C

C
O

O
O

O
M

M
图 4-4(c)


参考答案:


高频微变等效电路如下图所示。
Cb’c
rbb’
b b’ c
C

+ +
O

gmub'e
O

RS
M

ui RC//RL uo

+ RB rb’e

Cb’e
us

- -

-
e

利用戴维南等效定理,将高频等效电路整理如下图所示,
C

C
O

O
O

7
M

M





C

C
O

O
O

O
M

M


rbb’ Cb’c


b b’ c


+


RS1
gmub'e
rb’e uo
RC//RL
+
us1
C

C
-
O

O
-
O

O
e
M

M




RB
图中 us1 = us , RS1 = RS // RB


RS + RB

再次利用戴维南等效定理,将高频等效电路整理如下图所示,
C

C
b’ c
O

O
O

O
+
gmub'e
M

M
RS2


Ci Co uo


+


RC//RL
us2


- -
e
C

C
RS2 = (RS1 + rbb' )//rb'e ;
O

O
其中:
O

O
M

M


rb'e


us2 = = RS // RB


,其中 RS1
Rs1 + rbb' +rb'e


uo − gm ub'e R L '
中频增益 k= = = − gm R L '
C

C
ub'e ub'e
O

O
O

O
C i  Cb'e + Cb'c (1 − k)=Cb'e + Cb'c (1 + gm R L ')
M

M


k −1

CO = Cb'c  Cb'c

k
C

九、 (6 分)电路如图 4-5 所示,试分析输入阻抗 Z i ,推导出计算其表达式,并分


O

O
O

O
M

析电路的功能。





C

C
O

O
O

8
M

M





中 中 中 中 中 中 中
国 国 国 国 国 国 国
大 大 大 大 大 大 大
学 学 学 学 学 学 学
M M M M M M M
O O O O O O O
O O O O O O O
C C C C C C C

中 中 中 中 中 中 中
国 国 国 国 国 国 国

 Zi =
大 大 大 大 大 大 大


(1) 

学 学 学 学 学 学 学

u
 o
参考答案:

ii ( s )
M M M M M M M

ui ( s )
( s
O O O O O O O

=
)
O O O O O O O

=
C C C C C C C
ui



ii

1
R2

(2) 电容倍增电路。
u
R1 i
( s

R1 
sC  1 + 2 
R 
-

)
C

中 中 中 中 中 中 中
A1

国 国 国 国 国 国 国
大 大 大 大 大  ii ( s ) =  ui ( s ) − uo ( s )  sC 大 大
学 学 学 学 学 学 学
M M M M M , M M

9
O O O O O O O
R1

O O O O O O O
图 4-5

C C C C C C C
-

+
A2
R2

中 中 中 中 中 中 中
国 国 国 国 国 国 国
大 大 大 大 大 大 大
学 学 学 学 学 学 学
M M M M M M M
uo

O O O O O O O
O O O O O O O
C C C C C C C

中 中 中 中 中 中 中
国 国 国 国 国 国 国
大 大 大 大 大 大 大
学 学 学 学 学 学 学
M M M M M M M
O O O O O O O
O O O O O O O
C C C C C C C

You might also like