You are on page 1of 4

pss

solidi
physica
Phys. Status Solidi B 249, No. 12, 2625–2628 (2012) / DOI 10.1002/pssb.201200144

status
b
www.pss-b.com
basic solid state physics
Carbon nanowalls synthesis by means
of atmospheric dcPECVD method
,1 1,2 1 1,3 4 1
Marcel Meško* , Viliam Vretenár , Peter Kotrusz , Martin Hulman , Ján Šoltýs , and Viera Skákalová
1
Danubia NanoTech, s.r.o., Ilkovičova 3, 841 04 Bratislava, Slovakia
2
Institute of Physics, Slovak Academy of Science, Dúbravská cesta 9, 845 11 Bratislava, Slovakia
3
International Laser Centre, Ilkovičova 3, 841 04 Bratislava, Slovakia
4
Institute of Electrical Engineering, Slovak Academy of Science, Dúbravská cesta 9, 841 11 Bratislava, Slovakia

Received 4 May 2012, revised 14 September 2012, accepted 19 September 2012


Published online 6 November 2012

Keywords atmospheric dcPECVD, carbon nanowalls

* Corresponding author: e-mail mesko@danubiananotech.com, Phone: þ421 (02) 64282144, Fax: þ421 (02) 64282144

Carbon nanowalls (CNWs) were grown by atmospheric dc carbon sources we can control CNWs density. Growth of
plasma enhanced chemical vapour deposition method. Main- sparsely distributed CNWs can be achieved by using ethanol,
taining plasma at atmospheric pressure give us possibility to while hexane gives densely packed CNWs films.
synthetize CNWs at high rate. By using two different liquid

ß 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim

1 Introduction Graphene has been considered a of sparsely distributed CNWs has been accomplished by
strong candidate for next generation electrode material in using ethanol while hexane gives densely packed CNWs
supercapacitors. It is because of its unique physical proper- films.
ties (high charge carriers mobilities 10 000–15 000 cm2 V1)
[1], excellent chemical stability and exceptional large 2 Experimental Experimental set-up of atmospheric
specific surface (2600 m2 g1) [2]. Carbon nanowalls dcPECVD reactor for CNWs synthesis is sketched in
(CNWs) are practical realization of graphene containing Fig. 1. It combines a thermal CVD reactor with a discharge
nanostructures. CNWs are two-dimensional carbon struc- electrode system. Discharge electrodes system is wired
tures that consist of stacked graphene sheets standing hermetically through the flanges and is positioned in the
vertically on substrates [3]. CNWs are mainly synthetized middle of the furnace.
by low-pressure hot filament CVD [4], radio frequency (RF; The electrode system is in a point-plane configuration
13.56 MHz) [5] and microwave (2.45 GHz) [6–8] PECVD as shown in Fig. 2a. Cathode is 2 mm in diameter. Anode
methods. More recently, it was shown that CNWs can be electrode has a square shape with the dimension of 20 mm.
grown by an atmospheric dcPECVD method [9]. This The cathode–anode distance is 10 mm. The electrode system
method allows CNWs to be synthetized at a high rate due to is connected to a homemade dc power supply. Applying
the presence of large amount of radicals [10]. Furthermore, high voltage of 2 kV the cathode ignites a discharge. After
this method is easier scalable compared to the RF or the plasma discharge is on, the applied voltage is further
microwave PECVD methods. Unlike the conventional decreased and plasma is maintained at 1 kV and 10 mA.
high surface materials [11, 12], CNWs do not contain pores Carbon nanowalls formation gases are hydrogen and
[13, 14]. Thus the effective surface area of CNWs depends argon mixed up with ethanol or hexane vapours. CNWs are
on the wall density. On the other hand, CNWs should not synthetized in a two-step process consisting of pre-treatment
stack too closely in order to allow electrolyte ions to and deposition (Fig. 2b). Ni substrates were thermally
penetrate efficiently into the network of CNWs. In this annealed at the temperature of 800 8C in the plasma
work, we emphasize a possibility to control the density environment of Ar and H2 gas mixture for 5 min. After that,
of CNWs grown by an atmospheric dc plasma enhanced additional amount of gas with an identical composition
chemical vapour (dcPECVD) method. This can be achieved flowing through the bubbler with ethanol or hexane was
by different kinds of liquid carbon sources used. The growth introduced into the reactor chamber. The growth of CNWs

ß 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim


pss b

solidi
physica

status
2626 M. Meško et al.: Carbon nanowalls synthesis

Figure 1 (online colour at: www.pss-b.com) Sketch of the exper-


imental set-up for the CNWs growth by an atmospheric pressure
dcPECVD.

Figure 3 SEM image of CNWs synthetized on a Ni substrate using


ethanol as a carbon source (observation under tilted angle of 458).

Figure 2 (online colour at: www.pss-b.com) (a) Electrode system morphology in our case differs from that previously reported
of an atmospheric dcPECVD, (b) process time line for the CNWs in Ref. [9].
growth. In the latter, the growth of CNWs pointing away from
the substrate and oriented randomly was showed. CNWs
interlace together and form a nest-like structure. Similar
lasted for 5 min. Samples were then allowed to cool down CNWs morphology was found for methods preferring a
to room temperature in Ar atmosphere. Ni plates for the catalyst-free growth. The crucial stage for the latter is
CNWs growth is produced by rolling. They are 0.2 mm thick nucleation. At this stage, carbon species condense forming
with lateral dimensions of 20  20 mm2. CNWs grow on nanoislands with dangling bonds. Subsequently, small
circular patches with the diameter corresponding approxi- sized disordered carbon nanoflakes are nucleated at these
mately to the plasma diameter on the ground electrode dangling bonds. This is followed by the two-dimensional
which is 3 mm. CNWs growth is always accompanied growth and formation of nanographene sheets. At this stage,
with a grey colour circular shape surrounding concentric other nucleation step appears forming a branch like structure.
to the CNWs one. Its diameter is approximately 10 mm. Among the randomly oriented nucleated graphene sheets
Later it will be shown that nanocrystalline graphite (NCG) those standing almost vertically on the substrate grow faster
is present in this area. The NCG film growth in this building up vertically standing nanosheets [15]. We think
region can be enhanced by presence of radical species that in our case of the catalytic growth on a Ni surface,
originating from the plasma column. Samples morphology nucleation and growth proceed in a different way. We
was examined by scanning electron microscope (SEM) suggest the following scenario. Initially, a graphite thin
FE-SEM FEI Inspect F50. Low-resolution scanning trans- film is formed by decomposition of activated carbonaceous
mission electron microscopy (STEM) analysis of CNWs was species on the Ni surface. We assume that sequences of the
performed on JEOL JSM-7500F. For STEM observations, graphite thin film growth is similar to those described by
CNWs were scratched out from the Ni foil by tweezers and Obraztsov et al. [16]. As soon as the graphite film is built up,
placed on lacey carbon-coated grids. CNWs films were also strong electric fields present in the plasma discharge bend
studied by Raman spectroscopy via ISA DILOR JOBIN the growing graphite layers along the electric field intensity
YVON spectrometer with the excitation wavelength of lines. Bended graphite layers then grow further forming
632.8 nm. graphite flakes standing perpendicular to the substrate.
Zhu et al. [17] found this process especially effective at
3 Results and discussions Figure 3 shows SEM grain boundaries of graphite layers. However, in their case,
image of CNWs grown using ethanol as a carbon source. The alignment mechanism was not fully pronounced mainly due
images reveal that the CNWs deposit consists of individual to the screening effect between graphite layers which start
vertically oriented sheets. As can be seen from the inset of to detach simultaneously. It is because of a very restricted
Fig. 3, they have an elongated shape and almost a branch free area and a specific graphite layers formation in nanoislands
structure. While using a similar growth technique, CNWs due to the limited Si catalytic activity.

ß 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim www.pss-b.com


Original
Paper

Phys. Status Solidi B 249, No. 12 (2012) 2627

In addition to SEM, as-grown films were characterized


by Raman spectroscopy. The measurements taken from
the area outside the CNWs growth (Fig. 4a, right panel) are
characteristic for NCG film [18]. The SEM image (Fig. 4a,
left panel) of this area reveals a flat surface where CNWs are
absent. This region is located outside the plasma. Thus, it
clearly shows that the plasma contribution is essential for
the CNWs formation. Raman spectra measured on the CNWs
growth area are shown in Fig. 4b (right panel). As compared
to the spectrum of NCG, the D band (1330 cm1) is much
stronger than the G band (1585 cm1) which in addition
contains a shoulder at 1620 cm1 designed as the D0 band.
The intensity of both the D and D0 bands is related to the
breaking of the q ¼ 0 Raman selection rule (q is the phonon
momentum) due to the presence of defects, grain boundaries,
disorder, etc. in the sample. In Ref. [19], a similar spectrum
was obtained for Ar ion-irradiated graphene samples with a
defect–defect distance in the order of a few nanometers. Also Figure 5 STEM image of CNWs deposits grown using hexane
Raman spectra of NCG show similar features when the as a carbon source.
crystalline size is of the same order [20].
On the other hand, the average length of CNWs can be
determined from the peak intensity ratio of the D band to G assign the shorter length scale to the average thickness of the
band (ID/IG) [21]. Our calculated ID/IG  2 corresponds to CNWs.
the length of 0.5 mm. This value is in a good agreement with Figure 4c shows a SEM image of CNWs grown using
the SEM observation (Fig. 3 inset). It can be seen that the hexane as a carbon precursor. The morphology is very
length of the nanowalls reaches roughly the same value. different from that of the ethanol-grown sample. Surpris-
The existence of two different length scales also agrees with ingly, the Raman spectra are almost identical for both
the shape of the nanowalls. Therefore, it is reasonable to samples besides the lower ID/IG ratio for the hexane-grown
one. This suggests that the carbon film may consist of densely
packed CNWs of roughly the same size as in the case of
the sparse CNWs. This assumption is supported by the
STEM image in Fig. 5 where the nanosheets thin enough to
be transparent for the electron beam are clearly visible.
Concerning the morphology, we hypothesize that in this
case the electric field induced alignment mechanism is not
effective due to the screening effect because the individual
nanowalls are closely adjacent to each other from the very
beginning of the growth process. In this case, carbon
nanosheets align themselves making up a random structure.
It is also clear from the SEM image that a higher amount
of carbon is deposited on a Ni substrate when hexane is
used for the CNWs growth. A natural explanation is that
the vapour pressure of hexane at room temperature is
about three times higher than that for ethanol meaning that
a larger amount of carbon is transported to the reaction
chamber. This gives rise to a much thicker deposit when
other growth parameters remain the same in both cases.
In either case (vertical and dense CNWs), we observed
a growth rate which can be as high as 100 nm min1. It
is approximately one order of magnitude higher when
compared to low-pressure methods [22].

4 Conclusions We have demonstrated the synthesis


Figure 4 SEM image and Raman spectra taken (a) outside the of vertically aligned CNWs with different densities grown by
CNWs growth area and inside of (b) sparse CNWs (ethanol as a an atmospheric dcPECVD method. By using two carbon-
carbon source) and (c) dense CNWs grown from hexane as a aceous sources, ethanol and hexane, we have grown sparse
carbon source (observation under tilted angle of 458). and dense CNWs films, respectively. It was shown that

www.pss-b.com ß 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim


pss b

solidi
physica

status
2628 M. Meško et al.: Carbon nanowalls synthesis

CNWs growth rate is much higher compared to low-pressure [8] S. K. Srivastava, A. K. Shukla, V. D. Vankar, and V. Kumar,
methods. Furthermore, this method does not require Thin Solid Films 492, 124 (2005).
expensive vacuum sealing and is therefore promising for [9] K. Yu, Z. Bo, G. Lu, S. Mao, S. Cui, Y. Zhu, X. Chen, R. S.
industrial applications. However, it is essential to optimize Ruoff, and J. Chen, Nanoscale Res. Lett. 6, 202 (2011).
the method for the CNWs film growth with a different [10] Z. Bo, K. Yu, G. Lu, P. Wang, S. Mao, and J. Chen, Carbon
49, 1849 (2011).
thickness, owing its practicability in, for instance, super-
[11] F. Stoekli and T. A. Centeno, Carbon 43, 1184 (2005).
capacitor applications.
[12] A. G. Pandolfo and A. F. Hollenkamp, J. Power Sources 157,
11 (2006).
Acknowledgements This work was supported by funding [13] M. D. Stoller, S. Park, Y. Zhu, J. An, and R. S. Ruoff, Nano
from the European Union’s Seventh Framework Programme Lett. 8, 3498 (2008).
(FP7/2007-2013) under grant agreement no. 266391 (Electrograph). [14] Y. Wang, Z. Shi, Y. Huang, Y. Ma, C. Wang, M. Chen, and
MH acknowledges support from the project 1254/12 of the VEGA Y. Chen, J. Phys. Chem. C 113, 13103 (2009).
grant agency. J. Š. acknowledges the project implementation: [15] S. Kondo, S. Kawai, W. Takeuchi, K. Yamakawa, S. Den,
Development of a universal HD video platform for broadcasting, H. Kano, M. Hiramatsu, and M. Hori, J. Appl. Phys. 106,
education and research applications, ITMS code 26240220041. 094302 (2009).
[16] A. N. Obraztsov, E. A. Obraztsova, A. V. Tyurnina, and A. A.
Zolotukhin, Carbon 45, 2017 (2007).
References
[17] M. Zhu, J. Wang, B. C. Holloway, R. A. Outlaw, X. Zhao,
[1] K. S. Novoselov, A. K. Geim, S. V. Morozov, D. Jiang, S. V. K. Hou, V. Shutthanandan, and D. M. Manos, Carbon 45,
Dubonos, I. V. Grigorieva, and A. A. Firsov, Science 306, 2229 (2007).
666 (2004). [18] A. N. Obraztsov, A. V. Tyurnina, E. A. Obraztsova, A. A.
[2] S. Stankovich, D. A. Dikin, G. H. B. Dommett, K. M. Kohlhaas, Zolotukhin, B. Liu, K. C. Chin, and A. T. S. Wee, Carbon 46,
E. J. Zimney, E. A. Stach, R. D. Piner, S. B. T. Nguyen, and 963 (2008).
R. S. Ruoff, Nature 442, 282 (2006). [19] E. H. Martins Ferreira, M. V. O. Moutinho, F. Stavale, M. M.
[3] Y. Wu, B. Yang, B. Zong, H. Sun, Z. Shen, and Y. Feng, Lucchese, R. B. Capaz, C. A. Achete, and A. Jorio, Phys. Rev.
J. Mater. Chem. 14, 469 (2004). B 82, 125429 (2010).
[4] N. G. Shang, F. C. K. Au, X. M. Meng, C. S. Lee, I. Bello, and [20] R. J. Nemanich and S. A. Solin, Phys. Rev. B 20, 392
S. T. Lee, Chem. Phys. Lett. 358, 187 (2002). (1979).
[5] J. Wang, M. Zhu, R. A. Outlaw, X. Zhao, D. M. Manos, and [21] S. Kurita, A. Yoshimura, H. Kawamoto, T. Uchida, K. Kojima,
B. C. Holloway, Carbon 42, 2867 (2004). M. Tachibana, P. M. Morales, and H. Nakai, J. Appl. Phys. 97,
[6] Y. H. Wu, P. W. Qiao, T. C. Chong, and Z. X. Shen, Adv. 104320 (2005).
Mater. 14, 64 (2002). [22] M. Hiramatsu and M. Hori, Carbon Nanowalls, Synthesis
[7] A. T. H. Chuang, B. O. Boskovic, and J. Robertson, Diamond and Emerging Applications (Springer-Verlag, Wien, 2010),
Relat. Mater. 15, 1103 (2006). pp. 91–96.

ß 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim www.pss-b.com

You might also like