You are on page 1of 54

3D Integration in VLSI Circuits:

Implementation Technologies and


Applications 1st Edition Katsuyuki
Sakuma
Visit to download the full and correct content document:
https://textbookfull.com/product/3d-integration-in-vlsi-circuits-implementation-technolo
gies-and-applications-1st-edition-katsuyuki-sakuma/
More products digital (pdf, epub, mobi) instant
download maybe you interests ...

3D Bioprinting in Medicine Technologies Bioinks and


Applications Murat Guvendiren

https://textbookfull.com/product/3d-bioprinting-in-medicine-
technologies-bioinks-and-applications-murat-guvendiren/

Test Generation of Crosstalk Delay Faults in VLSI


Circuits S. Jayanthy

https://textbookfull.com/product/test-generation-of-crosstalk-
delay-faults-in-vlsi-circuits-s-jayanthy/

Thermal-Aware Testing of Digital VLSI Circuits and


Systems 1st Edition Santanu Chattopadhyay

https://textbookfull.com/product/thermal-aware-testing-of-
digital-vlsi-circuits-and-systems-1st-edition-santanu-
chattopadhyay/

FinFET Devices for VLSI Circuits and Systems 1st


Edition Samar K. Saha (Author)

https://textbookfull.com/product/finfet-devices-for-vlsi-
circuits-and-systems-1st-edition-samar-k-saha-author/
The 3D Printing Handbook Technologies design and
applications Ben Redwood

https://textbookfull.com/product/the-3d-printing-handbook-
technologies-design-and-applications-ben-redwood/

Soft Error Reliability of VLSI Circuits: Analysis and


Mitigation Techniques Behnam Ghavami

https://textbookfull.com/product/soft-error-reliability-of-vlsi-
circuits-analysis-and-mitigation-techniques-behnam-ghavami/

Guide to 3D Vision Computation Geometric Analysis and


Implementation 1st Edition Kenichi Kanatani

https://textbookfull.com/product/guide-to-3d-vision-computation-
geometric-analysis-and-implementation-1st-edition-kenichi-
kanatani/

Advances in 3D Printing Additive Manufacturing


Technologies 1st Edition David Ian Wimpenny

https://textbookfull.com/product/advances-in-3d-printing-
additive-manufacturing-technologies-1st-edition-david-ian-
wimpenny/

3D Bioprinting in Regenerative Engineering Principles


and Applications 1st Edition Ali Khademhosseini

https://textbookfull.com/product/3d-bioprinting-in-regenerative-
engineering-principles-and-applications-1st-edition-ali-
khademhosseini/
3D Integration in VLSI
Circuits
Devices, Circuits, and Systems
Series Editor
Krzysztof Iniewski

3D Integration in VLSI Circuits


Implementation Technologies and Applications
Katsuyuki Sakuma
Low Power Semiconductor Devices and Processes for Emerging
Applications in Communications, Computing, and Sensing
Sumeet Walia and Krzysztof Iniewski
Biomaterials and Immune Response
Complications, Mechanisms and Immunomodulation
Nihal Engin Vrana
Low Power Circuits for Emerging Applications in
Communications, Computing, and Sensing
Krzysztof Iniewski and Fei Yuan
High-Speed and Lower Power Technologies
Electronics and Photonics
Jung Han Choi and Krzysztof Iniewski
X-Ray Diffraction Imaging
Technology and Applications
Joel Greenberg and Krzysztof Iniewski
Compressed Sensing for Engineers
Angshul Majumdar
IoT and Low-Power Wireless: Circuits, Architectures,
and Techniques
Christopher Siu and Krzysztof Iniewski
Sensors for Diagnostics and Monitoring
Kevin Yallup and Laura Basiricò
Energy Efficient Computing: Devices, Circuits, and Systems
Santosh K. Kurinec and Sumeet Walia
Radio Frequency Integrated Circuit Design
Sebastian Magierowski
For more information about this series, please visit:
https://www.crcpress.com/Devices-Circuits-and-Systems/
book-series/CRCDEVCIRSYS
3D Integration in VLSI
Circuits
Implementation Technologies
and Applications

Edited by
Katsuyuki Sakuma
Managing Editor
Krzysztof Iniewski
CRC Press
Taylor & Francis Group
6000 Broken Sound Parkway NW, Suite 300
Boca Raton, FL 33487-2742

© 2018 by Taylor & Francis Group, LLC


CRC Press is an imprint of Taylor & Francis Group, an Informa business

No claim to original U.S. Government works

Printed on acid-free paper

International Standard Book Number-13: 978-1-1387-1039-9 (Hardback)

This book contains information obtained from authentic and highly regarded sources. Reasonable efforts
have been made to publish reliable data and information, but the author and publisher cannot assume
responsibility for the validity of all materials or the consequences of their use. The authors and publishers
have attempted to trace the copyright holders of all material reproduced in this publication and apologize
to copyright holders if permission to publish in this form has not been obtained. If any copyright material
has not been acknowledged please write and let us know so we may rectify in any future reprint.

Except as permitted under U.S. Copyright Law, no part of this book may be reprinted, reproduced,
transmitted, or utilized in any form by any electronic, mechanical, or other means, now known or hereafter
invented, including photocopying, microfilming, and recording, or in any information storage or retrieval
system, without written permission from the publishers.

For permission to photocopy or use material electronically from this work, please access www.copyright.
com (http://www.copyright.com/) or contact the Copyright Clearance Center, Inc. (CCC), 222 Rosewood
Drive, Danvers, MA 01923, 978-750-8400. CCC is a not-for-profit organization that provides licenses and
registration for a variety of users. For organizations that have been granted a photocopy license by the
CCC, a separate system of payment has been arranged.

Trademark Notice: Product or corporate names may be trademarks or registered trademarks, and are
used only for identification and explanation without intent to infringe.

Library of Congress Cataloging‑in‑Publication Data

Names: Sakuma, Katsuyuki, author.


Title: 3D integration in VLSI circuits : implementation technologies and
applications / [edited by] Katsuyuki Sakuma.
Description: Boca Raton, FL : CRC Press/Taylor & Francis Group, 2018. |
Series: Devices, circuits, & systems | Includes bibliographical references
and index.
Identifiers: LCCN 2018010530| ISBN 9781138710399 (hardback : acid-free paper)
| ISBN 9781315200699 (ebook)
Subjects: LCSH: Three-dimensional integrated circuits. | Integrated
circuits--Very large scale integration.
Classification: LCC TK7874.893 .A16 2018 | DDC 621.39/5--dc23
LC record available at https://lccn.loc.gov/2018010530

Visit the Taylor & Francis Web site at


http://www.taylorandfrancis.com

and the CRC Press Web site at


http://www.crcpress.com
Contents

Preface .................................................................................................................... vii


Series Editor ............................................................................................................xi
Editor..................................................................................................................... xiii
Contributors ...........................................................................................................xv

1. Three-Dimensional Integration: Technology and Design ..................... 1


P. Franzon

2. Three-Dimensional System-in-Package for Application-Specific


Integrated Circuit and Three-Dimensional Dynamic
Random-Access Memory Integration ....................................................... 15
Li Li

3. A New Class of High-Capacity, Resource-Rich


Field-Programmable Gate Arrays Enabled by
Three-Dimensional Integration Chip-Stacked Silicon
Interconnect Technology ............................................................................. 41
Suresh Ramalingam, Henley Liu, Myongseob Kim, Boon Ang,
Woon-Seong Kwon, Tom Lee, Susan Wu, Jonathan Chang, Ephrem Wu,
Xin Wu, and Liam Madden

4. Challenges in 3D Integration ..................................................................... 71


M. Koyanagi, T. Fukushima, and T. Tanaka

5. Wafer-Level Three-Dimensional Integration Using Bumpless


Interconnects and Ultrathinning ..............................................................85
Takayuki Ohba

6. Three-Dimensional Integration Stacking Technologies


for High-Volume Manufacturing by Use of Wafer-Level
Oxide-Bonding Integration ...................................................................... 117
Spyridon Skordas, Katsuyuki Sakuma, Kevin Winstel, and
Chandrasekharan Kothandaraman

v
vi Contents

7. Toward Three-Dimensional High Density ........................................... 145


S. Cheramy, A. Jouve, C. Fenouillet-Beranger, P. Vivet, and
L. Di Cioccio

8. Novel Platforms and Applications Using Three-Dimensional


and Heterogeneous Integration Technologies ...................................... 185
Kuan-Neng Chen, Ting-Yang Yu, Yu-Chen Hu, and Cheng-Hsien Lu

Index ..................................................................................................................... 211


Preface

More and more products are using three-dimensional (3D) integration


technology nowadays. Through-silicon vias (TSVs) have been used in high
bandwidth memory (HBM) modules and will become mainstream for
other high-end products such as graphics processing units (GPU) and high-
performance computing (HPC), with applications in databases, security,
computational biology, molecular dynamics, deep learning, and automotive.
There is no doubt that 3D integration is gaining a significant attention as a
promising means to improve performance as it can provide higher intercon-
nect speeds, greater bandwidth, increased functionality, higher capacity, and
lower power dissipation.
Currently, the term 3D integration includes a wide variety of different
integration methods, such as 2.5-dimensional (2.5D) interposer-based inte-
gration, 3D-integrated circuits (3DICs), 3D systems-in-package (SiP), 3D het-
erogeneous integration, and monolithic 3D ICs. The goal of this book is to
provide readers with an understanding of the latest challenges and issues
in 3D integration. TSVs are not the only technology element needed for 3D
integration. There are numerous other key enabling technologies required
for 3D integration and the speed of the development in this emerging field
is very rapid. To provide readers with state-of-the-art information on 3D
integration research and technology developments, each chapter has been
contributed by some of the world’s leading scientists and experts from aca-
demia, research institutes, and industry from around the globe.
Chapter 1 by Prof. Franzon from North Carolina State University
(NCSU) provides a brief review of 2.5D and 3D technology options,
including interposers and TSV-stacking technologies. As illustrated by
successful commercial 3D products and experimental results of complemen-
tary metal–oxide–semiconductor (CMOS) stacks with a copper thermo-
compression-bonded interface, Chapter 1 discusses the reasons why 3D
integration is superior in terms of power efficiency, performance enhance-
ment, and cost reduction.
Chapter 2 by Dr. Li from Cisco Systems describes up-to-date manufactur-
ing technologies for 2.5D and 3D SiP for integrating application-specific inte-
grated circuits (ASICs) with multiple 3D dynamic random-access memory
(DRAM) stacks. In 2.5D integration, both ASIC chip and 3D DRAM stacks
are packaged in a planar format on an interposer. This type of integration
has emerged as another killer application for 3D integration. This chapter
also examines large-size high-density silicon/organic interposers, micro-
bump interconnects, warpage behaviors, packaging assembly processes for
ASIC and HBM integration, and board-level reliability tests, and character-
ization of 3D-integrated packages.

vii
viii Preface

Chapter 3 presents architecture, design, and technology implementations


for 3D field-programmable gate array (FPGA) integration and was written
by Dr. Ramalingam et al. from Xilinx, one of the major players in this area.
Multiple FPGA dies are placed side by side and interconnected on a large
silicon interposer. The design simulation methodology, reliability assess-
ment, and future challenges are discussed. On these topics, the authors
provide an industry perspective based on volume production of the largest
3D-integrated FPGA as of today, which contains 4.4 million logic cells,
600 thousand microbumps, and 19 billion transistors in a 55 mm package.
Chapter 4 by Prof. Koyanagi et al. from Tohoku University, Japan, covers
various unique 3D system-on-chips technologies, such as 3D integration
using self-assembly and electrostatic bonding, TSV formation based on
directed self-assembly with nanocomposites, and hybrid bonding technol-
ogy using Cu nano-pillar. Technologies for a 3D-integrated CMOS image
sensor module for a driver assistance system are presented, and future 3D
integration challenges are also discussed.
Chapters 5 and 6 focus on fabrication approaches for 300 mm wafer-level
3D integration without microbumps. For high-volume manufacturing,
TSVs are formed after a wafer-bonding process. The leakage current and
electrical resistance of TSVs, Ion/Ioff characteristics for field-effect transistor
(FET) devices, and the characteristics of wafer-bonding technologies are also
discussed. Chapter 5 by Prof. Ohba from the Tokyo Institute of Technology,
Japan, deals with the 3D integrations of permanently adhesive-bonded ultra-
thin wafers. In Chapter 6, Dr. Skordas et al. from IBM discussed the 3D inte-
gration technology based on low-temperature oxide-bonding for integrating
high-performance POWER7™ 45 nm silicon-on-insulator (SOI)-embedded
DRAM.
Chapter 7 by Ms. Cheramy et al. from CEA-Leti, France, provides the
principles, process integration, and detailed overviews of both monolithic
3D ICs (CoolCube™) and Cu/SiO2 hybrid bonding technologies. Monolithic
3D ICs enable the stacking of multiple transistor layers in the third dimension,
with a vertical interconnect pitch in the range of a few tens of nanometers,
and the bottom layer can be any CMOS type, be it bulk planar FET, FinFET,
or fully-depleted silicon-on-insulator (FDSOI). Potentially, it will be a key
technology driver for the next generation of 3D integration. The Cu/SiO2
hybrid bonding technology enables wafer-to-wafer and die-to-wafer connec-
tivity with a vertical interconnect pitch in the range of a few micrometers. This
chapter also addresses the issue of thermal dissipation in 3D integration.
Chapter 8 by Prof. Chen et al. from National Chiao Tung University, Taiwan,
offers examples of novel platforms and application demonstrations, includ-
ing terahertz (THz) optical components, piezoresistive pressure sensors, and
flexible neural sensing biosensors using 3D integration technologies. This
illustrates that 3D integration technology can be used in a wide variety of
applications and that it will open a new era of electronics and sensors that
cannot be achieved with conventional 2D microelectronics.
Preface ix

I would like to sincerely thank all of the authors for their hard work and
commitment. Without their contributions, it would not have been possible to
provide an up-to-date review of these innovative technologies and the chal-
lenges in 3D integration. It is my hope that this book will provide readers
with a timely and comprehensive view of current 3D integration technology.

Katsuyuki Sakuma
Yorktown Heights, New York
Series Editor

Krzysztof (Kris) Iniewski is managing R&D at


Redlen Technologies Inc., a startup company
in Vancouver, Canada. Redlen’s revolutionary
production process for advanced semiconduc-
tor materials enables a new generation of more
accurate, alldigital, radiation-based imaging
solutions. Kris is also a founder of ET CMOS
Inc. (http:// www.etcmos.com), an organization
of high-tech events covering communications,
microsystems, optoelectronics, and sensors. In
his career, Dr. Iniewski held numerous faculty
and management positions at University of Toronto (Toronto, Canada),
University of Alberta (Edmonton, Canada), Simon Fraser University (SFU,
Burnaby, Canada), and PMC-Sierra Inc (Vancouver, Canada). He has pub-
lished more than 100 research papers in international journals and con-
ferences. He holds 18 international patents granted in the United States,
Canada, France, Germany, and Japan. He is a frequently invited speaker and
has consulted for multiple organizations internationally. He has written and
edited several books for CRC Press (Taylor & Francis Group), Cambridge
University Press, IEEE Press, Wiley, McGraw-Hill, Artech House, and
Springer. His personal goal is to contribute to healthy living and sustain-
ability through innovative engineering solutions. In his leisurely time, Kris
can be found hiking, sailing, skiing, or biking in beautiful British Columbia.
He can be reached at kris.iniewski@gmail.com.

xi
Editor

Katsuyuki Sakuma is a research staff member


at the IBM T.J. Watson Research Center. He has
over 19 years of experience of researching
3D integration technologies and performing
various semiconductor packaging research and
development projects. His research interests
include 3D integration technologies, bonding
technologies, advanced packaging, and bio-
medical sensors.
He has published more than 85 peer-reviewed
journal papers and conference proceeding
papers, including three book chapters in the
semiconductor and electronic packaging area.
He also holds over 35 issued or pending U.S. and international patents.
He has been recognized with the IBM Eleventh Invention Achievement
Award in 2017 and an Outstanding Technical Achievement Award (OTAA)
in 2015 for his contribution and leadership in the area of 3D integration
technology development. He was also given the 2018 Exceptional Technical
Achievement Award from the IEEE Electronics Packaging Society, and
the 2017 Alumni Achievement Award from his Alma Mater, the School of
Engineering at Tohoku University, for his contribution to 3D chip stack tech-
nology development in the electronics packaging industry. He was a core-
cipient of the IEEE Components, Packaging, and Manufacturing Technology
(CPMT) Japan Society Best Presentation Award in 2012, and the IMAPS
“Best of Track” Outstanding Paper Award in 2015.
Dr. Sakuma received his B.E. and M. Eng. degrees from Tohoku University
and the Ph.D. degree from Waseda University, Japan. He is currently serving as
an associate editor for IEEE Transactions on CPMT. He served as an associate
editor of the Institute of Electronics, Information and Communication
Engineers (IEICE, Japan) from 2003 until 2005. He has served as commit-
tee member of the IEEE ECTC Interconnections subcommittee since 2012,
for the IEEE International Conference on 3D System Integration (IEEE 3DIC)
since 2016, and for the IEEE International Reliability Physics Symposium
(IEEE IRPS) since 2017. He has been a senior member of IEEE since 2012.

xiii
Contributors

Boon Ang Yu-Chen Hu


Xilinx, Inc. Department of Electronics
San Jose, California Engineering
National Chiao Tung University
Jonathan Chang Hsinchu City, Taiwan
Xilinx, Inc.
San Jose, California
A. Jouve
CEA-Leti
Kuan-Neng Chen
Grenoble, France
Department of Electronics
Engineering
National Chiao Tung University Myongseob Kim
Hsinchu City, Taiwan Xilinx, Inc.
San Jose, California
S. Cheramy
CEA-Leti
Chandrasekharan Kothandaraman
Grenoble, France
IBM T.J. Watson Research Center
Yorktown Heights
L. Di Cioccio
Albany, New York
CEA-Leti
Grenoble, France
M. Koyanagi
C. Fenouillet-Beranger New Industry Creation Hatchery
CEA-Leti Center (NICHe)
Grenoble, France Tohoku University
Sendai, Japan
P. Franzon
North Carolina State University
Woon-Seong Kwon
Raleigh, North Carolina
Google LLC
Mountain View, California
T. Fukushima
Department of Mechanical Systems
Engineering Tom Lee
Tohoku University Xilinx, Inc.
Sendai, Japan San Jose, California

xv
xvi Contributors

Li Li Spyridon Skordas
Cisco Systems, Inc. IBM Research Division
San Jose, California Albany, New York

Henley Liu T. Tanaka


Xilinx, Inc. Department of Biomedical
San Jose, California Engineering
Tohoku University
Cheng-Hsien Lu Sendai, Japan
Department of Electronics
Engineering P. Vivet
National Chiao Tung University CEA-Leti
Hsinchu City, Taiwan Grenoble, France

Kevin Winstel
Liam Madden IBM Research Division
Xilinx, Inc. Albany, New York
San Jose, California
Ephrem Wu
Takayuki Ohba Xilinx, Inc.
Laboratory for Future San Jose, California
Interdisciplinary Research of
Science and Technology Susan Wu
Tokyo Institute of Technology Xilinx, Inc.
Tokyo, Japan San Jose, California

Xin Wu
Suresh Ramalingam Xilinx, Inc.
Xilinx, Inc. San Jose, California
San Jose, California
Ting-Yang Yu
Katsuyuki Sakuma Department of Electronics
IBM T.J. Watson Research Center Engineering
Yorktown Heights National Chiao Tung University
Albany, New York Hsinchu City, Taiwan
1
Three-Dimensional Integration:
Technology and Design

P. Franzon

CONTENTS
1.1 Introduction .................................................................................................... 1
1.2 Three-Dimensional Integrated Circuit Technology Set ...........................2
1.3 Three-Dimensional Drivers..........................................................................5
1.4 Miniaturization .............................................................................................. 5
1.5 Cost Reduction ............................................................................................... 6
1.6 Heterogeneous Integration ...........................................................................7
1.7 Performance Enhancement ..........................................................................8
1.8 Power Efficiency ........................................................................................... 10
1.9 Conclusion .................................................................................................... 12
References............................................................................................................... 12

1.1 Introduction
3D and 2.5D integration technologies permit substantial improvement in
form factor, power, performance, functionality, and sometimes even cost.
Though not providing a direct replacement for Moore’s law, 3D technologies
can permit a generation or more of exponential scaling in power per unit of
performance and other factors.
This chapter is structured as follows. First there is a review of 3D technolo-
gies, followed by a general discussion of commercial 3D success stories and
technology drivers. Included in that we review 3D logic projects conducted
at North Carolina State University, United States, before closing out on het-
erogeneous integration.

1
2 3D Integration in VLSI Circuits

1.2 Three-Dimensional Integrated Circuit Technology Set


There are a variety of technologies that contribute to the 3D technology set.
The purpose of this chapter is to review these technologies and not to pro-
vide details of materials and manufacturing options. We will review inter-
posers, 3D stacking technologies and monolithic 3D technologies.
Figure 1.1 shows an interposer, often referred as a multi-chip module in
the past. Interposers get its name from their functionality of being placed
between the chip and the package. There are two standard ways to make
interposers. One approach is to use multiple thin film layers of spun-on
dielectrics and metal patterning. While permitting thick metals, it does not
permit high density. High density can be achieved by leveraging a legacy
back-end-of-line (BEOL) process from semiconductor chip manufacturing.
A BEOL process permits multiple levels of planarized wiring, typically 4–6
layers.
A defining technology in 3D integration is the through-silicon via (TSV). The
TSV goes through the silicon substrate, connecting the front and back sides
of the structure. It is created by etching a near vertical hole, lining it with a
dielectric before filling it with metal. The wafer is then thinned to expose
the metal. Typical dimensions for interposer structures are 100 μm of thick-
ness for the overall structure and 1–10 μm width and space for the metals.
TSVs are typically on at least a 100 μm pitch. The microbumps are typically
around a 40 μm pitch, whereas the bumps to the package must be on conven-
tional scales at 150+ μm pitch.
Figure 1.2 provides an illustration for some of the key three-dimensional
integrated circuit (3DIC) stacking technologies. This shows a three-chip
stack, two of which incorporate TSVs. The top two chips are joined with a
face-to-face technology (F2F). Here face refers to the top side of the chip—
where the transistors and interconnect are. Several methods are used to cre-
ate high-density F2F connections. Microsolder bumps can be used but today

Microbump Oxide
Wiring layers
Through-silicon vias (TSVs)
Bumps Silicon

Interposer

FIGURE 1.1
Interposer (2.5D) technology.
3D Integration: Technology and Design 3

Microbumps or direct bond


Transistor/wiring layers
Through-silicon vias (TSVs)
Microbumps to package or
interposer

FIGURE 1.2
3D stacking technologies.

they are limited to 40 μm pitch (with potential for 25 μm). Copper–copper


thermo-compression can be used down to sub-5 μm pitches. Alternatively,
hybrid bonding can be used. In hybrid bonding the top surface, with metal
plugs in it, is planarized and then bonded to another such surface. An exam-
ple is the Ziptronix data-based individualization (DBI) process [1]. This pitch
can be built down to 1 μm pitch but 6–8 μm are more typical. Hybrid bond-
ing is used to make many cell phone cameras today (Please see Section 1.6
on heterogeneous integration). With such high interconnect densities, many
interesting architectures can be explored, as will be explained later.
The bottom two chips in Figure 1.2 are joined using a face-to-back (F2B)
arrangement in which the bottom (back) of one die is joined to the top ( face) of
another. TSVs are needed to provide the connection to the joining backside.
As the sidewalls of the TSV are not entirely vertical, the TSV pitch is limited
to approximately the thickness of the wafer, typically 10–25 μm. Thus a F2B
connection provides a lot of less density than a F2F connection.
TSVs can be inserted before, during, or after complete wafer processing.
These are referred to, respectively, as via early, middle, and last. Figure 1.3
shows a process via middle. Wafers are partially completed, say to metal 1.
A vertical side-wall via is etched part way through the wafer. This via is
created using the Bosch process [2]. In the Bosch process, deep reactive-ion
etching (DRIE) is alternated with a deposition step multiple times to cre-
ate a near vertical wall via. Today the wall steepness is typically 10:1. Thus
the via depth has to be less than 10× the diameter of the opening at the
top. The via sidewalls are passivated, typically with an oxide, and then filled
with a metal, typically tungsten or copper. The chip metal stack (BEOL) is
then completed. The wafers are then flipped and thinned, exposing the TSV
metal. The exposed metal can then be used directly for a joining process, or a
bump structure is added before joining with another wafer or chip to create
a 3D stack.
4 3D Integration in VLSI Circuits

Transistor/early
wiring layers
Bulk silicon

Via creation Sidewall


passivation

Metal fill Completion of Completion of


BEOL processing BEOL processing

Microbumping

Stacking

FIGURE 1.3
Through-silicon vias (TSVs) fabrication steps.

Note in Figure 1.2 that the top chip has not been thinned. Usually a 3D
chip stack is assembled with the chip in wafer form. Two wafers are attached
with the second left unthinned. This wafer stack can be attached to another
(thick) wafer for further handling. In most cases, one wafer is left in a thick
format so that the wafer stack can be easily handled. Thin wafer handling is
possible but increases the cost.
Most 3DICs are assembled in wafer format. Again, the driver is cost.
Wafer-to-wafer attachment processing costs less per die and has higher
yields. Although chip to wafer attachment is possible it is not widely used.
An intriguing complement to 3D chip stacking technology is monolithic
3D in which there is only one silicon substrate (and thus no TSVs). The most
commercially successful one of these technologies is 3D NAND Flash in
which the string of NAND transistors in a nonvolatile flash device is fabri-
cated vertically. This approach brings substantial density improvements and
cost savings over conventional NAND flash technology. However, to date it
has not been commercially applied to any other logic or memory structure.
Another monolithic (-like) 3D technology set involves techniques in which
silicon-on-insulator (SOI) wafers are the starting wafer. This is shown in
Figure 1.4. In this approach, fabricated wafers are joined face to face using an
oxide–oxide bond. As the transistors are built on the top of an oxide layer, a
silicon-selective back etch can be used to remove the silicon part of the SOI
substrate while not affecting the transistors and interconnect layers. Simple
3D Integration: Technology and Design 5

BEOL oxide
Epi
Metal
transistors Buried oxide
Bulk silicon

4. Repeat

1. Oxide–oxide bond 2. Silicon etch 3. Via formation

FIGURE 1.4
3DIC chip stack using SOI wafers.

through-oxide vias can then be used to create vertical connections between


what were previously separate chips. An example of this process can be
found in Reference 3. If the first two chips in the stack are fabricated without
interconnect, then one gets two directly connectable transistor layers in what
would be considered a monolithic 3D technology. This is monolithic in the
sense there is only one bulk wafer left at the end of processing. However,
many would not consider it to be truly monolithic as multiple separate
wafers have to be created first.

1.3 Three-Dimensional Drivers


In general, the decision to employ 3D technologies is driven by (1) the need
for miniaturization, (2) the desire for cost reduction, (3) heterogeneous inte-
gration, (4) the need for performance enhancement, and/or (5) the need for
improvement in performance/power. Often several of these go hand in hand.

1.4 Miniaturization
An early application of TSVs was providing the I/O connections cell phone
camera front-side imaging sensor [4,5]. The goal was not to leverage 3D chip
stacks—these were single die—but to reduce the overall sensor height, at
least when compared with conventional packaging approaches.
6 3D Integration in VLSI Circuits

FIGURE 1.5
Miniaturized sensor as a two-chip stack.

3D chip stacking can be used to make such sensors with low integrated
volume. Though fabricated using wire bonding, Chen et al. demonstrated
an integrated power-harvesting data-collecting sensor with the photovoltaic
power-harvesting chip mounted on top of the logic and RF chips [6]. This
maximizes the photovoltaic power-harvesting area while minimizing the
volume. TSVs and bonding technologies would permit further volume reduc-
tion. Lentiro [7] described a two-chip stack aimed at simulating a particle of
meat for the purposes of calibrating a new food processing system. One chip
is a radio-frequency identification (RFID) power harvester and communica-
tions chip, the second is the temperature data logger. It is a two-chip stack
with F2F connections and TSV-enabled I/O. It is integrated with a small bat-
tery for data collection purposes only as the RFID cannot be employed in
the actual processing pipes. The two-chip stack permits smaller imitation
food particles than otherwise would be the case and is shown in Figure 1.5.
The RFID coil can be seen. The chip includes capacitors for temporary power
storage.

1.5 Cost Reduction


There have been several examples of 3DIC products with a focus on
cost reduction, though often they achieve performance enhancement
simultaneously.
Many cell phone cameras are today made as a two-chip stack. One chip is a
backside-illuminated pixel array that does not include interconnect layers or
even complete complementary metal–oxide–semiconductor (CMOS) transis-
tors. The second chip is a complete CMOS chip on which the analog-to-digital
converters (ADC) are built and interconnected for all other functionalities
required of an image sensor. A high-density connection process such as
hybrid bonding is used to create the needed face-to-face connections on a
3D Integration: Technology and Design 7

few micron pitch. Examples are reported in References 8 and 9. This is also
an example of heterogeneous integration, as the two chips in the stack go
through different manufacturing processes.
Another example of cost reduction is found in building high-end field-
programmable gate arrays (FPGAs). To a first approximation, the cost of
a large CMOS chip goes up with the square of the area. This is because
the probability of a defect occurring on the chip and thus killing the chip
goes up with the chip area, whereas the cost of making the chip in the first
place also goes up with the area. Thus it is worth considering partitioning a
large chip into a set of smaller ones, if the cost of integration and the addi-
tional test are less than the savings accrued to increase CMOS yield. Xilinx,
California, United States, investigated this concept for large FPGAs and is
now selling FPGA modules containing 2–4 CMOS FPGA chips, tightly inte-
grated on an interposer. Details are not available but they claim an overall
cost savings [10].
A third example is that of mixing technology nodes. In general, Moore’s
law tells us that a digital logic gate costs less to make in a more advanced
technology due to the reduced area for that gate in that node. However, in
contrast, many analog and analog-like functions such as ADC and high-
speed serial deserializer I/Os (SerDes) do not benefit in such a fashion. The
reason is that the analog behavior of a transistor has higher variation for
smaller transistors than for larger ones. Thus for many analog functions that
rely on well-matched behaviors of different transistors in the circuit, no ben-
efit is accrued from building smaller transistors. More simply put, analog
circuit blocks do not shrink in dimensions with the use of more advanced
technologies. Thus the cost of these functions in a more advanced process
node can actually be higher, than in the old node, as the old node costs less to
make per unit of area. Again this is an example of heterogeneous integration,
the heterogeneity being that of mixing technology notes.
Although Wu [10] also explored this concept generically, Erdmann et al.
[11] have explored this concretely for a mixed ADC/FPGA design. Their
design consisted of two 28 nm FPGA logic dies, integrated with two 65 nm
ADC array dies on an interposer. Thus two sets of cost benefits are accrued,
first the yield-related savings from splitting the logic die into two and the
fabrication cost savings of keeping the ADCs in an older technology.

1.6 Heterogeneous Integration


In Section 1.5, two examples of heterogeneous integration were given in
which wafers from different silicon process lines were integrated using 3DIC
technologies. There is also considerable interest in integrating wafers with
different underlying technologies, not just silicon.
8 3D Integration in VLSI Circuits

Another interesting use of 3D technologies has been to build nonvisible


light sensors, sometimes using a nonsilicon technology for the sensing layer.
Examples include IR imagers, X-ray imagers [12], and other images for high-
energy physics investigations [13].
The example is that of mixing III-V and silicon technologies. This is best
exemplified by The Defense Advanced Research Projects Agency Diverse
Accessible Heterogeneous Integration (DARPA DAHI) program in which
GaN and InP chips are integrated on top of the CMOS chips through micro-
bumps and other technologies [14]. More specifically, CMOS can be used
for most of the transistors in a circuit, whereas GaN high-electron-mobility
transistors (HEMTs) can be used for its high-power capability, and InP het-
erojunction bipolar transistors (HBTs) can be used for their very high speed.
An example of the latter is an ADC. In an ADC, only a few transistors gen-
erally determine the sampling rate. Thus with the DAHI technology, these
few transistors can be built in a high speed, but expensive and low yielding,
InP chiplet, whereas the rest of the ADC is built in cheaper and more robust
CMOS.

1.7 Performance Enhancement


A major goal of using 3D technologies is to increase the performance in some
key aspects. For example, a two-layer image sensor might enable bigger pix-
els in the image layer and thus greater sensitivity. Alternatively, integrating
a high-performance low device count InP chiplet onto a sophisticated CMOS
die leads to performance improvement, often in conjunction with the high
I/O count that the 3D technologies permit.
However, many 3D products and research objects are driven purely by
performance, specifically the ability to integrate many silicon parts, with a
lot of accumulated area into one 3D chip stack.
3D memories do exactly this and are positioned to be the next large-
volume application of 3DIC technologies. To date dynamic random-access
memory (DRAM) has relied on one-signal-per-pin signaling using low cost,
low pin count, and single-chip plastic packaging. As a result, DRAM has
continued to lag logic in terms of bandwidth potential and power efficiency.
Furthermore, the I/O speed of one-signal-per-pin signaling schemes are
unlikely to scale a lot beyond what can be achieved today in double data
rate (DDR4) (up to 3.2 Gbps per pin) and graphics double data rate (GDDR6)
(8 Gbps). Beyond these data rates, two-pins-per-signal differential signaling
is needed. Furthermore, the I/O power consumption, measured as pJ/bit, is
relatively high, even for the low-power DDR (LPDDR) standards (intended
for mobile applications).
3D Integration: Technology and Design 9

TABLE 1.1
Comparison of 2D and 3D Memories
Power Efficiency I/O Efficiency DQ
Technology Capacity BW (GB/s) (W) (mW/GB/s) (mW/Gb/s) Count

DDR4-2667 4 GB 21.34 6.6 309 6.5–39 32


LPDDR4 4 GB Up to 42 5.46 130 2.3 32
HMC 4 GB 128 GBps 11.08 86.5 10.8 8 Serdes
lanes
HBM 16 GB 256 GBps 48 1024
Wide I/O 8–32 GB 51.2 GBpsa 42b 256
DiRAM4 64 GB 8 Tbps 4096
a Wide I/O2, Wide I/O1 was half of this.
b Wide I/O1, Wide I/O2 should be lower.

There have been several proposed 3D memory technologies, which are


summarized together with 2D memories in Table 1.1. Note that in this table,
both B (Byte) and b (bits) are used. Also note that 1 mW/Gb/s is equivalent
to 1 pJ/bit.
The Hybrid Memory Cube (HMC) is a joint Intel-Micron standard that cen-
ters on a 3D-stacked part including a logic layer and multiple DRAM layers
organized as independent vertical slices. This 3D chip stack is then provided
as a packaged part, so the customer does not have to deal with any 3DIC or
2.5D packaging issues. At the time of writing this chapter, Micron offered
2 GB and 4 GB parts with a maximum memory bandwidth of up to 160 GBps
(The 128 GBps part is used in Table 1.2). The data I/O is organized as an eight
high-speed serial channels or lanes. HMC is mainly aimed at computing
applications. However, it does not appear to be widely adopted.
The high bandwidth memory (HBM) is a JEDEC (i.e., industry) stan-
dard that is not intended to be packaged on its own but to be further inte-
grated using an interposer or 3D chip stack. Its high pin count, configuring
8 × 128-bit wide interfaces, prevents it from being packaged easily. The inter-
faces run at 2 Gbps per pin and the pins are placed on a dense 48 × 55 μm
grid. It is fabricated as a stack of multibank memory die, connected to a logic

TABLE 1.2
Improvements in 3D Design over 2D Using Logic Cell Partitioning
Total Wire Length Fmax Total Power Power/
(% Change) (% Change) (% Change) MHz

Radar PE −21.0% +22.6% −12.9% −38%


Advanced encryption −8% +15.3% −2.6% −18%
standard (AES)
Multiple-input and +21.6% +17.1% −5.1% −23%
multiple-output (MIMO)
10 3D Integration in VLSI Circuits

die through a TSV array, the TSV arrays running through the chip centers.
Each chip is F2B mounted to the chip beneath it. The eight channels are oper-
ated independently. Details for a first-generation HBM (operating at 3.8 pJ/bit
power level at 128 GB/s) can be found in Reference 15. The use of HBM in
graphics module products has been announced by Nvidia and AMD.
Wide I/O is also a JEDEC-supported standard, aimed largely at low-power
mobile processors. Although intended to be mounted on top of the logic
die in a true 3D stack, side by integration on an interposer is also possible.
Wide I/O is a DRAM-only stack—there is no logic layer. Instead the DRAM
stack is exposed through a TSV-based interface and the memory controller
is designed separately on the CPU/logic die that is customer designed. To
date the thermal challenges of mounting a DRAM on an already hot mobile
processor logic die have been insurmountable, especially as it is desired to
operate the DRAM at a lower temperature than logic (85°C for DRAM vs.
105°C for logic) to control leakage and refresh time. This has been a barrier
to adoption.
The Tezzaron DiRAM4 is a proprietary memory still in development. It
has 4096 data I/O organized across 64 ports. It is intended only for 3D and
interposer integration. It has a unique organization in that the logic layer
is not only used for controller and I/O functions but also houses the global
sense amplifiers and addresses decoders that in other 3D memories are
on the DRAM layers. This permits faster operation for these circuits. The
DiRAM4 has potential for a very high bandwidth (up to 8 Tbps) and fast
random cycles (15 ns) [16].

1.8 Power Efficiency


In theory, taking a 2D chip and turning it into a stack of two chips should
reduce the power of the chip by about 35%. The reason is quite simple. In
most digital chips, about half (50%) the power is consumed in driving wires.
When stacked, the two chips in the stack should be half of the area of the
original chip, and thus the wires should be √2 shorter. As the power needed
to drive a wire is roughly proportional to its length, each wire driver should
see a power reduction of around 70%, that is, the chip as a whole should see
a power reduction of around 35%.
However, this is difficult to achieve as short wires are unlikely to be routed
between the two chips. On the other hand, reduced wire loads also means
the chip can operate faster. Thus one would still expect to see a substantial
improvement in the power/performance ratio over the 2D equivalent.
In one project at North Carolina State University, we demon-
strated this using a two-chip CMOS stack-attached F2F with a copper
thermo-compression-bonded interface at a 6.3 μm pitch. We put together
3D Integration: Technology and Design 11

Logic only

Logic, clocks,
flip-flops Die photo

FIGURE 1.6
Two-chip stack.

a CAD flow to do this that could reuse 2D CAD tools, especially place and
route tools. To make that feasible, all flip-flops are kept in one tier so that 3D
clock distribution was not required. The radar PE was implemented in the
Tezzaron bulk CMOS 3D process [17] (Figure 1.6). The results are summa-
rized in Table 1.2. On average, performance per unit of power was increased
by 22% due to the decreases in wire length achieved through this parti-
tioning approach. The radar processor had an improvement in performance
per unit of power of 21%. The other designs achieved 18% and 35%. The
achieved improvement was roughly equivalent to one generation of Moore’s
law scaling.
In a different project at North Carolina State University, we took a very differ-
ent approach to improving performance/power using 3D technologies. A stack
of two different CPUs are integrated vertically using a vertical thread transfer
bus that permits fast compute load migration from the high-performance CPU
to and from the low-power CPU when an energy advantage is found [18]. In this
design, the high-performance CPU can issue two instructions per cycle, whereas
the low-power CPU is a single-issue CPU. The transfer is managed using a low-
latency, self-testing multisynchronous bus [19]. The bus can transfer the state
of the CPU in one clock cycle by using a wide interface and by exploiting a
high-density copper–copper direct bond process. The caches are switched at
the same time, removing the need for a cold cache restart.
Simulation with Specmark workloads shows a 25% improvement in the
power/performance ratio compared with executing the sample workload
solely in the high-performance processor. In contrast, if the workload was
executed solely in the single-issue (low-power) CPU, there would be 28%
total energy savings, compared with keeping the workload in the high-
performance CPU but at the expense of a 39% reduction in performance. If
the workload was allowed to switch every 10,000 cycles, there would be 27%
total energy savings but at the expense of only a 7% reduction in performance
that is, a 25% improvement in power per unit of performance is achieved. The
die photograph is shown in Figure 1.7.
12 3D Integration in VLSI Circuits

FIGURE 1.7
3D heterogeneous processor die.

1.9 Conclusion
3D and 2.5D technologies considerably open the design space for semicon-
ductor technologies. Dimensions for exploration include miniaturization,
cost reduction, achieving new modalities via heterogeneous integration, per-
formance improvement, and improvement in performance/power.

References
1. P. Enquist, G. Fountain, C. Petteway, A. Hollingsworth, and H. Grady, Low cost
of ownership scalable copper direct bond interconnect 3D IC technology for
three dimensional integrated circuit applications, IEEE International Conference
on 3D System Integration, 3DIC 2009, San Francisco, CA, 2009, pp. 1–6.
2. U.S. Patents 5,501,893 and 6,531,068.
3. J.A. Burns, B.F. Aull, C.K. Chen, C. Chang-Lee, C.L. Keast, J.M. Knecht,
V. Suntharalingam, K. Warner, P.W. Wyatt, and D. Yost, A wafer-scale 3-D cir-
cuit integration technology, IEEE Transactions on Electron Devices, 52(10): 2507–
2516, 2006.
4. http://image-sensors-world.blogspot.com/2008/09/toshiba-tsv-reverse-
engineered.html
5. http://www.semicontaiwan.org/en/sites/semicontaiwan.org/files/docs/
4._mkt__jerome__yole.pdf
6. G. Chen, M. Fojtik, D. Kim, D. Fick, J. Park, M. Seok, M.-T. Chen, Z. Foo,
D. Sylvester, and D. Blaauw, Millimeter-scale nearly perpetual sensor system
with stacked battery and solar cells, 2010 IEEE International Solid-State Circuits
Conference-(ISSCC), San Francisco, CA, 2010, pp. 288–289.
7. A. Lentiro, Low-density, ultralow-power and smart radio frequency telemetry
sensor, PhD Dissertation, NCSU, 2013.
Another random document with
no related content on Scribd:
improvement of the place, so that it was admitted by the few sober
thinking people who remained there, that St. Michaels was an
unsaintly, as well as unsightly place.
I went to St. Michaels to live in March, 1833. I know the year,
because it was the one succeeding the first cholera in Baltimore, and
was also the year of that strange phenomenon when the heavens
seemed about to part with its starry train. I witnessed this gorgeous
spectacle, and was awestruck. The air seemed filled with bright
descending messengers from the sky. It was about daybreak when I
saw this sublime scene. I was not without the suggestion, at the
moment, that it might be the harbinger of the coming of the Son of
man; and in my then state of mind I was prepared to hail Him as my
friend and deliverer. I had read that the “stars shall fall from heaven,”
and they were now falling. I was suffering very much in my mind. It
did seem that every time the young tendrils of my affection became
attached they were rudely broken by some unnatural outside power;
and I was looking away to heaven for the rest denied me on earth.
But to my story. It was now more than seven years since I had
lived with Master Thomas Auld, in the family of my old master, Capt.
Anthony, on the home plantation of Col. Lloyd. As I knew him then it
was as the husband of old master’s daughter; I had now to know him
as my master. All my lessons concerning his temper and disposition,
and the best methods of pleasing him, were yet to be learned.
Slaveholders, however, were not very ceremonious in approaching a
slave, and my ignorance of the new material in the shape of a
master was but transient. Nor was my new mistress long in making
known her animus. Unlike Miss Lucretia, whom I remembered with
the tenderness which departed blessings leave, Mrs. Rowena Auld
was cold and cruel, as her husband was stingy, and possessed the
power to make him as cruel as herself, while she could easily
descend to the level of his meanness.
As long as I had lived in Mr. Hugh Auld’s family, whatever
changes had come over them there had been always a bountiful
supply of food; and now, for the first time in seven years, I realized
the pitiless pinchings of hunger. So wretchedly starved were we that
we were compelled to live at the expense of our neighbors, or to
steal from the home larder. This was a hard thing to do; but after
much reflection I reasoned myself into the conviction that there was
no other way to do, and that after all there was no wrong in it.
Considering that my labor and person were the property of Master
Thomas, and that I was deprived of the necessaries of life—
necessaries obtained by my own labor, it was easy to deduce the
right to supply myself with what was my own. It was simply
appropriating what was my own to the use of my master, since the
health and strength derived from such food were exerted in his
service. To be sure, this was stealing, according to the law and
gospel I heard from the pulpit; but I had begun to attach less
importance to what dropped from that quarter on such points. It was
not always convenient to steal from Master, and the same reason
why I might innocently steal from him did not seem to justify me in
stealing from others. In the case of my master it was a question of
removal—the taking his meat out of one tub and putting it in another;
the ownership of the meat was not affected by the transaction. At
first he owned it in the tub, and last he owned it in me. His meat-
house was not always open. There was a strict watch kept in that
point, and the key was carried in Mrs. Auld’s pocket. We were
oftentimes severely pinched with hunger, when meat and bread were
mouldering under the lock and key. This was so, when she knew we
were nearly half-starved; and yet with saintly air would she kneel
with her husband and pray each morning that a merciful God would
“bless them in basket and store, and save them at last in His
kingdom.” But I proceed with my argument.
It was necessary that the right to steal from others should be
established; and this could only rest upon a wider range of
generalization than that which supposed the right to steal from my
master. It was some time before I arrived at this clear right. To give
some idea of my train of reasoning, I will state the case as I laid it out
in my mind. “I am,” I thought, “not only the slave of Master Thomas,
but I am the slave of society at large. Society at large has bound
itself, in form and in fact, to assist Master Thomas in robbing me of
my rightful liberty, and of the just reward of my labor; therefore,
whatever rights I have against Master Thomas I have equally against
those confederated with him in robbing me of liberty. As society has
marked me out as privileged plunder, on the principle of self-
preservation, I am justified in plundering in turn. Since each slave
belongs to all, all must therefore belong to each.” I reasoned further,
that within the bounds of his just earnings the slave was fully justified
in helping himself to the gold and silver, and the best apparel of his
master, or that of any other slaveholder; and that such taking was
not stealing, in any just sense of the word.
The morality of free society could have no application to slave
society. Slaveholders made it almost impossible for the slave to
commit any crime, known either to the laws of God or to the laws of
man. If he stole he but took his own; if he killed his master, he only
imitated the heroes of the revolution. Slaveholders I held to be
individually and collectively responsible for all the evils which grew
out of the horrid relation, and I believed they would be so held in the
sight of God. To make a man a slave was to rob him of moral
responsibility. Freedom of choice is the essence of all accountability;
but my kind readers are probably less concerned about what were
my opinions than about that which more nearly touched my personal
experience, albeit my opinions have, in some sort, been the
outgrowth of my experience.
When I lived with Capt. Auld I thought him incapable of a noble
action. His leading characteristic was intense selfishness. I think he
was fully aware of this fact himself, and often tried to conceal it.
Capt. Auld was not a born slaveholder—not a birthright member of
the slaveholding oligarchy. He was only a slaveholder by marriage-
right; and of all slaveholders these were by far the most exacting.
There was in him all the love of domination, the pride of mastery, and
the swagger of authority; but his rule lacked the vital element of
consistency. He could be cruel; but his methods of showing it were
cowardly, and evinced his meanness, rather than his spirit. His
commands were strong, his enforcements weak.
Slaves were not insensible to the whole-souled qualities of a
generous, dashing slaveholder, who was fearless of consequences,
and they preferred a master of this bold and daring kind, even with
the risk of being shot down for impudence, to the fretful little soul
who never used the lash but at the suggestion of a love of gain.
Slaves too, readily distinguished between the birthright bearing
of the original slaveholder, and the assumed attitudes of the
accidental slaveholder; and while they could have no respect for
either, they despised the latter more than the former.
The luxury of having slaves to wait upon him was new to Master
Thomas, and for it he was wholly unprepared. He was a slaveholder,
without the ability to hold or manage his slaves. Failing to command
their respect, both himself and wife were ever on the alert lest some
indignity should be offered him by the slaves.
It was in the month of August, 1833, when I had become almost
desperate under the treatment of Master Thomas, and entertained
more strongly than ever the oft-repeated determination to run away,
—a circumstance occurred which seemed to promise brighter and
better days for us all. At a Methodist camp-meeting, held in the Bay
side (a famous place for camp-meetings) about eight miles from St.
Michaels, Master Thomas came out with a profession of religion. He
had long been an object of interest to the church, and to the
ministers, as I had seen by the repeated visits and lengthy
exhortations of the latter. He was a fish quite worth catching, for he
had money and standing. In the community of St. Michaels, he was
equal to the best citizen. He was strictly-temperate, and there was
little to do for him, to give him the appearance of piety, and to make
him a pillar of the church. Well, the camp-meeting continued a week;
people gathered from all parts of the country, and two steamboats
came loaded from Baltimore. The ground was happily chosen; seats
were arranged; a stand erected; a rude altar fenced in, fronting the
preacher’s stand, with straw in it, making a soft kneeling-place for
the accommodation of mourners. This place would have held at least
one hundred persons. In front and on the sides of the preacher’s
stand, and outside the long rows of seats, rose the first class of
stately tents, each vieing with the other in strength, neatness, and
capacity for accommodation. Behind this first circle of tents, was
another less imposing, which reached round the camp-ground to the
speaker’s stand. Outside this second class of tents were covered
wagons, ox-carts, and vehicles of every shape and size. These
served as tents to their owners. Outside of these, huge fires were
burning in all directions, where roasting and boiling and frying were
going on, for the benefit of those who were attending to their spiritual
welfare within the circle. Behind the preacher’s stand, a narrow
space was marked out for the use of the colored people. There were
no seats provided for this class of persons, and if the preachers
addressed them at all, it was in an aside. After the preaching was
over, at every service, an invitation was given to mourners to come
forward into the pen; and in some cases, ministers went out to
persuade men and women to come in. By one of these ministers,
Master Thomas was persuaded to go inside the pen. I was deeply
interested in that matter, and followed; and though colored people
were not allowed either in the pen, or in front of the preacher’s stand,
I ventured to take my stand at a sort of half-way place between the
blacks and whites, where I could distinctly see the movements of the
mourners, and especially the progress of Master Thomas. “If he has
got religion,” thought I, “he will emancipate his slaves; or, if he should
not do so much as this, he will at any rate behave towards us more
kindly, and feed us more generously than he has heretofore done.”
Appealing to my own religious experience, and judging my master by
what was true in my own case, I could not regard him as soundly
converted, unless some such good results followed his profession of
religion. But in my expectations I was doubly disappointed: Master
Thomas was Master Thomas still. The fruits of his righteousness
were to show themselves in no such way as I had anticipated. His
conversion was not to change his relation toward men—at any rate
not toward black men—but toward God. My faith, I confess, was not
great. There was something in his appearance that in my mind cast
a doubt over his conversion. Standing where I did, I could see his
every movement. I watched very narrowly while he remained in the
pen; and although I saw that his face was extremely red, and his hair
disheveled, and though I heard him groan, and saw a stray tear
halting on his cheek, as if inquiring, “which way shall I go?”—I could
not wholly confide in the genuineness of the conversion. The
hesitating behavior of that tear-drop, and its loneliness, distressed
me, and cast a doubt upon the whole transaction, of which it was a
part. But people said, “Capt. Auld has come through,” and it was for
me to hope for the best. I was bound to do this in charity, for I, too,
was religious, and had been in the church full three years, although
now I was not more than sixteen years old. Slaveholders might
sometimes have confidence in the piety of some of their slaves, but
the slaves seldom had confidence in the piety of their masters. “He
can’t go to heaven without blood on his skirts,” was a settled point in
the creed of every slave; which rose superior to all teaching to the
contrary, and stood forever as a fixed fact. The highest evidence the
slaveholder could give the slave of his acceptance with God, was the
emancipation of his slaves. This was proof to us that he was willing
to give up all to God, and for the sake of God, and not to do this was,
in our estimation, an evidence of hard-heartedness, and was wholly
inconsistent with the idea of genuine conversion. I had read
somewhere in the Methodist Discipline, the following question and
answer: “Question. What shall be done for the extirpation of
slavery?” “Answer. We declare that we are as much as ever
convinced of the great evil of slavery; therefore, no slaveholder shall
be eligible to any official station in our church.” These words
sounded in my ears for a long time, and encouraged me to hope. But
as I have before said, I was doomed to disappointment. Master
Thomas seemed to be aware of my hopes and expectations
concerning him. I have thought before now that he looked at me in
answer to my glances, as much as to say, “I will teach you, young
man, that though I have parted with my sins, I have not parted with
my sense. I shall hold my slaves, and go to heaven too.”
There was always a scarcity of good nature about the man; but
now his whole countenance was soured all over with the seemings
of piety and he became more rigid and stringent in his exactions. If
religion had any effect at all on him, it made him more cruel and
hateful in all his ways. Do I judge him harshly? God forbid. Capt.
Auld made the greatest professions of piety. His house was literally a
house of prayer. In the morning and in the evening loud prayers and
hymns were heard there, in which both himself and wife joined; yet
no more nor better meal was distributed at the quarters, no more
attention was paid to the moral welfare of the kitchen, and nothing
was done to make us feel that the heart of Master Thomas was one
whit better than it was before he went into the little pen, opposite the
preacher’s stand on the camp-ground. Our hopes, too, founded on
the discipline, soon vanished; for he was taken into the church at
once, and before he was out of his term of probation, he lead in
class. He quite distinguished himself among the brethren as a
fervent exhorter. His progress was almost as rapid as the growth of
the fabled vine of Jack and the bean-stalk. No man was more active
in revivals, or would go more miles to assist in carrying them on, and
in getting outsiders interested in religion. His house, being one of the
holiest in St. Michaels, became the “preachers’ home.” They
evidently liked to share his hospitality; for while he starved us, he
stuffed them—three or four of these “ambassadors” being there not
unfrequently at a time—all living on the fat of the land, while we in
the kitchen were worse than hungry. Not often did we get a smile of
recognition from these holy men. They seemed about as
unconcerned about our getting to heaven, as about our getting out of
slavery. To this general charge, I must make one exception—the
Reverend George Cookman. Unlike Rev. Messrs. Storks, Ewry,
Nicky, Humphrey, and Cooper (all of whom were on the St. Michaels
circuit), he kindly took an interest in our temporal and spiritual
welfare. Our souls and our bodies were alike sacred in his sight, and
he really had a good deal of genuine anti-slavery feeling mingled
with his colonization ideas. There was not a slave in our
neighborhood who did not love and venerate Mr. Cookman. It was
pretty generally believed that he had been instrumental in bringing
one of the largest slaveholders in that neighborhood—Mr. Samuel
Harrison—to emancipate all his slaves, and the general impression
about Mr. Cookman was, that whenever he met slaveholders he
labored faithfully with them, as a religious duty, to induce them to
liberate their bondmen. When this good man was at our house, we
were all sure to be called in to prayers in the morning; and he was
not slow in making inquiries as to the state of our minds, nor in giving
us a word of exhortation and of encouragement. Great was the
sorrow of all the slaves when this faithful preacher of the gospel was
removed from the circuit. He was an eloquent preacher, and
possessed what few ministers, south of Mason and Dixon’s line,
possessed or dared to show; viz., a warm and philanthropic heart.
This Mr. Cookman was an Englishman by birth, and perished on
board the ill-fated steamship “President,” while on his way to
England.
But to my experience with Master Thomas after his conversion.
In Baltimore I could occasionally get into a Sabbath-school, amongst
the free children, and receive lessons with the rest; but having
learned to read and write already, I was more a teacher than a
scholar, even there. When, however, I went back to the eastern
shore and was at the house of Master Thomas, I was not allowed
either to teach or to be taught. The whole community, with but one
single exception, among the whites, frowned upon everything like
imparting instruction, either to slaves or to free colored persons. That
single exception, a pious young man named Wilson, asked me one
day if I would like to assist him in teaching a little Sabbath-school, at
the house of a free colored man named James Mitchell. The idea
was to me a delightful one, and I told him I would gladly devote as
much of my Sabbaths as I could command to that most laudable
work. Mr. Wilson soon mustered up a dozen old spelling-books and a
few testaments, and we commenced operations, with some twenty
scholars in our school. Here, thought I, is something worth living for;
here is a chance for usefulness. The first Sunday passed delightfully,
and I spent the week after very joyously. I could not go to Baltimore,
where I and the little company of young friends who had been so
much to me there, and from whom I felt parted forever, but I could
make a little Baltimore here. At our second meeting I learned there
were some objections to the existence of our school; and sure
enough, we had scarcely got to work—good work, simply teaching a
few colored children how to read the gospel of the Son of God—
when in rushed a mob, headed by two class-leaders, Mr. Wright
Fairbanks and Mr. Garrison West, and with them Master Thomas.
They were armed with sticks and other missiles, and drove us off,
commanding us never to meet for such a purpose again. One of this
pious crew told me that as for me, I wanted to be another Nat.
Turner, and if I did not look out I should get as many balls in me as
Nat. did into him. Thus ended the Sabbath-school; and the reader
will not be surprised that this conduct, on the part of class-leaders
and professedly holy men, did not serve to strengthen my religious
convictions. The cloud over my St. Michaels home grew heavier and
blacker than ever.
It was not merely the agency of Master Thomas in breaking up
our Sabbath-school, that shook my confidence in the power of that
kind of southern religion to make men wiser or better, but I saw in
him all the cruelty and meanness after his conversion which he had
exhibited before time. His cruelty and meanness were especially
displayed in his treatment of my unfortunate cousin Henny, whose
lameness made her a burden to him. I have seen him tie up this
lame and maimed woman and whip her in a manner most brutal and
shocking; and then with blood-chilling blasphemy he would quote the
passage of scripture, “That servant which knew his lord’s will and
prepared not himself, neither did according to his will, shall be
beaten with many stripes.” He would keep this lacerated woman tied
up by her wrists to a bolt in the joist, three, four, and five hours at a
time. He would tie her up early in the morning, whip her with a
cowskin before breakfast, leave her tied up, go to his store, and
returning to dinner repeat the castigation, laying on the rugged lash
on flesh already raw by repeated blows. He seemed desirous to get
the poor girl out of existence, or at any rate off his hands. In proof of
this, he afterwards gave her away to his sister Sarah (Mrs. Cline),
but as in the case of Mr. Hugh, Henny was soon returned on his
hands. Finally, upon a pretense that he could do nothing for her (I
use his own words), he “set her adrift to take care of herself.” Here
was a recently converted man, holding with tight grasp the well-
framed and able-bodied slaves left him by old master—the persons
who in freedom could have taken care of themselves; yet turning
loose the only cripple among them, virtually to starve and die.
No doubt, had Master Thomas been asked by some pious
northern brother, why he held slaves? his reply would have been
precisely that which many another slaveholder has returned to the
same inquiry, viz.: “I hold my slaves for their own good.”
The many differences springing up between Master Thomas and
myself, owing to the clear perception I had of his character, and the
boldness with which I defended myself against his capricious
complaints, led him to declare that I was unsuited to his wants; that
my city life had affected me perniciously; that in fact it had almost
ruined me for every good purpose, and had fitted me for everything
bad. One of my greatest faults, or offences, was that of letting his
horse get away and go down to the farm which belonged to his
father-in-law. The animal had a liking for that farm with which I fully
sympathized. Whenever I let it out it would go dashing down the road
to Mr. Hamilton’s as if going on a grand frolic. My horse gone, of
course I must go after it. The explanation of our mutual attachment
to the place is the same—the horse found good pasturage, and I
found there plenty of bread. Mr. Hamilton had his faults, but starving
his slaves was not one of them. He gave food in abundance, and of
excellent quality. In Mr. Hamilton’s cook—Aunt Mary—I found a
generous and considerate friend. She never allowed me to go there
without giving me bread enough to make good the deficiencies of a
day or two. Master Thomas at last resolved to endure my behavior
no longer; he could keep neither me nor his horse, we liked so well
to be at his father-in-law’s farm. I had now lived with him nearly nine
months, and he had given me a number of severe whippings, without
any visible improvement in my character or conduct, and now he
was resolved to put me out, as he said, “to be broken.”
There was, in the Bay-side, very near the camp-ground where
my master received his religious impressions, a man named Edward
Covey, who enjoyed the reputation of being a first rate hand at
breaking young negroes. This Covey was a poor man, a farm renter;
and his reputation of being a good hand to break in slaves was of
immense pecuniary advantage to him, since it enabled him to get his
farm tilled with very little expense, compared with what it would have
cost him otherwise. Some slaveholders thought it an advantage to let
Mr. Covey have the government of their slaves a year or two, almost
free of charge, for the sake of the excellent training they had under
his management. Like some horse-breakers noted for their skill, who
ride the best horses in the country without expense, Mr. Covey could
have under him the most fiery bloods of the neighborhood, for the
simple reward of returning them to their owners well broken. Added
to the natural fitness of Mr. Covey for the duties of his profession, he
was said “to enjoy religion,” and he was as strict in the cultivation of
piety as he was in the cultivation of his farm. I was made aware of
these traits in his character by some one who had been under his
hand, and while I could not look forward to going to him with any
degree of pleasure, I was glad to get away from St. Michaels. I
believed I should get enough to eat at Covey’s, even if I suffered in
other respects, and this to a hungry man is not a prospect to be
regarded with indifference.
CHAPTER XV.
COVEY, THE NEGRO BREAKER.

Journey to Covey’s—Meditations by the way—Covey’s house—Family—


Awkwardness as a field hand—A cruel beating—Why given—Description
of Covey—First attempt at driving oxen—Hair-breadth escape—Ox and
man alike property—Hard labor more effective than the whip for breaking
down the spirit—Cunning and trickery of Covey—Family worship—
Shocking and indecent contempt for chastity—Great mental agitation—
Anguish beyond description.

THE morning of January 1, 1834, with its chilling wind and pinching
frost, quite in harmony with the winter in my own mind, found me,
with my little bundle of clothing on the end of a stick swung across
my shoulder, on the main road bending my way towards Covey’s,
whither I had been imperiously ordered by Master Thomas. He had
been as good as his word, and had committed me without reserve to
the mastery of that hard man. Eight or ten years had now passed
since I had been taken from my grandmother’s cabin in Tuckahoe;
and these years, for the most part, I had spent in Baltimore, where,
as the reader has already seen, I was treated with comparative
tenderness. I was now about to sound profounder depths in slave
life. My new master was notorious for his fierce and savage
disposition, and my only consolation in going to live with him was the
certainty of finding him precisely as represented by common fame.
There was neither joy in my heart nor elasticity in my frame as I
started for the tyrant’s home. Starvation made me glad to leave
Thomas Auld’s, and the cruel lash made me dread to go to Covey’s.
Escape, however, was impossible; so, heavy and sad, I paced the
seven miles which lay between his house and St. Michaels, thinking
much by the solitary way of my adverse condition. But thinking was
all I could do. Like a fish in a net, allowed to play for a time, I was
now drawn rapidly to the shore, secured at all points. “I am,” thought
I, “but the sport of a power which makes no account, either of my
welfare or my happiness. By a law which I can comprehend, but
cannot evade or resist, I am ruthlessly snatched from the hearth of a
fond grandmother and hurried away to the home of a mysterious old
master; again I am removed from there to a master in Baltimore;
thence am I snatched away to the eastern shore to be valued with
the beasts of the field, and with them divided and set apart for a
possessor; then I am sent back to Baltimore, and by the time I have
formed new attachments and have begun to hope that no more rude
shocks shall touch me, a difference arises between brothers and I
am again broken up and sent to St. Michaels; and now from the
latter place I am footing my way to the home of another master,
where I am given to understand that like a wild young working animal
I am to be broken to the yoke of a bitter and life-long bondage.” With
thoughts and reflections like these, I came in sight of a small wood-
colored building, about a mile from the main road, which, from the
description I had received at starting I easily recognized as my new
home. The Chesapeake bay, upon the jutting banks of which the little
wood-colored house was standing, white with foam raised by the
heavy northwest wind; Poplar Island, covered with a thick black pine
forest, standing out amid this half ocean; and Keat Point, stretching
its sandy, desert-like shores out into the foam-crested bay, were all in
sight, and served to deepen the wild and desolate scene.
The good clothes I had brought with me from Baltimore were
now worn thin, and had not been replaced; for Master Thomas was
as little careful to provide against cold as hunger. Met here by a
north wind, sweeping through an open space of forty miles, I was
glad to make any port, and, therefore, I speedily pressed on to the
wood-colored house. The family consisted of Mr. and Mrs. Covey;
Mrs. Kemp (a broken-backed woman), sister to Mrs. Covey; William
Hughes, cousin to Mr. Covey; Caroline, the cook; Bill Smith, a hired
man, and myself. Bill Smith, Bill Hughes, and myself were the
working force of the farm, which comprised three or four hundred
acres. I was now for the first time in my life to be a field-hand; and in
my new employment I found myself even more awkward than a
green country boy may be supposed to be upon his first entrance
into the bewildering scenes of city life; and my awkwardness gave
me much trouble. Strange and unnatural as it may seem, I had been
in my new home but three days before Mr. Covey (my brother in the
Methodist church) gave me a bitter foretaste of what was in reserve
for me. I presume he thought that since he had but a single year in
which to complete his work, the sooner he begun, the better.
Perhaps he thought by coming to blows at once we should mutually
understand better our relations to each other. But to whatever
motive, direct or indirect, the cause may be referred, I had not been
in his possession three whole days before he subjected me to a
most brutal chastisement. Under his heavy blows blood flowed
freely, and wales were left on my back as large as my little finger.
The sores from this flogging continued for weeks, for they were kept
open by the rough and coarse cloth which I wore for shirting. The
occasion and details of this first chapter of my experience as a field-
hand, must be told, that the reader may see how unreasonable, as
well as how cruel, my new Master Covey was. The whole thing I
found to be characteristic of the man, and I was probably treated no
worse by him than scores of lads who had previously been
committed to him, for reasons similar to those which induced my
master to place me with him. But here are the facts connected with
the affair, precisely as they occurred.
On one of the coldest mornings of the whole month of January,
1834, I was ordered at daybreak to get a load of wood, from a forest
about two miles from the house. In order to perform this work, Mr.
Covey gave me a pair of unbroken oxen, for it seemed that his
breaking abilities had not been turned in that direction. In due form,
and with all proper ceremony, I was introduced to this huge yoke of
unbroken oxen, and was carefully made to understand which was
“Buck,” and which was “Darby,”—which was the “in hand,” and which
was the “off hand” ox. The master of this important ceremony was no
less a person than Mr. Covey himself; and the introduction was the
first of the kind I had ever had.
My life, hitherto, had been quite away from horned cattle, and I
had no knowledge of the art of managing them. What was meant by
the “in ox,” as against the “off ox,” when both were equally fastened
to one cart, and under one yoke, I could not very easily divine; and
the difference implied by the names, and the peculiar duties of each,
were alike Greek to me. Why was not the “off ox” called the “in ox?”
Where and what is the reason for this distinction in names, when
there is none in the things themselves? After initiating me into the
use of the “whoa,” “back,” “gee,” “hither,”—the entire language
spoken between oxen and driver,—Mr. Covey took a rope about ten
feet long and one inch thick, and placed one end of it around the
horns of the “in hand ox,” and gave the other end to me, telling me
that if the oxen started to run away (as the scamp knew they would),
I must hold on to the rope and stop them. I need not tell any one who
is acquainted with either the strength or the disposition of an
untamed ox, that this order was about as unreasonable as a
command to shoulder a mad bull. I had never driven oxen before,
and I was as awkward, as a driver, as it is possible to conceive. I
could not plead my ignorance to Mr. Covey; there was that in his
manner which forbade any reply. Cold, distant, morose, with a face
wearing all the marks of captious pride and malicious sternness, he
repelled all advances. He was not a large man—not more than five
feet ten inches in height, I should think; short-necked, round-
shouldered, of quick and wiry motion, of thin and wolfish visage, with
a pair of small, greenish-gray eyes, set well back under a forehead
without dignity, and which were constantly in motion, expressing his
passions rather than his thoughts, in sight but denying them
utterance in words. The creature presented an appearance
altogether ferocious and sinister, disagreeable and forbidding, in the
extreme. When he spoke, it was from the corner of his mouth, and in
a sort of light growl, like a dog, when an attempt is made to take a
bone from him. I already believed him a worse fellow than he had
been represented to be. With his directions, and without stopping to
question, I started for the woods, quite anxious to perform my first
exploit in driving in a creditable manner. The distance from the house
to the wood’s gate—a full mile, I should think—was passed over with
little difficulty: for, although the animals ran, I was fleet enough in the
open field to keep pace with them, especially as they pulled me
along at the end of the rope; but on reaching the woods, I was
speedily thrown into a distressing plight. The animals took fright, and
started off ferociously into the woods, carrying the cart full tilt against
trees, over stumps, and dashing from side to side in a manner
altogether frightful. As I held the rope I expected every moment to be
crushed between the cart and the huge trees, among which they
were so furiously dashing. After running thus for several minutes, my
oxen were finally brought to a stand by a tree, against which they
dashed themselves with great violence, upsetting the cart, and
entangling themselves among sundry young saplings. By the shock
the body of the cart was flung in one direction and the wheels and
tongue in another, and all in the greatest confusion. There I was, all
alone in a thick wood to which I was a stranger; my cart upset and
shattered, my oxen entangled, wild, and enraged, and I, poor soul,
but a green hand to set all this disorder right. I knew no more of oxen
than the ox-driver is supposed to know of wisdom.
After standing a few minutes, surveying the damage, and not
without a presentiment that this trouble would draw after it others,
even more distressing, I took one end of the cart body and, by an
extra outlay of strength, I lifted it toward the axle-tree, from which it
had been violently flung; and after much pulling and straining, I
succeeded in getting the body of the cart in its place. This was an
important step out of the difficulty, and its performance increased my
courage for the work which remained to be done. The cart was
provided with an ax, a tool with which I had become pretty well
acquainted in the ship-yard at Baltimore. With this I cut down the
saplings by which my oxen were entangled, and again pursued my
journey, with my heart in my mouth, lest the oxen should again take
it into their senseless heads to cut up a caper. But their spree was
over for the present, and the rascals now moved off as soberly as
though their behavior had been natural and exemplary. On reaching
the part of the forest where I had been the day before chopping
wood, I filled the cart with a heavy load, as a security against another
runaway. But the neck of an ox is equal in strength to iron. It defies
ordinary burdens. Tame and docile to a proverb, when well trained,
the ox is the most sullen and intractable of animals when but half
broken to the yoke. I saw in my own situation several points of
similarity with that of the oxen. They were property; so was I. Covey
was to break me—I was to break them. Break and be broken was
the order.
Half of the day was already gone and I had not yet turned my
face homeward. It required only two days’ experience and
observation to teach me that no such apparent waste of time would
be lightly overlooked by Covey. I therefore hurried toward home; but
in reaching the lane gate I met the crowning disaster of the day. This
gate was a fair specimen of southern handicraft. There were two
huge posts eighteen inches in diameter, rough hewed and square,
and the heavy gate was so hung on one of these that it opened only
about half the proper distance. On arriving here it was necessary for
me to let go the end of the rope on the horns of the “in hand ox;” and
now as soon as the gate was open and I let go of it to get the rope
again, off went my oxen, making nothing of their load, full tilt; and in
so doing they caught the huge gate between the wheel and the cart
body, literally crushing it to splinters, and coming only within a few
inches of subjecting me to a similar crushing, for I was just in
advance of the wheel when it struck the left gate post. With these
two hair-breadth escapes I thought I could successfully explain to Mr.
Covey the delay and avert punishment—I was not without a faint
hope of being commended for the stern resolution which I had
displayed in accomplishing the difficult task—a task which I
afterwards learned even Covey himself would not have undertaken
without first driving the oxen for some time in the open field,
preparatory to their going to the woods. But in this hope I was
disappointed. On coming to him his countenance assumed an
aspect of rigid displeasure, and as I gave him a history of the
casualties of my trip, his wolfish face, with his greenish eyes,
became intensely ferocious. “Go back to the woods again,” he said,
muttering something else about wasting time. I hastily obeyed, but I
had not gone far on my way when I saw him coming after me. My
oxen now behaved themselves with singular propriety, contrasting
their present conduct to my representation of their former antics. I
almost wished, now that Covey was coming, they would do
something in keeping with the character I had given them; but no,
they had already had their spree, and they could afford now to be
extra good, readily obeying orders, and seeming to understand them
quite as well as I did myself. On reaching the woods my tormentor,
who seemed all the time to be remarking to himself upon the good
behavior of the oxen, came up to me and ordered me to stop the
cart, accompanying the same with the threat that he would now
teach me how to break gates and idle away my time when he sent
me to the woods. Suiting the action to the words, Covey paced off, in
his own wiry fashion, to a large black gum tree, the young shoots of
which are generally used for ox goads, they being exceedingly
tough. Three of these goads, from four to six feet long, he cut off and
trimmed up with his large jack-knife. This done, he ordered me to
take off my clothes. To this unreasonable order I made no reply, but
in my apparent unconsciousness and inattention to this command I
indicated very plainly a stern determination to do no such thing. “If
you will beat me,” thought I, “you shall do so over my clothes.” After
many threats, which made no impression upon me, he rushed at me
with something of the savage fierceness of a wolf, tore off the few
and thinly worn clothes I had on, and proceeded to wear out on my
back the heavy goads which he had cut from the gum tree. This
flogging was the first of a series of floggings, and though very
severe, it was less so than many which came after it, and these for
offences far lighter than the gate-breaking.
I remained with Mr. Covey one year (I cannot say I lived with
him), and during the first six months that I was there I was whipped,
either with sticks or cow-skins, every week. Aching bones and a sore
back were my constant companions. Frequent as the lash was used,
Mr. Covey thought less of it as a means of breaking down my spirit
than that of hard and continued labor. He worked me steadily up to
the point of my powers of endurance. From the dawn of day in the
morning till the darkness was complete in the evening I was kept at
hard work in the field or the woods. At certain seasons of the year
we were all kept in the field till eleven and twelve o’clock at night. At
these times Covey would attend us in the field and urge us on with
words or blows, as it seemed best to him. He had, in his life, been an
overseer, and he well understood the business of slave-driving.
There was no deceiving him. He knew just what a man or boy could
do, and he held both to strict account. When he pleased he would
work himself like a very Turk, making everything fly before him. It
was, however, scarcely necessary for Mr. Covey to be really present
in the field to have his work go on industriously. He had the faculty of
making us feel that he was always present. By a series of adroitly
managed surprises which he practiced, I was prepared to expect him
at any moment. His plan was never to approach the spot where his
hands were at work in an open, manly, and direct manner. No thief
was ever more artful in his devices than this man Covey. He would
creep and crawl in ditches and gullies, hide behind stumps and
bushes, and practice so much of the cunning of the serpent, that Bill
Smith and I, between ourselves, never called him by any other name
than “the snake.” We fancied that in his eyes and his gait we could
see a snakish resemblance. One half of his proficiency in the art of
negro-breaking consisted, I should think, in this species of cunning.
We were never secure. He could see or hear us nearly all the time.
He was to us behind every stump, tree, bush, and fence on the
plantation. He carried this kind of trickery so far that he would
sometimes mount his horse and make believe he was going to St.
Michaels, and in thirty minutes afterwards you might find his horse
tied in the woods, and the snake-like Covey lying flat in the ditch with
his head lifted above its edge, or in a fence-corner, watching every
movement of the slaves. I have known him walk up to us and give us
special orders as to our work in advance, as if he were leaving home
with a view to being absent several days, and before he got half way
to the house he would avail himself of our inattention to his
movements to turn short on his heel, conceal himself behind a fence
corner or a tree, and watch us until the going down of the sun. Mean
and contemptible as is all this, it is in keeping with the character
which the life of a slaveholder was calculated to produce. There was
no earthly inducement in the slave’s condition to incite him to labor
faithfully. The fear of punishment was the sole motive of any sort of
industry with him. Knowing this fact as the slaveholder did, and
judging the slave by himself, he naturally concluded that the slave
would be idle whenever the cause for this fear was absent. Hence all
sorts of petty deceptions were practiced to inspire fear.
But with Mr. Covey trickery was natural. Everything in the shape
of learning or religion which he possessed was made to conform to
this semi-lying propensity. He did not seem conscious that the
practice had anything unmanly, base, or contemptible about it. It was
a part of an important system with him, essential to the relation of
master and slave. I thought I saw, in his very religious devotions, this
controlling element of his character. A long prayer at night made up
for a short prayer in the morning, and few men could seem more
devotional than he when he had nothing else to do.
Mr. Covey was not content with the cold style of family worship
adopted in the cold latitudes, which begin and end with a simple
prayer. No! the voice of praise as well as of prayer must be heard in
his house night and morning. At first I was called upon to bear some
part in these exercises; but the repeated floggings given me turned
the whole thing into mockery. He was a poor singer, and mainly
relied on me for raising the hymn for the family, and when I failed to
do so he was thrown into much confusion. I do not think he ever
abused me on account of these vexations. His religion was a thing
altogether apart from his worldly concerns. He knew nothing of it as
a holy principle directing and controlling his daily life, making the
latter conform to the requirements of the gospel. One or two facts will
illustrate his character better than a volume of generalities.
I have already implied that Mr. Edward Covey was a poor man.
He was, in fact, just commencing to lay the foundation of his fortune,
as fortune was regarded in a slave state. The first condition of wealth
and respectability there being the ownership of human property,
every nerve was strained by the poor man to obtain it, with little
regard sometimes as to the means. In pursuit of this object, pious as
Mr. Covey was, he proved himself as unscrupulous and base as the
worst of his neighbors. In the beginning he was only able—as he
said—“to buy one slave;” and scandalous and shocking as is the
fact, he boasted that he bought her simply “as a breeder.” But the
worst of this is not told in this naked statement. This young woman
(Caroline was her name) was virtually compelled by Covey to
abandon herself to the object for which he had purchased her; and
the result was the birth of twins at the end of the year. At this addition
to his human stock Covey and his wife were ecstatic with joy. No one
dreamed of reproaching the woman or of finding fault with the hired

You might also like