You are on page 1of 26

MEMS Technology

Visvesvarya Technological University, Belgaum


A Seminar Report On

MEMS TECHNOLOGY
Submitted in fulfillment for the award of

Bachelor of Engineering
In

Electronics and Communication Engineering


Madhura S M (1BM07EC054)

Under the guidance of


Mr Dinesh Lecturer, Dept. of E&C, BMSCE

-1-

MEMS Technology

CERTIFICATE

DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING B.M.S COLLEGE OF ENGINEERING BANGALORE 560019

This is to certify that the seminar entitled MEMS Technology has been carried out by Madhura S M bearing USN 1BM07EC054 submitted in the fulfillment for the award of Bachelor of Engineering degree prescribed by the Visvesvaraya Technological University, Belgaum during academic year 2011 .

Seminar Guide Signature Signature of HOD DATE:

-2-

MEMS Technology

Contents

Sl No
1. 2. 3. 4. 5. 6. 7. 8. 9. 10.

Section/Topic
Introduction/overview MEMS Description MEMS Design Process MEMS Fabrication Technologies Key applications Advantages & comparisons Current Challenges Future Developments Conclusion Refrences

Page No
4 7 8 17 19 20 21 22 23 24

-3-

MEMS Technology

ABSTRACT
The technology, Micro-Electro-Mechanical-Systems (MEMS), emerged in the late1980s which enables us to fabricate mechanical parts on the order of microns. Micromachining technology is suitable for developing new transducers or improving existing transducer designs. Due to the dramatic reduction in size, micro transducers can outperform traditional ones by orders of magnitude. Furthermore, MEMS is a fundamental technology which has the potential to influence advancements in many fields. In the automobile, electronics, bio-medical and television industries, MEMS products have already made appreciable impacts.

SECTION 1 INTRODUCTION
Microelectromechanical systems (MEMS) are small integrated devices or systems that combine electrical and mechanical components. They range in size from the sub micrometer level to the millimeter level and there can be any number, from a few to millions, in a particular system. MEMS extend the fabrication techniques developed for the integrated circuit industry to add mechanical elements such as beams, gears, diaphragms, and springs to devices. Examples of MEMS device applications include inkjet-printer locks inertial cartridges, sensors

accelerometer,

miniature

robots,

microengines,

microtransmissions, micromirrors, micro actuator (Mechanisms for activating process control equipment by use of pneumatic, hydraulic, or electronic signals) optical scanners, fluid pumps, transducer, pressure and flow sensors. New applications are emerging as the existing technology is applied to the miniaturization and integration of conventional devices. These systems can sense, control, and activate mechanical processes on the micro scale, and function individually or in arrays to generate effects on the macro scale. The micro fabrication technology enables fabrication of large arrays of devices, which individually perform simple tasks, but in combination can accomplish complicated functions.

-4-

MEMS Technology

SECTION 1.1

WHAT IS MEMS TECHNOLOGY?

Micro-Electro-Mechanical Systems (MEMS) is the integration of mechanical elements, sensors, actuators, and electronics on a common silicon substrate through microfabrication technology. While the electronics are fabricated using integrated circuit (IC) process sequences, the micromechanical components are fabricated using compatible "micromachining" processes that selectively etch away parts of the silicon wafer or add new structural layers to form the mechanical and electromechanical devices.

Microelectronic integrated circuits can be thought of as the "brains" of a system and MEMS augments this decision-making capability with "eyes" and "arms", to allow microsystems to sense and control the environment. Sensors gather information from the environment through measuring mechanical, thermal, biological, chemical, optical, and magnetic phenomena. The electronics then process the information derived from the sensors and through some decision making capability direct the actuators to respond by moving, positioning, regulating, pumping, and filtering, thereby controlling the environment for some desired outcome or purpose. Because MEMS devices are manufactured using batch fabrication techniques similar to those used for integrated circuits, unprecedented levels of functionality, reliability, and sophistication can be placed on a small silicon chip at a relatively low cost.

SECTION 1.2

WHAT ARE MEMS / MICROSYSTEMS?

As the smallest commercially produced "machines", MEMS devices are similar to traditional sensors and actuators although much, much smaller. E.g.

-5-

MEMS Technology

Complete systems are typically a few millimeters across, with individual features devices of the order of 1-100 micrometers across.

MEMS devices are manufactured either using processes based on Integrated Circuit fabrication techniques and materials, or using new emerging fabrication technologies such as micro injection molding. These former processes involve building the device up layer by layer, involving several material depositions and etch steps. A typical MEMS fabrication technology may have a 5 step process. Due to the limitations of this "traditional IC" manufacturing process MEMS devices are substantially planar, having very low aspect ratios (typically 5 -10 micro meters thick). It is important to note that there are several evolving fabrication techniques that allow higher aspect ratios such as deep x-ray lithography, electrodeposition, and micro injection molding. MEMS devices are typically fabricated onto a substrate (chip) that may also contain the electronics required to interact with the MEMS device. Due to the small size and mass of the devices, MEMS components can be actuated electrostatically (piezoelectric and bimetallic effects can also be used). The position of MEMS components can also be sensed capacitively. Hence the MEMS electronics include electrostatic drive power supplies, capacitance charge comparators, and signal conditioning circuitry. Connection with the macroscopic world is via wire bonding and encapsulation into familiar BGA, MCM, surface mount, or leaded IC packages.

-6-

MEMS Technology

A common MEMS actuator is the "linear comb drive" (shown above) which consists of rows of interlocking teeth; half of the teeth are attached to a fixed "beam", the other half attach to a movable beam assembly. Both assemblies are electrically insulated. By applying the same polarity voltage to both parts the resultant electrostatic force repels the movable beam away from the fixed. Conversely, by applying opposite polarity the parts are attracted. In this manner the comb drive can be moved "in" or "out" and either DC or AC voltages can be applied. The small size of the parts (low inertial mass) indicates that the drive has a very fast response time compared to its macroscopic counterpart. The magnitude of electrostatic force is multiplied by the voltage or more commonly the surface area and number of teeth. Commercial comb drives have several thousand teeth, each tooth approximately 10 micro meters long. Drive voltages are CMOS levels. The linear push / pull motion of a comb drive can be converted into rotational motion by coupling the drive to push rod and pinion on a wheel. In this manner the comb drive can rotate the wheel in the same way a steam engine functions!

SECTION 2

MEMS DESCRIPTION
MEMS technology can be implemented using a number of different

materials and manufacturing techniques; the choice of which will depend on the device being created and the market sector in which it has to operate.

SILICON
The economies of scale, ready availability of cheap high-quality materials and ability to incorporate electronic functionality make silicon attractive for a wide variety of

-7-

MEMS Technology

MEMS applications. Silicon also has significant advantages engendered through its material properties. In single crystal form, silicon is an almost perfect Hookean material, meaning that when it is flexed there is virtually no hysteresis and hence almost no energy dissipation. The basic techniques for producing all silicon based MEMS devices are deposition of material layers, patterning of these layers by photolithography and then etching to produce the required shapes.

POLYMERS
Even though the electronics industry provides an economy of scale for the silicon industry, crystalline silicon is still a complex and relatively expensive material to produce. Polymers on the other hand can be produced in huge volumes, with a great variety of material characteristics. MEMS devices can be made from polymers by processes such as injection moulding, embossing or stereolithography.

METALS
Metals can also be used to create MEMS elements. While metals do not have some of the advantages displayed by silicon in terms of mechanical properties, when used within their limitations, metals can exhibit very high degrees of reliability. Metals can be deposited by electroplating, evaporation, and sputtering processes.

SECTION 3 MEMS DESIGN PROCESS


There are three basic building blocks in MEMS technology - Deposition Process-the ability to deposit thin films of material on a substrate, Lithography-to apply a patterned mask on top of the films by photolithograpic imaging, and Etching-to etch the films selectively to the mask. A MEMS process is usually a structured sequence of these operations to form actual devices.

-8-

MEMS Technology

SECTION 3.1

DEPOSITION PROCESSES

One of the basic building blocks in MEMS processing is the ability to deposit thin films of material. MEMS deposition technology can be classified in two groups:
1. Depositions that happen because of a chemical reaction:
o o o o

Chemical Vapor Deposition (CVD) Electrodeposition Epitaxy Thermal oxidation Physical Vapor Deposition (PVD) Casting

2. Depositions that happen because of a physical reaction:


o o

CHEMICAL VAPOR DEPOSITION (CVD)


In this process, the substrate is placed inside a reactor to which a number of gases are supplied. The fundamental principle of the process is that a chemical reaction takes place between the source gases. The product of that reaction is a solid material with condenses on all surfaces inside the reactor. The two most important CVD technologies in MEMS are the Low Pressure CVD (LPCVD) and Plasma Enhanced CVD (PECVD). The LPCVD process produces layers with excellent uniformity of thickness and material characteristics. The main problems with the process are the high deposition temperature (higher than 600C) and the relatively slow deposition rate. The PECVD process can operate at lower temperatures (down to 300 C) thanks to the extra energy supplied to the gas molecules by the plasma in the reactor.

-9-

MEMS Technology

However, the quality of the films tends to be inferior to processes running at higher temperatures. Figure 1: Typical hot-wall LPCVD reactor.

ELECTRODEPOSITION
This process is also known as "electroplating" and is typically restricted to electrically conductive materials. There are basically two technologies for plating: Electroplating and Electro-less plating. In the electroplating process the substrate is placed in a liquid solution(electrolyte). When an electrical potential is applied between a conducting area on the substrate and a counter electrode (usually platinum) in the liquid, a chemical redox process takes place resulting in the formation of a layer of material on the substrate and usually some gas generation at the counter electrode. In the electro-less plating process a more complex chemical solution is used, in which deposition happens spontaneously on any surface which forms a sufficiently high electrochemical potential with the solution. This process is desirable since it does not require any external electrical potential and contact to the substrate during processing. Unfortunately, it is also more difficult to control with regards to film thickness and uniformity. A schematic diagram of a typical setup for electroplating is shown in the figure below.

- 10 -

MEMS Technology

EPITAXY
This technology is quite similar to what happens in CVD processes, however, if the substrate is an ordered semiconductor crystal (i.e. silicon, gallium arsenide), it is possible with this process to continue building on the substrate with the same crystallographic orientation with the substrate acting as a seed for the deposition. If an amorphous/polycrystalline substrate surface is used, the film will also be amorphous or polycrystalline. There are several technologies for creating the conditions inside a reactor needed to support epitaxial growth, of which the most important is Vapor Phase Epitaxy (VPE). In this process, a number of gases are introduced in an induction heated reactor where only the substrate is heated. The temperature of the substrate typically must be at least 50% of the melting point of the material to be deposited. A schematic diagram of a typical vapor phase epitaxial reactor is shown in the figure below.

- 11 -

MEMS Technology

Figure 3: Typical cold-wall vapor phase epitaxial reactor.

THERMAL OXIDATION
This is one of the most basic deposition technologies. It is simply oxidation of the substrate surface in an oxygen rich atmosphere. The temperature is raised to 800 C-1100 C to speed up the process. This is also the only deposition technology which actually consumes some of the substrate as it proceeds. The growth of the film is spurned by diffusion of oxygen into the substrate, which means the film growth is actually downwards into the substrate. As the thickness of the oxidized layer increases, the diffusion of oxygen to the substrate becomes more difficult leading to a parabolic relationship between film thickness and oxidation time for films thicker than ~100nm. This process is naturally limited to materials that can be oxidized, and it can only form films that are oxides of that material. This is the classical process used to form silicon dioxide on a silicon substrate. A schematic diagram of a typical wafer oxidation furnace is shown in the figure below.

- 12 -

MEMS Technology

PHYSICAL VAPOR DEPOSITION (PVD)


PVD covers a number of deposition technologies in which material is released from a source and transferred to the substrate. The two most important technologies are evaporation and sputtering.

CASTING
In this process the material to be deposited is dissolved in liquid form in a solvent. The material can be applied to the substrate by spraying or spinning. Once the solvent is evaporated, a thin film of the material remains on the substrate. This is particularly useful for polymer materials, which may be easily dissolved in organic solvents, and it is the common method used to apply photoresist to substrates (in photolithography). The thicknesses that can be cast on a substrate range all the way from a single monolayer of molecules (adhesion promotion) to tens of micrometers.

SECTION 3.2

LITHOGRAPHY

PATTERN TRANSFER
Lithography in the MEMS context is typically the transfer of a pattern to a photosensitive material by selective exposure to a radiation source such as light. A photosensitive material is a material that experiences a change in its physical properties when exposed to a radiation source. If we selectively expose a photosensitive material to radiation (e.g. by masking some of the radiation) the pattern of the radiation on the material is transferred to the material exposed, as the properties of the exposed and unexposed regions differ (as shown in figure below).

Figure : Transfer of a pattern to a photosensitive material.

- 13 -

MEMS Technology

THE LITHOGRAPHY MODULE


Typically lithography is performed as part of a well-characterized module, which includes the wafer surface preparation, photoresist deposition, alignment of the mask and wafer, exposure, develop and appropriate resist conditioning. The lithography process steps need to be characterized as a sequence in order to ensure that the remaining resist at the end of the modules is an optimal image of the mask, and has the desired sidewall profile. A brief explanation of the standard process steps included in a lithography module is (in sequence):

Dehydration bake - dehydrate the wafer to aid resist adhesion. HMDS prime - coating of wafer surface with adhesion promoter. Resist spin/spray - coating of the wafer with resist either by spinning or spraying. Typically desire a uniform coat. Soft bake - drive off some of the solvent in the resist, may result in a significant loss of mass of resist (and thickness). Makes resist more viscous.

- 14 -

MEMS Technology

Alignment - align pattern on mask to features on wafers. Exposure - projection of mask image on resist causing selective chemical property change. Post exposure bake - baking of resist to drive off further solvent content. Develop - selective removal of resist after exposure. Usually a wet process. Hard bake - drive off most of the remaining solvent from the resist. Descum - removal of thin layer of resist scum that may occlude open regions in pattern helps to open up corners.

SECTION 3.3

ETCHING PROCESSES

In order to form a functional MEMS structure on a substrate, it is necessary to etch the thin films previously deposited and/or the substrate itself. In general, there are two classes of etching processes: 1. Wet etching where the material is dissolved when immersed in a chemical solution 2. Dry etching where the material is sputtered or dissolved using reactive ions or a vapor phase etching.

WET ETCHING
This is the simplest etching technology. All it requires is a container with a liquid solution that will dissolve the material in question. Unfortunately, there are complications since usually a mask is desired to selectively etch the material. One must find a mask that will not dissolve or at least etches much slower than the material to be patterned. Secondly, some single crystal materials, such as silicon, exhibit anisotropic etching in certain chemicals. Anisotropic etching in contrast to isotropic etching means different etches rates in different directions in the material. The classic example of this is the <111> crystal plane sidewalls that appear when etching a hole in a <100> silicon wafer in a chemical such as potassium hydroxide (KOH). The result is a pyramid shaped hole instead of a hole with rounded sidewalls with a isotropic etchant. The principle of anisotropic and isotropic wet etching is illustrated in the figure below.

- 15 -

MEMS Technology

DRY ETCHING
The dry etching technology can split in three separate classes called reactive ion etching (RIE), sputter etching, and vapor phase etching. In RIE, the substrate is placed inside a reactor in which several gases are introduced. Plasma is struck in the gas mixture using an RF power source, breaking the gas molecules into ions. The ion is accelerated towards, and reacts at, the surface of the material being etched, forming another gaseous material. This is known as the chemical part of reactive ion etching. There is also a physical part which is similar in nature to the sputtering deposition process. If the ions have high enough energy, they can knock atoms out of the material to be etched without a chemical reaction. It is very complex tasks to develop dry etch processes that balance chemical and physical etching, since there are many parameters to adjust. By changing the balance it is possible to influence the anisotropy of the etching, since the chemical part is isotropic and the physical part highly anisotropic the combination can form sidewalls that have shapes from rounded to vertical. A schematic of a typical reactive ion etching system is shown in the figure below.

- 16 -

MEMS Technology

Sputter etching is essentially RIE without reactive ions. The systems used are very similar in principle to sputtering deposition systems. The big difference is that substrate is now subjected to the ion bombardment instead of the material target used in sputter deposition. Vapor phase etching is another dry etching method, which can be done with simpler equipment than what RIE requires. In this process the wafer to be etched is placed inside a chamber, in which one or more gases are introduced. The material to be etched is dissolved at the surface in a chemical reaction with the gas molecules. The two most common vapor phase etching technologies are silicon dioxide etching using hydrogen fluoride (HF) and silicon etching using xenon diflouride (XeF2), both of which are isotropic in nature. Usually, care must be taken in the design of a vapor phase process to not have bi-products form in the chemical reaction that condense on the surface and interfere with the etching process.

SECTION 4 FABRICATION TECHNOLOGIES


The three characteristic features of MEMS fabrication technologies are miniaturization, multiplicity, and microelectronics. Miniaturization enables the production of compact, quick-response devices. Multiplicity refers to the batch

- 17 -

MEMS Technology

fabrication inherent in semiconductor processing, which allows thousands or millions of components to be easily and concurrently fabricated. Microelectronics provides the intelligence to MEMS and allows the monolithic merger of sensors, actuators, and logic to build closed-loop feedback components and systems. The successful miniaturization and multiplicity of traditional electronics systems would not have been possible without IC fabrication technology. Therefore, IC fabrication technology, or microfabrication, has so far been the primary enabling technology for the development of MEMS. Microfabrication provides a powerful tool for batch processing and miniaturization of mechanical systems into a dimensional domain not accessible by conventional techniques. Furthermore, microfabrication provides an opportunity for integration of mechanical systems with electronics to develop highperformance closed-loop-controlled MEMS.

SECTION 4.1

IC FABRICATION

Any discussion of MEMS requires a basic understanding of IC fabrication technology, or microfabrication, the primary enabling technology for the development of MEMS. The major steps in IC fabrication technology are: Film growth: Usually, a polished Si wafer is used as the substrate, on which a thin film is grown. The film, which may be epitaxial Si, SiO 2, silicon nitride (Si3N4), polycrystalline Si, or metal, is used to build both active or passive components and interconnections between circuits. Doping: To modulate the properties of the device layer, a low and controllable level of an atomic impurity may be introduced into the layer by thermal diffusion or ion implantation. Lithography: A pattern on a mask is then transferred to the film by means of a photosensitive (i.e., light sensitive) chemical known as a photoresist. The process of pattern generation and transfer is called photolithography. A typical mask consists of a glass plate coated with a patterned chromium (Cr) film. Etching: Next is the selective removal of unwanted regions of a film or substrate for pattern delineation. Wet chemical etching or dry etching may be used. Etch-mask materials are used at various stages in the removal process to

- 18 -

MEMS Technology

selectively prevent those portions of the material from being etched. These materials include SiO2, Si3N4, and hard-baked photoresist. Dicing: The finished wafer is sawed or machined into small squares, or dice, from which electronic components can be made. Packaging: The individual sections are then packaged, a process that involves physically locating, connecting, and protecting a device or component. MEMS design is strongly coupled to the packaging requirements, which in turn are dictated by the application environment.

SECTION 4.2

BULK MICROMACHINING

AND

WAFER BONDING

Bulk micromachining is an extension of IC technology for the fabrication of 3D structures. Bulk micromachining of Si uses wet- and dry-etching techniques in conjunction with etch masks and etch stops to sculpt micromechanical devices from the Si substrate. The two key capabilities that make bulk micromachining a viable technology are: Anisotropic etchants of Si, such as ethylene-diamine and pyrocatechol (EDP), potassium hydroxide (KOH), and hydrazine (N2H4). These preferentially etch single crystal Si along given crystal planes. Etch masks and etch-stop techniques that can be used with Si anisotropic etchants to selectively prevent regions of Si from being etched. Good etch masks are provided by SiO2 and Si3N4, and some metallic thin films such as Cr and Au (gold).

SECTION 4.3
Surface

SURFACE MICROMACHINING
micromachining enables the fabrication of complex

multicomponent integrated micromechanical structures that would not be possible with traditional bulk micromachining. This technique encases specific structural parts
- 19 -

MEMS Technology

of a device in layers of a sacrificial material during the fabrication process. The substrate wafer is used primarily as a mechanical support on which multiple alternating layers of structural and sacrificial material are deposited and patterned to realize micromechanical structures. The sacrificial material is then dissolved in a chemical etchant that does not attack the structural parts. The most widely used surface micromachining technique, polysilicon surface micromachining, uses SiO2 as the sacrificial material and polysilicon as the structural material.

SECTION 5 APPLICATIONS
PRESSURE SENSORS
MEMS pressure microsensors typically have a flexible diaphragm that deforms in the presence of a pressure difference. The deformation is converted to an electrical signal appearing at the sensor output. A pressure sensor can be used to sense the absolute air pressure within the intake manifold of an automobile engine, so that the amount of fuel required for each engine cylinder can be computed.

ACCELEROMETERS
Accelerometers are acceleration sensors. An inertial mass suspended by springs is acted upon by acceleration forces that cause the mass to be deflected from its initial position. This deflection is converted to an electrical signal, which appears at the sensor output. The application of MEMS technology to accelerometers is a relatively new development. Accelerometers are used in consumer electronics devices such as game controllers (Nintendo Wii), personal media players / cell phones (Apple iPhone ) and a number of Digital Cameras. They are also used in PCs to park the hard disk head when free-fall is detected, to prevent damage and data loss.

MICROENGINES
A three-level polysilicon micromachining process has enabled the fabrication of devices with increased degrees of complexity. The process includes three movable levels of polysilicon, each separated by a sacrificial oxide layer, plus a
- 20 -

MEMS Technology

stationary level. Microengines can be used to drive the wheels of microcombination locks. They can also be used in combination with a microtransmission to drive a popup mirror out of a plane. This device is known as a micromirror.

SOME OTHER COMMERCIAL APPLICATIONS INCLUDE:


Inkjet printers, which use piezoelectrics or thermal bubble ejection to deposit ink on paper. Accelerometers in modern cars for a large number of purposes including airbag deployment in collisions. MEMS gyroscopes used in modern cars and other applications to detect yaw; e.g. to deploy a roll over bar or trigger dynamic stability control. Silicon pressure sensors e.g. car tire pressure sensors, and disposable blood pressure sensors. Displays e.g. the DMD chip in a projector based on DLP technology has on its surface several hundred thousand micromirrors. Optical switching technology which is used for switching technology and alignment for data communications. Bio-MEMS applications in medical and health related technologies from Lab-OnChip to MicroTotalAnalysis (biosensor, chemosensor).

SECTION 6

ADVANTAGES OF MEMS

Minimize energy and materials use in manufacturing Cost/performance advantages Improved reproducibility Improved accuracy and reliability Increased selectivity and sensitivity Low interference with environment

COMPARISON CONVENTIONAL MEMS BASED

- 21 -

MEMS Technology

Bulky High power consumption Mechanical wear and tear Highly accurate Expensive

Miniaturised Low power consumption Less moving parts Lower accuracy Low cost

SECTION 7

CURRENT CHALLENGES

MEMS and Nanotechnology is currently used in low- or mediumvolume applications. Some of the obstacles preventing its wider adoption are:

LIMITED OPTIONS
Most companies who wish to explore the potential of MEMS and Nanotechnology have very limited options for prototyping or manufacturing devices, and have no capability or expertise in microfabrication technology. Few companies will build their own fabrication facilities because of the high cost. A mechanism giving smaller organizations responsive and affordable access to MEMS and Nano fabrication is essential.

PACKAGING
The packaging of MEMS devices and systems needs to improve considerably from its current primitive state. MEMS packaging is more challenging than IC packaging due to the diversity of MEMS devices and the requirement that many of these devices be in contact with their environment. Currently almost all MEMS and Nano development efforts must develop a new and specialized package for each new device. Most companies find that packaging is the single most expensive and time consuming task in their overall product development program. As for the components themselves, numerical modeling and simulation tools for MEMS packaging are virtually non-existent. Approaches which allow designers to select from a catalog of existing standardized packages for a new MEMS device without compromising performance would be beneficial.

- 22 -

MEMS Technology

FABRICATION KNOWLEDGE REQUIRED Currently the designer of a MEMS device requires a high level of fabrication knowledge in order to create a successful design. Often the development of even the most mundane MEMS device requires a dedicated research effort to find a suitable process sequence for fabricating it. MEMS device design needs to be separated from the complexities of the process sequence.

SECTION 8 FUTURE DEVELOPMENTS


Each of the three basic microsystems technology processes we have seen, bulk micromachining, sacrificial surface micromachining, and micromolding/LIGA, employs a different set of capital and intellectual resources. MEMS manufacturing firms must choose which specific microsystems manufacturing techniques to invest in. MEMS technology has the potential to change our daily lives as much as the computer has. However, the material needs of the MEMS field are at a preliminary stage. A thorough understanding of the properties of existing MEMS materials is just as important as the development of new MEMS materials. Future MEMS applications will be driven by processes enabling greater functionality through higher levels of electronic-mechanical integration and greater numbers of mechanical components working alone or together to enable a complex action. Future MEMS products will demand higher levels of electrical-mechanical integration and more intimate interaction with the physical world. The high up-front investment costs for large-volume commercialization of MEMS will likely limit the initial involvement to larger companies in the IC industry. Advancing from their success as sensors, MEMS products will be embedded in larger non-MEMS systems, such as printers, automobiles, and biomedical diagnostic equipment, and will enable new and improved systems.

SECTION 9 CONCLUSION

- 23 -

MEMS Technology

The automotive industry, motivated by the need for more efficient safety systems and the desire for enhanced performance, is the largest consumer of MEMSbased technology. In addition to accelerometers and gyroscopes, micro-sized tire pressure systems are now standard issues in new vehicles, putting MEMS pressure sensors in high demand. Such micro-sized pressure sensors can be used by physicians and surgeons in a telemetry system to measure blood pressure, allowing early detection of hypertension and restenosis. Alternatively, the detection of bio molecules can benefit most from MEMS-based biosensors. Medical applications include the detection of DNA sequences and metabolites. MEMS biosensors can also monitor several chemicals simultaneously, making them perfect for detecting toxins in the environment. Lastly, the dynamic range of MEMS based silicon ultrasonic sensors have many advantages over existing piezoelectric sensors in non-destructive evaluation, proximity sensing and gas flow measurement. Silicon ultrasonic sensors are also very effective immersion sensors and provide improved performance in the areas of medical imaging and liquid level detection.

The medical, wireless technology, biotechnology, computer, automotive and aerospace industries are only a few that will benefit greatly from MEMS. This enabling technology allowing the development of smart products, augmenting the computational ability of microelectronics with the perception and control capabilities of microsensors and microactuators and expanding the space of possible designs and applications.

MEMS devices are manufactured for unprecedented levels of functionality, reliability, and sophistication can be placed on a small silicon chip at a relatively low cost.

MEMS promises to revolutionize nearly every product category by bringing together silicon-based microelectronics with micromachining technology, making possible the realization of complete systems-on-a-chip.

MEMS will be the indispensable factor for advancing technology in the 21st century and it promises to create entirely new categories of products.

SECTION 10

REFERENCES
- 24 -

MEMS Technology

Online Resources

IEEE Explore http://ieeexplore.ieee.org/Xplore/DynWel.jsp Introduction to Microengineering http://www.dbanks.demon.co.uk/ueng/ MEMS Clearinghouse http://www.memsnet.org/

Journals Journal of Microelectromechanical Systems (JMEMS) MEMS : Introduction and Fundamentals ( Mohammed Gad-el-Hak)

- 25 -

ME MS Technology

- 26 -

You might also like