You are on page 1of 368

4

1. AZ IRNYTRENDSZEREK FEJLDSE
Az irnytstechnika, ezen bell a szablyozstechnika s vezrlstechnika fogalmait nemzetkzi (angol s francia), orszgonknt sajt nyelv terminolgiai szabvny (Magyarorszgon az MSZ 18450) rgzti. Az nmkd irnyts az irnytsnak (amely egy folyamatot elindt, fenntart, megvltoztat vagy megllt) az a mdja, amikor valamennyi irnytsi rszmvelet kezeli beavatkozs nlkl megy vgbe. Az irnytsi mvelet a kvetkez rszmveletekbl ll: rzkels, tletalkots, rendelkezs, beavatkozs. Az elem irnytstechnikai szempontbl tovbb nem bonthat szerkezeti rsz. Elemnek tekinthet pldul egy hmrsklet-rzkel vagy az S kapu stb. Az elem egy vagy tbb alkatrszbl llhat. Szervnek nevezzk az irnytrendszer irnytsi rszfeladatt nllan ellt szerkezeti egysgt. A szerv egy vagy tbb elembl llhat. Az irnytsi hatslncokat rendszerint szervek alkotjk. Ilyenek az rzkel-, klnbsgkpz, vgrehajt, beavatkoz- s a logikai dntst vgz szerv (1.1. bra) [1].

1.1. bra. A vezrls (a) s a szablyozs (b) hatslnca


P belltprogram vagy vezetjel; A alapjel; E ellenrz jel; R rendelkezjel; V vgrehajt jel; B beavatkozjel; Z zavarjel; MJ mdostott jellemz; VJ vezrelt jellemz; SZJ szablyozott jellemz; 1irnytott szakasz (a vezrelt, b szablyozott); 2 rzkel vagy tvad; 5 klnbsgkpz; 6 erst, jelforml; 7 vgrehajt; 8 beavatkoz; 15 parancsad; 16 logikai jelfeldolgoz; 30 vezrl; 31 szablyoz

A kszlk egy vagy tbb szervbl ll, szerkezetileg krlhatrolt, tbbnyire a maga egszben kicserlhet egysg, amelynek nll technolgiai vagy irnytsi feladata van. Kszlk pldul egy kompakt hmrsklet-szablyoz vagy a hzi vzellt szivatty vezrlje. Az irnytott rendszer az irnytstl fggetlenl meglv mszaki ltestmny, berendezs, gp stb., amely az irnyts trgyt kpezi. Az irnytrendszer (irnytsi rendszer) mindazon szervek s kszlkek sszessge, amelyek egyttmkdse rvn az irnytott rendszer irnytsa megvalsul. A technolgiai folyamatok irnytsa annak jellegtl fggen ktfle mdon lehetsges: vezrlssel, ill. szablyozssal. A ktfle irnytsi md a hatslnc felptsben klnbzik. A szablyozs hatslnca zrt, teht a szablyozott jellemz kvnt rtke az irnytott szakasztl visszacsatolssal valsul meg. Erre utal a szablyozs angol neve: zrt hurk irnyts, closed loop control. 1

Szablyozs esetn a rendelkezjel a szablyozott jellemztl fggen jn ltre. A zrt szablyozsi kr elnys tulajdonsga, hogy alkalmas a tervezskor nem ismert zavar hatsok kikszblsre. Htrnya a visszacsatolsbl szrmazik: bizonyos felttelek esetn a rendszer instabill vlik. A vezrls hatslnca nyitott, azaz nem tartalmaz visszacsatolst a vezrelt szakaszrl, gy a rendelkezjel a vezrelt jellemztl fggetlenl jn ltre. A vezrls teht nylt hatslnc irnyts, open loop control. A nylt hatslnc vezrls csak a rendszer tervezsekor ismert zavarjeleket kpes kikszblni, strukturlisan mindig stabil mkds. A szablyozsi, ill. vezrlsi mvelet megklnbztetse nem mindig egyszer. Egy gyakori tves szemllet szerint a szablyozst az analg jelfeldolgozssal, a vezrlst a digitlis jelfeldolgozssal, ill. logikai dntssel azonostjk. A szablyozs egyarnt megvalsthat analg, ill. digitlis jelfeldolgozsban. Utbbi esetben a klnbsgkpzs logikai mvelet rvn valsul meg. A szablyozs sajtos esete a minst szablyoz (fuzzy nem pontosan meghatrozott), amelynl a dnts a hagyomnyos rtelemben vett klnbsgkpzs nlkl, logikai ton jn ltre. Ugyancsak hibs kvetkeztetsre vezethet a vezrlsi mveletnek a digitlis (ill. ktllapot) jelekhez rendelse. Erre plda a villamos motor potenciomterrel trtn fordulatszm-belltsa, azaz vezrlse. A vezrlsi, ill. szablyozsi mvelet hibs megtlshez vezethet, ha a kt mveletet egytt alkalmazzk. J plda a hatrrtk-kapcsolval kombinlt szablyozsi mvelet, ahol a hatrrtk-kapcsol vdelmi funkcit lt el s visszahat a rendelkezjelre, de hatsnak kvetkeztben a zrt szablyozsi hurok megszakad. Hibs kvetkeztetsre vezethet, ha a kt funkcit a vgrehajt szerv milyensge alapjn klnbztetjk meg s az analg vgrehajt szervet a szablyozshoz, a ktllapot vgrehajt szervet a vezrlshez rendeljk. Ilyen a hmrsklet-szablyozsnl igen gyakori llsos szablyozs, ill. a helyzetszablyozsnl hasznlatos lekapcsolkrs szablyozs. A ktfle mvelet megtlse szempontjbl azt kell vizsglni, hogy az irnytott jellemz visszacsatols rvn rszt vesz-e a rendelkezjel ltrehozsban, s a zrt szablyozsi kr a mvelet befejezsig fennmarad-e. Ugyancsak vizsglni kell az irnytsi mvelet rendeltets szerinti cljt. Amg korbban a szablyoz-, ill. vezrlkszlkek felptskben, kivitelkben is elklnltek egymstl, addig napjainkban funkcionlisan integrldnak, s gyakorlatilag ugyanazon hardverbzison (mikroszmtgp) kerlnek megvalstsra, gy csak a szoftverekben trnek el. A technolgiai folyamatok jellegktl fggen hrom csoportba sorolhatk: folyamatos, diszkrt s vegyes technolgia. Folyamatos technolgia esetn a folyamat nem oszthat idben ismtld rszfolyamatokra. A folyamatos technolgik irnytsnl a szablyozsi feladatok a meghatrozak. Ilyen technolgiai folyamatokkal tallkozhatunk a vegyipar, az olajipar, a kohszat, a gygyszeripar, az lelmiszeripar stb. terletn. A diszkrt technolgiai folyamatok idben ismtld rszfolyamatokbl llnak, leginkbb a gpiparban tallhatk s irnytsukban a vezrlsi mveletek a meghatrozak. A technolgiai folyamatok, kiss szubjektv felosztsa (folyamatos s diszkrt) egyes rszfolyamatok esetn lehetsges, de minl komplexebb folyamatot vizsglunk, annl inkbb a vegyes jelleg dominl. Amennyiben a technolgiai folyamat irnytsnl a szablyozsi s vezrlsi funkcik idben is tagozdnak, szakaszos (batch ,kteg) technolgirl beszlnk. Az elbbi besorolssal magyarzhat, hogy a gpipari termelsirnytst rendszerint gyrtsirnytsnak, mg a folyamatos technolgik irnytst folyamatirnytsnak nevezik. Az egyes ipargak irnytstechnikai ignyei, a szablyozsi s vezrlsi funkcik arnya, az alkalmazott technolgia veszlyessgi szintje, a technolgiai kszlkek trbeli elhelyezkedse 2

alapjn eltrhetnek egymstl s ugyanazon ipargakon bell nagy hasonlsgot mutathatnak. gy beszlhetnk ermvi irnytsrl, ltestmnyautomatizlsrl, kzlekedsirnytsrl stb. Az irnytrendszerek megjelensk ta igen dinamikusan fejldnek.

1.1. Az irnytsi rendszerek fejldsi szintjei


A kvetelmnyek nagyfok klnbzsge s a technika fejldse kvetkeztben a kis s nagy rendszereket is idertve klnfle megoldsok alakultak ki a technolgiai folyamatok irnytsra. Rendszerezsk mdszere a genercis tulajdonsgoknak, vagyis a rendszerfejlds lpseinek a figyelembevtele. A rendszerek generciba sorolsnak fbb szempontjai elssorban nagy kiterjeds irnytsi rendszerre vonatkoztatva a kvetkezk: a rendszer trbeli tagoltsga; a kommunikci egysgessge s formja; a kszlktechnolgiai tagoltsg vagy integrltsg mrtke; a rszrendszerek egyttmkdsnek lehetsge; a kezelhely fejlettsge. Ebben a felttelrendszerben egy teljes irnytsi rendszernek ma mr t genercijt lehet megklnbztetni. Legjobban Telkes Zoltn foglalta ssze az irnytsi rendszerek genercis tulajdonsgait [1]. Ezen publikci alapjn nmileg tdolgozva s a cikk megjelense ta vgbement fejldst is figyelembe vve mutatjuk be az egyes fejldsi szinteket. Az egyes rendszergenercik megjelense idben egyms utn kvetkezett s a bonyolultsguk is nvekedett. Ez termszetesen nem jelenti azt, hogy a kvetkez generci teljesen kiszortotta az elzt, mivel az egyszerbb feladatokra alacsonyabb genercij rendszer is alkalmas. A genercikra osztsnl eltekintnk a vegyes rendszerektl, vagyis az egy idben, egy megvalstsban eltr rendszer, teht ms-ms generciba tartoz rszrendszer egyms melletti ltezstl. 1.1.1. Els genercis irnytsi rendszerek Az els genercis irnytsi rendszerek az irnytstechnikai elemek s kszlkek megjelensekor alakultak ki. Els genercis rendszertechnikjak az iparban a helyi szint-, nyoms- s hmrsklet-szablyozsok, de ilyen pl. a kzponti fts helyisgek egyedi hmrskletszablyozsa is. A rendszer vzlata az 1.2. brn lthat.

1.2. bra. Els genercis irnytsi rendszer struktrja Az els genercis irnytsi rendszer tulajdonsgai: 3

trbelileg nem tagolt, kzpontilag nem ttekinthet, autonm, helyi, egyedi, kizrlag folyamatkzeli, gyakran egybeptett irnytsokbl tevdik ssze; nincs benne egysges kszlk s jel; az elemek s kszlkek nagyrszt segdenergia nlkl vagy pneumatikus, esetleg villamos segdenergival mkdnek. 1.1.2. Msodik genercis irnytsi rendszerek A msodik genercis irnytsi rendszerek tulajdonsgai: trbelileg tagolt, kzpontilag megfigyelhet, autonm, egymssal kapcsolatban nem lv irnytsokbl tevdnek ssze; egysges kszlkek s egysges jelek, a szablyozsi krben analg (pl. 05 mA), vezrls esetn fknt ktllapot, pneumatikus vagy villamos jelek vannak; a rendszerelemek nagyrszt villamos vagy pneumatikus segdenergival mkdnek; az egysges jelek s mretek miatt a rendszerelemek csereszabatosak; ptkockaelven plnek fel, gy a klnbz gyrtmnyok illeszkednek a rendszerbe; megjelenik a kzponti informcikezels s a mrt rtkek regisztrlsnak ignye. A msodik genercis irnytsi rendszer struktrjt az 1.3. bra mutatja. 1.3. bra. A msodik genercis irnytsi rendszer struktrja

1.1.3. Harmadik genercis irnytsi rendszerek A harmadik genercis irnytsi rendszerek ltrejttt a folyamatirnyt szmtgpek megjelense vltotta ki. Ettl kezdden vltak elterjedtt az analg/digitlis (ADC), ill. digitlis/analg (DAC) jeltalaktk. A szmtgpek alkalmazsnak alapvet clja a kiterjedt technolgiai folyamat mkdsnek sszehangolsa volt. Ez azt jelenti, hogy egy technolgia optimlis vagy optimumhoz kzeli mkdtetse sorn a klnbz fizikai jellemzk (pl. nyoms, hmrsklet) elrt nagysga nem llthat nknyesen, egymstl teljesen fggetlenl. A szmtgpet irnytsi clbl mg egy genercin bell is tbb fejldsi fzison keresztl akalmazzk. Az els idkben a szmtgp pusztn felgyeleti s tancsadi funkcikat ltott el. Ezek a rendszerek kzvetlenl (A/D konvertereken keresztl) fogadjk a technolgia fell szrmaz 4

mrsi adatokat, ill. a technolgia ktllapot jelzseit (jelzsfogad krtykon). A szmtgp a kezel szmra megjelenti a technolgia adatait, s belltsi tancsokkal szolgl az zemeltetshez. Ezeket a tancsokat a kezel vagy figyelembe veszi, vagy figyelmen kvl hagyja, azaz a dnts felelssge a kezel marad. A szmtgp semmilyen formban kzvetlenl nem avatkozik be az irnytand folyamatba. A fejlds kvetkez fzisa az volt, amikor a szmtgp belltsi tancsai (immr parancsai) kzvetlenl, kezeli kzremkds nlkl (D/A konvertereken s jelzskimeneti krtykon keresztl) eljutottak a technolgiai folyamathoz. Az ilyen rendszer mkdsre az is jellemz, hogy ezek a beavatkozsi parancsok szablyozsok esetben a hagyomnyos analg szablyozsok (optimlis) alapjeleit jelentettk. A tnyleges szablyozsi funkcikat a hagyomnyos analg szablyoz ltta el. A ktllapot kimenetek nem kzvetlen vezrlsi clokat szolgltak (az elgtelen ciklusid s a kis megbzhatsg miatt), hanem zemmd-kijelzsi, ill. a kls vezrlk mkdtetshez szksges parancsokat jelentettk (mintegy a kezel helyett nyomtak meg bizonyos, a vezrlst befolysol nyomgombokat). Ezt a rendszertechnikai kialaktst alapjelllt irnytsnak (Set Point Control, SPC) is nevezik, ami napjainkban is alkalmazott elv, csak korszerbb eszkzhttren alapul. A megolds elnye, hogy a szmtgp kiesse esetn a meglv szablyozk tovbbra is biztostottk az alapvet irnytst, ha egy automatikus tkapcsoln keresztl tartalk alapjeleket juttatunk el a bemenetkre (amelyek vltoz munkapontokban messze nem biztostjk az optimumhoz kzeli mkdst). A fejlds kvetkez lpse a kzvetlen szablyozsi cl beavatkozs volt. Ezeket a rendszereket kzvetlen digitlis irnytsnak (Direct Digital Control, DDC) nevezik. Norml esetben a szmtgp szmtja ki a beavatkozszervek mkdtetsi parancsait (pl. szelepek nyitsi pozciit), s D/A konvertereken keresztl juttatja el a folyamat beavatkozszerveihez. Ez azt is jelenti, hogy a klasszikus rtelemben vett szablyozfunkcit egy szmtgpes algoritmus valstja meg. gy a szablyoz algoritmusa mr nem felttlenl az egyszer PID kompenzltagok beavatkozsi stratgijt kveti, hanem a fejlettebb intelligencit kihasznl (hagyomnyos analg eszkzbzison mr nem megoldhat) mdszerek is alkalmazhatk. Ez a lehetsg teremtette meg a mintavteles szablyozsok szablyozselmleti mdszereinek fejlesztst. A szmtgp meghibsodsa esetn azt a gyakorlatot kvettk, hogy tartalk analg szablyozk vettk t a szmtgp szerept. Nyilvnval, hogy ezen zemmdban mr sem az alapjelek kpzse, sem az irnytsi algoritmusok lekpezse nem lehet egyenrtk a szmtgpes zem lehetsgeivel. Ezrt nem volt ritka az a rendszertechnikai kialakts sem, amikor tartalk szmtgp jelentette a biztonsgi htteret. A rendszertechnikai elvek alkalmazst az 1.4. bra mutatja be. Az irnytand folyamatbl szrmaz analg s ktllapot informcik kzvetlenl a szmtgp bemenetre kerlnek. A szablyozsi funkcikat norml esetben a szmtgp ltja el (DDC), mg meghibsods esetn a tartalkknt funkcionl analg szablyoz veszi t a szerepet. A vezrlsi feladatokat kls vezrlegysg ltja el normlis mkds esetn is, a szmtgp legfeljebb kezeli beavatkozsokat vlt ki. Meghibsods esetn, a kezelnek kell ezeket a beavatkozsi parancsokat a kezelpulttal ellltani. A technolgia llapotnak megjelentse a szmtgphez kapcsolt terminlokon, de mg hagyomnyos smatblkon is (a szmtgptl fggetlenl) lehetsges volt.

1.4. bra. A harmadik genercis irnytsi rendszer struktrja A harmadik genercis irnytsi rendszerek jellemzi: trbelileg tagolt, kzpontilag megfigyelhet, autonm, egymssal kapcsolatban nem lv, de kzponti irnytberendezs (digitlis szmtgp) segtsgvel, specilis kommunikcival koordinlhat szablyozsi krkbl, illetve vezrlsekbl tevdnek ssze; egysges kszlkek (modulok) s fknt egysges analg pneumatikus vagy villamos jelek vannak (pl. 420 mA); a kszlkek fknt villamos (esetleg pneumatikus) segdenergival mkdnek s egy rszk alkalmas a kzponti irnytberendezssel val kommunikcira; az egysges jelek s rendszerint az egysges modulok kvetkeztben az elemek csereszabatosak; ptkockaelven plnek fel, a klnbz gyrtmnyok illeszthetk a rendszerbe; megjelenik a kzponti adatgyjts, az ember-gp kapcsolatot megvalst kezelpult, illetve smatbla; biztonsgi clt szolgl a kzi/automata tkapcsolval vlthat httreszkzk (szablyoz, vezrl) meglte. Ide sorolhat minden olyan irnyts, amelynek egyedi szablyozsi s vezrlsi krei vannak, de ezek kzpontilag koordinlhatk a klnbz tpus s felpts szmtgppel. A digitlis (intelligens) kompakt szablyozk s az egyedi PLC-k (programozhat logikai vezrlk, lsd az 1.4. alfejezetben) is lehetnek e rendszer vagy generci rszei. Mivel a jelenleg mkd irnytrendszerek a harmadik genercis rendszertechnikt is hasznljk s ennek modulris elve alkalmas a teljes rendszer valamennyi rszfeladatnak bemutatsra, ez a generci a kszlkek (elemek, szervek) ltalnos rendszerezsnek is alapja. 1.1.4. Negyedik genercis irnytsi rendszerek Az elz rendszertechnikai kialaktsban egyetlen centralizlt szmtgp biztostja az irnytsi, adatfeldolgozsi s megjelentsi feladatokat, ami a kvetkez htrnyokkal jr: 6

a szmtgp meghibsodsa a teljes technolgia esetn a tartalkirnytsra val ttrst ignyel. A tartalkirnyts egyedi eszkzi megvalstsa kltsgignyes, s az esetek tbbsgben nem is biztostja az elvrt mutatkat; a szmtgpnek sokfle (irnytsi, adatfeldolgozsi, megjelentsi) feladatot kell elltnia, gy a programrendszer tlsgosan szertegaz, nehezen tipizlhat. ltalban egy szmtgp hardverteljestmnye csak kompromisszumok rn elegend a sokfle feladat elltshoz; a technolgibl szrmaz s a technolgia fel juttatand jeleket esetenknt nagy tvolsgra kell tovbbtani, gy a kbelezsi kltsg igen tekintlyes. Alapveten a felsorolt okok miatt alaktottk ki a decentralizlt folyamatirnyt rendszereket, ahol a felmerl feladatokat egymssal kommunikcis kapcsolatban lv szmtgpek oldjk meg, amelyek valamilyen logikai hierarchiba vannak szervezve. Egy-egy szmtgp feladata viszonylag jl krlhatrolhat. A technolgia kzvetlen krnyezetbe teleptett szmtgpek, az n. folyamatllomsok, amelyek egy-egy rsztechnolgia kzvetlen irnytst ltjk el. A technolgibl rkez adatokat a rendszer adatbzisba is tovbbtani kell, hogy az adatok tovbbfeldolgozsa, kirtkelse, kezeli megjelentse, archivlsa lehetsges legyen. Az irnytsi feladatok elltshoz az esetek tbbsgben az adatbzis olyan elemeinek elrse szksges, amelyeket ms szmtgpek lltanak el. Megfelel szoftverrel biztosthat, hogy mg a kommunikcis kapcsolat megsznse esetn is ellssa a folyamatlloms az alapvet (szktett) irnytsi funkcikat. A folyamatllomsok kialaktsa robusztus, az ipari krnyezet kros hatsainak ellenll. Jellemz, hogy mozg alkatrszeket tartalmaz egysgeket (pl. diszklemezeket) nem teleptenek. A kezeli kijelzk s beavatkozk (pl. billentyzet) is specilisak. A fejlds eredmnyeknt a rendszerekben ezt a funkcit igen gyakran PLC-k ltjk el. A decentralizlt rendszerekben megtallhatk azok a szmtgpek is, amelyek az adatok tovbbfeldolgozst s az adatok kezeli megjelentst vgzik. Ezek a szmtgpek mr a megszokott elemeket tartalmazzk. A decentralizlt rendszerekben kulcsfontossg a berendezsek kztti kommunikci, ami korbban az RS 232 vonalak alkalmazst jelentette. Ez mind az tviteli sebessg, mind a pont-pont kapcsolat miatt komoly korltoz tnyez, s a rendszerek szk keresztmetszett okozta. A nagy sebessg soros buszok megjelense nagymrtkben javtotta a decentralizlt rendszerek informcitviteli lehetsgeit s a kommunikci biztonsgt mg egy-egy egysg meghibsodsa esetn is. A negyedik genercis irnytsi rendszer struktrjt az 1.5. bra mutatja. A decentralizlt rendszerek fejldsnek klnleges vonulata az elosztott rendszerek (Distributed Control System, DCS) kialakulsa. E rendszer sajtossga a beptett redundancia. Mind a kommunikci, mind a jelfogads s jelfeldolgozs elemeit redundns mdon alaktjk ki kln igny nlkl is. A DCS-rendszerek szoftverkomponensei automatikusan kpesek meghibsods esetn ttrni a mg mkd eszkzkre nhny ms id alatt, gyakorlatilag adatveszts nlkl. Termszetesen az gy megnvelt biztonsg eszkzk ra is tkrzi a beptett lehetsgeket, ezrt csak olyan technolgik esetn alkalmazzk irnytsra, ahol a technolgia zemeltetse (pontosabban az irnyts hinya) nagy kockzatot jelent. Napjainkban is ltalnos a decentralizlt rendszerek teleptse, de nemcsak DCS-bzison. Itt a beptett tartalkok, az ttrs stratgija egyedi rendszertervezsi krds. A nem DCSbzis rendszerek (pl. PLC-k s ipari PC-k) elterjedse a lnyegesen kedvezbb rral magyarzhat.

Ezt a rendszert tartjk a DCS-ek els genercijnak is. Az elosztott folyamatirnyt rendszerek a hetvenes vek elejtl folyamatosan fejldtek s ma mr egyes ipargakban az irnytsok kzel felt alkotjk. E rendszerek kivlasztsa, ltestse s zemeltetse igen alapos munkt ignyel s csak meghatrozott mret fltt gazdasgos. A velk prhuzamosan, eredetileg egyedi PLC-kszlkekbl kifejldtt PLC-rendszerek ma mr kzel egyenrtk megoldst jelentenek. A kett kztti vlasztst gazdasgi krdsek hatrozzk meg. Ezen a terleten a PLC-rendszerek elnysebbnek tnnek. 1.5. bra. A negyedik genercis irnytsi rendszer struktrja A negyedik genercis irnytsi rendszer jellemzi:

trbelileg tagolt, a kzponti irnytteremben elhelyezked rsze egysges, integrlt irnytberendezs; digitlis mkds s a bels kommunikci is digitlis, a folyamatkzeli kszlkek analg mkdsek; egyedi digitlis kszlkek s digitlis bels busz (ami a kzponti feldolgozegysg(ek) prhuzamos snrendszert jelenti, pl. VME, MULTIBUS), a kzponti irnytberendezs s a folyamatkzeli elemek (folyamatperifrik) kztt analg (pneumatikus vagy villamos) egysges jelek vannak; a kzponti kszlkek digitlis elvek, a folyamatperifrik villamos (esetleg pneumatikus) segdenergival mkdnek s egy rszk alkalmas a kzponti irnytberendezssel val kommunikcira; 8

a kzponti rsz egyedi rendszer, ms rendszerrel ltalban nem kombinlhat, a folyamatkzeli elemek csereszabatosak; a rendszer ezen rsze ptkockaelven pl fel, az egysges analg jelek s rendszerint az egysges mret kszlkek kvetkeztben a klnbz gyrtmnyok illeszkednek a rendszerbe; kln sajtossg a nagy megbzhatsg, a vals idej adatfeldolgozs (az osztott erforrs s adatbzis-kezels, valamint a redundns hardverek s szoftverek miatt). 1.1.5. tdik genercis irnytsi rendszerek Az tdik genercis rendszerek kialakulst az intelligens (SMART) tvadk, valamint az intelligens beavatkozk s a terepi buszok megjelense vltotta ki. Ezek az eszkzk egy a nagy hlzatoktl (pl. ETHERNET) eltr kommunikcis csatornn a feladat jelleghez igazod protokoll szerint kezelhetk. A kommunikcis vonalra (szoksos szhasznlat szerint fieldbuszra) korltozott szm intelligens eszkz fzhet fel. Ez a technika tovbbi kbelezsi kltsgcskkentst jelent, mg a technolgia kzelbe teleptett (pl. kihelyezett I/O) eszkzkhz kpest is. Az intelligens eszkzk minsgileg j szolgltatsokra kpesek, pl. a SMART tvadk aktulis mrshatra programozhat, ill. a mrnki egysgre szmtott adatok lekrdezhetk. Az adatbzis trolt konstansai a tnyleges llapot szerint gpileg bellthatk, gy az egyik leggyakoribb adatbzis-hibaforrs kszblhet ki. Az intelligens eszkzk mellett ltalnoss vlt, hogy a folyamatirnyt rendszerek szerves rszt kpezik a PLC-berendezsek mg a DCS-rendszerek tbbsgben is. Ezek ugyancsak egy kommunikcis vonalon kapcsoldnak az irnytrendszer folyamatllomsaihoz. A PLC-k kommunikcis vonalai s protokolljai (pl. MODBUS, MODBUS PLUS, SINEC-L, SINEC-H, PROFIBUS) klnbznek a szmtgpek kztt szoksos kommunikcis protokolloktl, de klnbznek az intelligens eszkzk protokolljaitl is. A PLC-k csak egy-egy csoportja alkalmas a nemzetkzi ajnlsok szerinti kommunikcira (pl. PROFIBUS), ms gyrtmnyok csak a gyrtspecifikus protokollok szerinti kommunikcit tmogatjk. Megfigyelhet az a tendencia, hogy a folyamatllomsoknl egyre kevesebb vonal csatlakozik a hagyomnyos A/D s D/A konvertereken keresztl, viszont egyre inkbb nvekszik a kommunikcis vonalakon keresztl csatlakoztatott csatornk szma s ltalnoss vlik az rzkelk-beavatkozk buszrendszer kezelse (pl. ASI-busz). Az tdik genercis irnytrendszer tulajdonsgai: trbelileg tagolt, a kzponti irnytteremben elhelyezked rsze egysges, de funkcija talakul s mrete cskken. Az integrlt kzponti irnytberendezs digitlis mkds, a bels s a terepi kommunikci is digitlis; egyedi digitlis kszlkek s digitlis bels busz (sn), a kzponti irnytberendezs s a folyamatkzeli elemek (folyamatperifrik) kztt digitlis egysges terepbuszjelek vannak; a kszlkek csak villamos segdenergival mkdnek, digitlis elvek, jeleik digitlisak s a jelilleszkedsen tl alkalmasak a kzponti irnytberendezssel val ktirny kommunikcira; a kzponti rsz egyre kevsb egyedi, inkbb csereszabatos ipari szmtgp; a folyamatkzeli elemek csereszabatosak, a rendszernek ez a rsze ptkockaelv. Az esetenknt egysges digitlis jelek miatt a klnbz gyrtmnyok egy rsze illeszkedik a rendszerbe; kommunikcis lehetsget biztost az internetre, gy bizonyos funkcii teljes mrtkben nyitott vlnak a vilg brmely pontjrl; az rzkel- s beavatkozszervek egyre intelligensebbek, ezrt adatfeldolgozsi mveletek vgrehajtsra s hlzati kommunikcira alkalmasak;

az intelligens tvadk s beavatkozk, valamint a terepi buszok rvn az adatfeldolgozs osztott jellege kiteljesedik, hiszen pl. a szablyozsi funkci az intelligens beavatkozn realizldik, teht a korbbi terepi, ill. kzponti funkci megsznik. Az tdik genercis rendszerek kialaktsi sajtossgait az 1.6. bra szemllteti.

1.6. bra. Az tdik genercis irnytsi rendszer struktrja Az tdik genercis rendszer jellegzetessge, hogy analg jelek mr a folyamatperifriknl sem fordulnak el. E rendszert a DCS-ek msodik genercijnak is nevezik. Napjainkban az egyedi hardvert ignyl kzponti rszt egyre inkbb a szemlyi szmtgpek hardvere vltja fel. Egy Siemens gyrtmny tdik genercis rendszer felptst mutatja az 1.7. bra [12]. A szerzk egyik clkitzse az bra szerinti bonyolultsg s tbbnyire angol nyelven megadott rendszer funkcionlis megrtse a knxv ttanulmnyozsa utn.

1.2. Vezrls
A diszkrt technolgiai folyamatok tbbnyire ktrtk llapotvltozsokat tartalmaznak. Az ilyen diszkrt folyamatok vezrlssel automatizlhatk. A vezrls hatslnct az MSZ 18450/3 definilja (1sd az 1.1a brt). A vezrlberendezs sszefoglal neve mindazon szerveknek, amelyek hatnak a vezrelt berendezsre (szakaszra). A vezrlberendezs rszei az rzkel- s/vagy parancsad szervek, a jelformlk, a logikai dntst vgz s a beavatkozszerv, valamint az esetleges jeltalaktk. A beavatkoz, amely rendszerint magban foglalja a vgrehajt szervet s a beavatkozszervet, szerkezetileg legtbbszr a vezrelt berendezshez tartoz, de funkcionlisan a vezrlberendezs rszeknt szmon tartott szerv. Br a vezrlsi folyamatban esetlegesen nem vesznek kzvetlenl rszt, de a vezrlberendezs rszt kpezik az ember-gp kapcsolatot biztost kijelz- s regisztrlkszlkek, kezelelemek is.

10

7. bra. Az tdik genercis Siemens irnytsi rendszer A vezrlkszlk a vezrlsi algoritmust valstja meg. A vezrlsi algoritmus olyan logikai sszefggsrendszer, amely a kvnt beavatkozjeleket lltja el a folyamatot jellemz vltozk mrt rtkeibl s/vagy a vezrlst befolysol felttelekbl. A vezrlsi algoritmus kidolgozsi mdszernek ismertetse a knyv egyik clkitzse. A vezrls bonyolultsgt az adja, hogy a vezrelt berendezsre (szakaszra) rendszerint tbb mdostott jellemz hat s a rendelkezjel is nagyszm lehet. A vezrlseket megvalst mdszereket a vezrlstechnika foglalja ssze. A vezrlstechnika magban foglalja a vezrls eszkzeinek, a vezrls algoritmusainak a trgyalst s a vezrlsi feladat megoldsnak tervezsi mdszereit. A vezrlsi feladatok alapveten kt csoportra oszthatk, kombincis tpus, ill. sorrendi tpus vezrlsre. Kombincis tpus vezrls esetn a kimenjelek (rendelkezjelek) csak a bemenjelektl fggenek, ms szval ugyanazon bemeneti kombincihoz mindig ugyanaz a kimeneti esemny tartozik. Ilyenek pldul a kapuramkrk, kdol, dekdol, multiplexer, demultiplexer ramkrk. A kombincis tpus vezrlsi funkcik az Yi = fi (X1Xn) (1-1) alak idtl fggetlen logikai egyenlettel rhatk le, ahol Yi az i-edik kimeneti fggvny i az iedik kimenethez rendelt idfggetlen logikai kapcsolat s X1Xn a bemeneti vltozk. Sorrendi (szekvencilis) tpus vezrls esetn a kimeneti esemnyt egyrszt a bemeneti jelek kombincii, msrszt a kombincik sorrendje egytt hatrozzk meg. Eszerint sorrendi hlzat esetn ugyanazon bemeneti kombincihoz ms-ms kimeneti esemny tartozhat. A sorrendi tpus vezrlsi funkcik a Zi = fi (X1Xn, q1qm) (1-2) tpus logikai fggvnnyel rhatk le, ahol Zi az i-edik kimeneti fggvnyt, i a hozz tartoz logikai kapcsolatot, X1Xn a bemeneti vltozkat, q1qm a bels visszacsatols rvn megvalstott memriaelemeket jelenti. Tipikus sorrendi hlzatok, pl. a regiszterek, flipflopok, a rel ntart kapcsolsa, szmllk, memrik. A vezrlsek kapcsn kln kell szlni a vdelmi funkcikrl s a karbantartsi zemmdrl. Brmely ipari irnytsi rendszerben a legmagasabb prioritsi szinten a vsz- s vdelmi feladatok elltsrl kln kell gondoskodni. A vsz- s vdelmi feladat clja az let-, ill. vagyonvdelem. 11

Vdelem szksges, ha valamely folyamat veszlyes rtkeket kzelt meg, s amely rtk elrst akr az irnytott berendezs lelltsa rn is meg kell akadlyozni. Ilyen, pl. a tlnyoms vagy a tlramvdelem. Reteszels esetn valamely fontos felttel nem teljesl, s emiatt lelltand, vagy nem indthat az irnytott berendezs. Ilyen, pl. ha a vdrcs nincs a helyn, a htszivatty nem mkdik vagy nincs tpleveg. A vezrlsi algoritmusban a vdelem a legmagasabb priorits, azt a reteszels kveti. A legfontosabb vdelmi s reteszelsi funkcikat kln hardver vagy szerkezeti kialakts rvn kell biztostani. Ilyen pldul, amikor a tlramrel megszaktja a tpelltst. A reteszelsi feladatok egy rsze szoftveresen is megoldhat, de ennek az a felttele, hogy amennyiben a vezrlkszlk nem mkdik, a felttelek automatikusan teljesljenek. Pldul, ha nem mkdik a vezrlkszlk, akkor nem ad ki vezrljelet a motornak. Ha a motor nem kap vezrlst, akkor ll. Ha a motor ll, akkor nem veszlyes, hogy nincs rajta vdrcs. Az igen nagy rtk berendezseknl ezeket a feladatokat n. monitoringrendszer (figyelrendszer) ltja el. Az sszetett ipari vezrlsek msik jellegzetes feladata az n. karbantartsi zemmd biztostsa. Ilyen esetben a rendszert le kell lltani, majd javts utn jraindtani. Ez a fajta lellts jelentsen klnbzik a vszlelltsoktl, mivel itt az anyag- s energiatakarkossg is fontos szempont. Technolgiai okok miatt az egyes rendszerek lelltsa s jraindtsa csak kttt sorrendben trtnhet (gondoljunk a szlltszalag vezrlsre, ahol ennek be nem tartsa komoly mszaki, gazdasgi kvetkezmnyekkel jrhat), tovbb az egyes vszrendszerek csak fokozatosan rhetik el zemi llapotukat (pl. nagy teljestmny motor). 1.2.1. A vezrlberendezsek fejldsnek ttekintse A szablyoz-, ill. vezrlberendezsek korbban szerkezeti kialaktsukban igen eltrek voltak. Ez a klnbsg fknt a rendelkezjel ellltsnak klnbzsgbl (klnbsgkpzs, ill. logikai mvelet) eredt. A vezrlberendezsek fejldst kezdetben az elektromechanikai eszkzk, ksbb az elektronikai, mikroelektronikai eszkzk s technolgik, napjainkban az elzeken tl az informatikai eszkzk s technolgik hatrozzk meg. Az els igen szles krben elterjedt vezrlberendezs-tpus az elektromechanikus vezrls volt, amelynek univerzlis eleme a rel. Az elektromechanikus (rels) vezrlsek fbb jellemzi: huzalozott, fix vezrlsi funkcit valstanak meg; mozg alkatrszt tartalmaznak, amelyek rendszeres karbantartst ignyelnek s lettartamuk ersen korltozott; igen nagy elnyk a vezrlrsz (tekercs) s a kapcsolrsz galvanikus sztvlasztsa, amelynek rvn egyen- s vltakoz ram hlzatban egyarnt hasznlhatk; a rel univerzlis eszkz, ezrt segtsgvel a kombincis s sorrendi funkcik egyarnt megvalsthatk. Br a rels vezrlsek napjainkra teljesen kiszorultak, csak korbbi teleptsek vannak zemben, a rels szemllet a programozhat vezrlkben a ltradiagramos programozsi nyelvben tovbb l. Idrendben a rels vezrlseket a huzalozott logikj elektronikus vezrlsek kvettk, amelyeknek kt vltozata ltezett: a diszkrt alkatrszekbl felptett dida-tranzisztor logika (diode-transistor logic, DTL), ksbb pedig a tranzisztor-tranzisztor logika (transistor-transistor logic, TTL), ill. a komplemens fm-oxid flvezet elemekre pl (complementary metal-oxide semiconductor, CMOS ) integrlt ramkrkbl felptett rendszerek. A huzalozott logikj elektronikus rendszereknek szmos elnyk van a rels vezrlsekhez kpest (mozg alkatrszt nem tartalmaznak, igen nagy mkdsi sebessggel zemelnek, 12

lettartamuk nagysgrendekkel jobb), de szmos htrnyuk (fix huzalozs, zavarrzkenysg, nagy mret, kommunikcis lehetsg hinya) miatt napjainkra teljesen kiszorultak, esetleg csak rszfunkcik elltsra alkalmazzk. A vezrlberendezsek fejldsben jabb minsgi ugrst a flvezet memrik (ROM, RAM), a nagymrtkben integrlt ramkri technolgia (LSI, VLSI) kidolgozsa s a mikroprocesszorok megjelense jelentett. Ez a vltozs a korbbi vltson (rel-flvezet) tl a hardver vltozst s a hardver univerzalitsnak lehetsgt teremtette meg: a felhasznl a programot fejlesztheti, trhatja s kialakthatja a nagy rendszereket, megjelentheti a folyamatot, archivlhatja az esemnyeket, valamint kialakthatja a rendszerek intelligens kommunikcijt. A mikroprocesszoros rendszereknl ugyanakkor megsznik az a mkds, miszerint a klnbz tpus logikai dntst vgz elemek csak egyetlen funkcit hajtanak vgre (pl. S kapu, NAND kapu, dekdol). A mikroprocesszor aritmetikai-logikai egysge (ALU) tbb tzezer mveletet kpes vgrehajtani a rel ms nagysgrend megszlalsi ideje alatt. Ez a lehetsg vezetett a programozhat vezrlk kialakulshoz. 1.2.2. Programozhat vezrlk A programozhat vezrlk az 1970-es vektl kezdden terjedtek el s ma csaknem kizrlagos alkalmazst nyertek az ipari folyamatok vezrlsben. A programozhat vezrlberendezsek, a vezrlsi (esetleg szablyozsi) funkcikat szoftver tjn valstjk meg s beviteli, kiviteli egysgeik rvn a technolgiai folyamatok trolt program vezrlsre kzvetlenl alkalmasak. A programozhat vezrlk szoksos elnevezsei: PC, Programmable Controller (programozhat vezrl, UK); PLC, Programmable Logic Controller (programozhat logikai vezrl, USA); PBS, Programmable Binary System (programozhat binris rendszerek, svd); SPS, Speicherprogrammierbare Steuerung (trolt program vezrls, nmet); PV, programozhat vezrl; PLV, programozhat logikai vezrl (magyar). Mivel szakmai krkben leginkbb a PLC elnevezs terjedt el, ezrt a tovbbiakban ez a knyv is ezt a rvidtst hasznlja. 1.2.2.1. PLC-trtnelem 1968-ban a General Motors cg plyzatot hirdetett olyan programozhat vezrlberendezs fejlesztsre, amely tvzi a rels, a flvezets s a szmtgpes vezrls elnyeit. A plyzat specifikcijban az albbi szempontok szerepeltek: egyszer, modulris felpts, kis mret; mozg alkatrszt ne tartalmazzon; galvanikusan levlasztott bemeneti/kimeneti fokozatok (24 Vdc-tl 240 Vac); knny programozhatsg s jraprogramozs; vals idej mkds max. 0,1 s vlaszidvel; nagy megbzhatsg, minimlis karbantarts; versenykpes r. A plyzatra a Modicon, ill. Allen-Bradley cgek plyztak, amelyek ma is vezet cgek a PLC-k piacn. A PLC-k fejldsnek fbb llomsait a kezdeti idszakban az 1.1. tblzat mutatja [10]. 1.1. tblzat. A PLC-k fejldsnek fbb llomsai a kezdeti idszakban v A fejlds jellemzi 13

1968 A PLC-koncepci kidolgozsa a General Motors felhvsra 1969 Az els Modicon PLC megjelense huzalozott CPU-val, 1 K memrival s 128 I/O-val 1971 A PLC els alkalmazsa az autiparban 1973 Az els intelligens (smart) PLC megjelense aritmetikai funkcival, nyomtatvezrlssel, mtrixmveletekkel, kpernykijelzssel 1974 Az els tbbprocesszoros PLC gyrtsa idzt- s szmllfunkcival, 12 K memrival s 1024 I/O-val 1975 Az els PID algoritmussal elltott PLC kibocstsa 1976 A tvoli modulkezels (remote control) kidolgozsa s a hierarchikus konfigurci bevezetse az integrlt gyrtrendszerben 1977 A mikroprocesszor bzis PLC bevezetse 1980 Intelligens kommunikcis modulok kifejlesztse, valamint a nagy sebessg, nagy pontossg pozcionl interfsz kifejlesztse 1981 A Data Highway kommunikci alkalmazsa, 16 bites mikroprocesszor bzis PLC sznes monitorral 1983 Olcs mini PLC-k megjelense 1985 PLC hlzatok kifejlesztse A mai PLC-ket, kivitelk alapjn kompakt s modulris felpts csoportba sorolhatjuk. A kompakt PLC jellemzje, hogy hardverstruktrja nem mdosthat, kizrlag megfelel vdettsg ipari tokozsban kszl s kis helyigny. Felhasznlsi terletei a sorozatban gyrtott gpek, berendezsek automatiki, illetve a PLC mszaki jellemzi ltal lefedhet egyedi vezrlsek. A kompakt PLC-k specilis tpust jelentik az n. mikro-PLC-k, amelyek az embergp kapcsolat hardver- s szoftverfelttelt is tartalmazzk. A modulris felpts programozhat logikai vezrlk jellemzje, hogy a vezrlberendezs valamely specilis funkcit nmagban ellt modulokbl pl fel. A modulok fizikai megjelense rendszerint az ramkri krtya, dugaszolhat csatlakozval. A modulok n. rack-be (tart) dugaszolhatk, ezrt a rendszer konfigurcija tg hatrokon bell bvthet. A rack-ek megfelel vdettsg mszerdobozba vagy mszerszekrnybe szerelhetk. A modulris felpts PLC-ket kzepes, ill. nagymret rugalmas gyrtrendszerek vagy ipari folyamatok irnytsra fejlesztettk ki.

1.2.2.2. A PLC-k funkcionlis felptse A PLC funkcionlis felptst az 1.8. bra szemllteti [10]. Az 1.8. bra szerint a PLC-k fbb egysgei: kzponti logikai ill. feldolgozegysg (LU, CPU, stb.); programmemria (ROM, EPROM, EEROM); adatmemria (RAM); bemeneti (input) egysgek (digitlis, ill. analg); kimeneti (output) egysgek (digitlis, ill. analg); kommunikcis egysgek.

14

1.8. bra. A PLC funkcionlis felptse A programozhat vezrlk kzponti egysge a bemenetek s a kimenetek kztti, tbbnyire logikai kapcsolatokat idben sorosan s ciklikusan hajtja vgre a programmemriban trolt program alapjn. A soros jelleg adatfeldolgozsbl ereden a ciklikus feldolgozst nagy sebessggel kell vgrehajtani, hogy a mkds kifel prhuzamosnak (vals idejnek) tnjk. A programozhat vezrlkre vonatkoz IEC-1131-1 szabvny a PLC-t az 1.9. bra szerinti smval s funkcikkal definilja [11]. A programozhat vezrl az albbi funkcik elltsra kpes: jel/adat feldolgozsi funkci (signal/data processing); technolgiai interfszfunkci az rzkelk kezelsre, ill. beavatkozk mkdtetsre; kommunikcis funkcik (PLC-PLC; PLC-szmtgp; PLC-hlzat); ember-gp interfszfunkci (man-machine interface, MMI); programozsi, tesztelsi, dokumentlsi funkci; tpelltsi funkci. A fejlds sorn a programozhat vezrlk funkcii nagymrtkben kzeledtek a szmtgp funkciihoz. gy mra a PLC olyan ipari szmtgpnek tekinthet, amely specilis hardveregysgei s felhasznli programja rvn a technolgiai folyamatok trolt program vezrlsre s intelligens kommunikcis fellete rvn hierarchikus s/vagy osztott folyamatirnyt rendszerek ltrehozsra alkalmas. A programozhat vezrlk elnyei: a szabad strukturlhatsg, a gyakorlatilag vgtelen kapcsolsi szm, a teleptsi kltsgek cskkense, a rendszerbe szervezhetsg lehetsge. A szabad strukturlhatsg felhasznli programozhatsgot jelent, amelynek rvn a felhasznl a trolt, egyedi program rvn az univerzlis hardvert a feladatra alkalmass teszi. A PLC-k alkalmazsval a teleptsi, bezemelsi id nagymrtkben lervidthet.

15

1.9. bra. A PLC szabvny szerinti funkcii A programozhat vezrlk alkalmazsnak feladatai: az irnytsi stratgia kidolgozsa; az irnytrendszer struktrjnak tervezse; PLC-s rendszer konfigurlsa; a PLC-hardver illesztse a folyamathoz; az n. felhasznli program elksztse; a kommunikcis funkcik kidolgozsa; a PLC bezemelse, zemeltetse, karbantartsa. Ezrt a PLC-k alkalmazsnl az ramkri tervezsszint ismeretek helyett a rendszertechnikai, programozsi, informatikai, alkalmazsszint ismeretek lpnek eltrbe. E kny tartalmt is ennek megfelelen lltottuk ssze. 16

Irodalomjegyzk [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] Telkes Z.: Az irnytsok genercis tulajdonsgai (1. rsz). Budapest, Elektronet, 1996/6. Ajtonyi I.: Vezrlstechnika I. Budapest, Tanknyvkiad, 1980. Ajtonyi I.: Digitlis rendszerek. Miskolc, Egyetemi Kiad, 1998. I. Ajtonyi: Intelligent Control System Dissertation for Habilitation. Kosice, 1998. Neszveda J.: Irnytstechnika IV. Budapest, KKMF, 1995. DIN 40719 szabvny. Ajtonyi I.: Vezrlstechnika II. Budapest, Tanknyvkiad, 1985. Demmel L., Molnr T., Trk B., Vgvlgyi G.: Programozhat logikj vezrlsek. Budapest, Mrnktovbbkpz Intzet, 1989. E. A. Parr: Programmable Controllers - An Engineer's Guide. Newness, 1996. Ian G. Warnock: Programmable Controllers Operation and Application. Prentice Hall International, 1988. IEC-1131/I-IV. szabvny, 1992. Siemens: PCS7 gpknyv, 1997. N. S. Nise: Control Systems Engineering. The Cummings Publishing Company, 1995. K. Ogata: Modern Control Engineering. Prentice Hall International, 1997. ABB: Industrial Manual, 1998. R. Bishop: Modern Control Systems Analisis & Design Addison-Wesley Inc., 1997.

17

2. Programozhat vezrlk hardverfelptse


A programozhat vezrlk hardvere univerzlis. F rendeltetse a vezrlsi program vgrehajtsa, amihez az adatok beolvassra, feldolgozsra s az eredmny kivitelre van szksg. Ezt a hrom mveletet az albbi hardveregysgek vgzik: bemeneti egysg, kzponti feldolgozegysg s kimeneti egysg. A felsoroltak kzl a kzponti feldolgozegysg fejldtt a legdinamikusabban, s fknt ez hatrozza meg a PLC szolgltatsait.

2.1. bra. A programozhat vezrl hrom f egysge Az els PLC-k kzponti feldolgozegysge mg kis- s/vagy kzepes mrtkben integrlt digitlis ramkrkbl (Small Scale Integration, SSI; Medium Scale Integration, MSI) plt fel. Az integrlsi technolgia fejldsvel a huzalozott logikj kzponti egysgeket felvltottk az n. bitprocesszorok (szoksos elnevezsek mg: Boole-processzor, logikai processzor), amelyek funkcionlisan nem, csak mretbeli s ramkri jellemzk szempontjbl jelentettek elnyt az elzekhez kpest. Ezek alkottk a programozhat vezrlk els genercijt. A nagymrtkben integrlt ramkrk (Very/Large Scale Integration, V/LSI) elterjedsvel az ltalnos cl mikroprocesszorok vltak a PLC-k kzponti feldolgozegysgv, ami egyben minsgi vltozst is jelentett. A bjt-, ill. szprocesszorok alkalmazsa rvn a Boolemveletek mellett a kvetkez funkcik vltak ltalnoss a programozhat vezrlkben: aritmetikai mveletek vgzse, szablyozsi funkci elltsa, szabvnyos kommunikci biztostsa stb. A mikroprocesszor alap programozhat vezrlk, amelyek napjainkra szinte egyeduralkodv vltak, tekinthetk a PLC-k msodik genercijnak.

2.1. Bitprocesszor alap programozhat vezrlk


A bitprocesszor alap programozhat vezrlk jellemzi: csak egybites, Boole jelleg logikai mveletek vgzse; kevs szm utasts; kismret memriakezelsi lehetsg; mikroprogramozott vezrlsi architektra; nvkdon alapul (esetleg gpi kd) programozs; alacsony szint pont-pont jelleg kommunikci. A mra elavultnak tekinthet bitprocesszor alap PLC-k bemutatsa egyrszt didaktikailag indokolhat, mivel felptsk s mkdsk egyszer s szinte bitenknt kvethet, msrszt az n. PLC-nyelvek s a korai bitszervezs architektrk kztt igen szoros sszefggs van. A bitprocesszor rendszerint a kvetkez egysgeket tartalmazza: bemeneti multiplexer, programozhat logikai egysg (Logical Unit, LU), egybites akkumultor, kimeneti trol s demultiplexer, vletlen hozzfrs memria (Random Access Memory, RAM) s a szksges adat-, cm- s vezrlvonalak (2.2. bra). 18

2.2. bra. Egy bitprocesszor alap PLC felptse Az egyes egysgek funkcii: bemeneti multiplexer: a bemeneti logikai vltozk kivlasztsa s az adat kapuzsa a programmemriban trolt bemeneti cm alapjn; logikai egysg: a bemenetre jut bitek kztt a programmemriban trolt mikrokd ltal meghatrozott logikai mvelet vgzse; akkumultor: egybites operandus- s eredmnyregiszter; kimeneti demultiplexer s trol: a LU ltal vgrehajtott logikai mvelet eredmnynek (1 bit) kijuttatsa a programmemria ltal meghatrozott kimenetre s az adat trolsa; adatmemria: a logikai mveletek rszeredmnyeinek trolsa. A bitprocesszor alap PLC kls elemei s azok funkcii: programmemria: a vezrlsi algoritmust realizl program trolsa, programszmll: a programmemria egyms utni cmkombinciinak ellltsa az ragenertorrl kapott impulzusok hatsra. A mikrokd ltal programozott logikai egysg legegyszerbb felptst szemllteti a 2.3. bra.

19

2.3. bra. A mikrokd ltal programozott logikai egysg A logikai egysg a kt bemeneti vltozn (A, B) ngyfle logikai mveletet hajt vgre, de a kimeneti multiplexer rvn a C, D bemenetek (mveleti kd) ltal kivlasztott eredmny jut a kimenetekre (Y) az albbiak szerint: Mikrokd C 0 0 1 1 D 0 1 0 1 Fggvny Y A&B A B A &B A B

A 2.2., ill. 2.3. brk alapjn kvethet a bitszervezs PLC mkdse. A programmemriban trolt operandusmez kzvetlenl cmezi a bemeneti, kimeneti, ill. RAM-elemeket, a mveleti kd pedig a programozhat logikai egysg ltal vgzend mveletet definilja. A logikai egysg mindig az akkumultor s a msik operandus (bemenet, vagy RAM bit) kztt hozza ltre az elrt logikai mveletet, pldul a ktvltozs S kapcsolatot. A programmemriban trolt programot a PLC az rajel-genertor ltal mkdtetett szmll rvn, nvekmnyes sorrendben egyms utn ciklikusan hajtja vgre. A kimenetre juttatott eredmnyeket a flipflopok troljk kt ciklus kztt.

A programozhat vezrlkben ktfle funkcij memrit alkalmaznak: program- s adatmemrit. A programmemria a vezrlprogramot tartalmazza, amely a vezrlsi algoritmust realizlja. A bitprocesszor alap PLC-k esetn a programmemria szhossza nem szksgkppen igazodik a szprocesszoroknl ismert 8/16/32 bites szhosszhoz. A programmemria szhosszsgt egyrszt az utastsok szmnak binris kdja, msrszt a be/ki cmtartomny hatrozza meg. Pldaknt egy 12 bit szhossz memria funkciit szemllteti a 2.4. bra, ahol az utastsbitek szma 3 (23=8 utasts), a be/ki cmbitek szma 9 (29 = 512 be/ki cm, pl. 256 bemenet, 256 kimenet).
20

2.4. bra. Egy utasts elhelyezse a programtrolban Programmemriaknt korbban egyszer programozhat, csak olvashat memrit hasznltak (Programmable Read-Only Memory, PROM), mg napjainkban trlhet, programozhat, csak olvashat (Erasable PROM, EPROM), ill. elektromosan trlhet, jraprogramozhat, csak olvashat (Electrically EPROM, EEPROM) memrit alkalmaznak. Utbbi elnye, hogy a program mdostsa a memriacsip kivtele nlkl kzvetlenl megoldhat (in system programming). Fknt a felhasznli programok belvsekor jl hasznlhatk programmemriaknt a teleppel vdett CMOS RAM-ok (Complementary Metal-Oxid Semiconductor, CMOS). A programfuts kzben keletkez vltozk trolsra rhat-olvashat memrik (RAM) szolglnak, amelyek a tpfeszltsg bekapcsolsakor telepes vdelem nlkl tetszleges rtket (0, ill. 1) vehetnek fel.
A RAM-memrik a kvetkez clorientlt funkcikat ltjk el a programozhat vezrlkben:

a kzbens adattrolk funkcija hasonl a hagyomnyos vezrls segdrelihez. Ezek a trolk valstjk meg az n. MERKER funkcikat (a programban M betvel jellik). Erre van szksg a diszjunktv alak fggvnyekben szerepl VAGY kapcsolatok rszeredmnyeinek trolsnl vagy a sorrendi hlzatokban a lefut vezrls bels llapotainak 1 az n-bl jelleg llapot kdolsra. A MERKER memria bitprocesszor alap PLC-k esetn bitszervezs; az I/O RAM funkci a bemeneti, ill. kimeneti vltozk trolst jelenti, szintn bitszervezs; az idztk (timer) s szmllk (counter) rtknek tmeneti trolsa (bjt vagy sz jelleg). Napjainkban szinte kizrlag teleppel vdett CMOS RAM csipeket hasznlnak RAMmemriaknt. Egy tipikus bitprocesszor alap PLC felptst szemllteti a 2.5. bra [10]. Lthat, hogy a logikai egysg operandusai lehetnek: egy bemenet (I), egy kimenet (O), egy merkerbit (M), egy idztkimenet (T) vagy szmllkimenet (C). A bemeneti vltozt kivve valamennyi vltoz a logikai egysggel, a program futtatsval mdosthat.

21

2.5. bra. Bitszervezs PLC felptse

2.2. Bjt- vagy szprocesszor alap programozhat vezrlk


A programozhat vezrlk szolgltatsai az ltalnos cl mikroprocesszorok (bjt- vagy szprocesszorok) beptsvel minsgileg megvltoztak. A szprocesszorok felptse nagymrtkben hasonlt a bitprocesszorokhoz. Az els mikroprocesszorok a 70-es vek elejn jelentek meg. A mikroprocesszorok a digitlis szmtgpek kzponti feldolgozegysge funkciinak elltsra alkalmasak, nagymrtkben integrlt ramkrk, egyetlen lapkn kialaktva. Az els mikroszmtgp-rendszer mr a Neumann-fle modell valamennyi elemt tartalmazta (2.6. bra): - kzponti feldolgozegysg (Central Processor Unit, CPU); - memrik (RAM, ROM); - beviteli/kiviteli egysg (Input/Output, I/O); - snrendszer.

2.6. bra. A mikroszmtgp felptse

22

2.2.1. A mikroprocesszor

A mikroprocesszor a szmtgp funkciit ellt digitlis, igen nagy mrtk integrltsg ramkr (Very Large Scale Integration, VLSI), amelynek hrom f rsze van: idzt-vezrl egysg, aritmetikai-logikai egysg (Arithmetical and Logical Unit, ALU) s regiszterek (2.7. bra).

2.7. bra. Az egyes rszegysgek funkcii


Az egyes rszegysgek funkcii:

Az idzt-vezrl egysg feladata a program utastsai vagy kls krsek (megszakts, tarts, vrakozs) alapjn a gp rszeinek irnytsa. Ez egyrszt az ALU mveleteinek vezrlst, az egyes adattvonalak nyitst, zrst, a snek mkdtetst, msrszt a kls egysgek: a memria s az I/O egysgek vezrlst jelenti. Az utastsok vgrehajtsa tbbnyire mikroprogram alapjn trtnik. Minden utasts mveleti kdja egy kis kapacits ROM-trban, azaz a mikroprogramtrban elhelyezett programot indt el. A mikroprocesszor idbeni mkdst biztost rajelet az idztegysg fogadja. A vezrlegysg fontos rsze az utastsregiszter, amely a programmemribl az utastslehvsi ciklusban beolvasott utasts kdjt trolja, amg az utastsdekdol s rtelmez logika meghatrozza az elvgzend mveletet s elindtja a vgrehajtst vezrl mikroprogramot. A korszer processzorokban az IR szerept az adatcsatorns (pipeline) regiszter vltotta fel. A vezrlegysg fontos funkcija a klnbz aszinkron jelleg krsek (programmegszakts, tartskrs, vrakozskrs) fogadsa s az ezekhez tartoz vezrls. A mikroprocesszor msik fontos egysge az aritmetikai-logikai egysg (ALU), amely az utastsokban meghatrozott aritmetikai s logikai mveleteket hajtja vgre. Az ALU-hoz szorosan hozztartozik az akkumultorregiszter, ill. az llapotregiszter (flagregiszter). A processzorok ltalban csak nhny aritmetikai mveletet (sszeads, kivons, szorzs) kpesek elvgezni, ezrt a korszer mikroprocesszorokhoz ma mr nlklzhetetlenl hozzrendelnek egy aritmetikai trsprocesszort (co-processzor). A mikroprocesszorok specilis s ltalnos cl regisztereket tartalmaznak.
Specilis cl regiszterek: utastsszmll regiszter (Program Counter, PC vagy Instruction Pointer, IP), utastsregiszter (Instruction Register, IR), llapotregiszter (Flag Register, FR), veremmutat (Stack Pointer, SP). Ezek szinte valamennyi mikroprocesszorban megtallhatk, de az egyes tpusok tovbbi klnleges cl regisztereket tartalmazhatnak, pl. indexregiszter, bziscmregiszter. Az utastsszmll regiszter mindig a soron kvetkez utasts memriacmt tartalmazza. A PC kezd rtkt, azaz a program els utastsnak helyt az opercis rendszer jelli ki. A mikroprocesszor trlsbemenett (reset) hatsosan vezrelve a PC-be a 0000hex cm tltdik. A

23

PC tartalma vagy minden memria-hozzfrs utn eggyel n, vagy vezrlstad utasts esetn (JUMP, CALL, RETURN stb.) a vezrlegysg a PC-be az j cmet tlti be. Az llapotregiszter n. jelzbiteket (felttelbiteket), ill. ms vezrl-, ellenrz biteket tartalmaz. Korbban a flagbitek az ALU-mveletekhez voltak hozzrendelve, pl. tvitelbit (carry), az eredmny nulla voltt jelz bit (zero), tlcsordulsbit (overflow). Az jabb mikroprocesszorok esetn szmos vezrlsi informcit jelzbitek trolnak, pl. megszakts kiszolglsnak letiltsa, memrialapozs engedlyezse. A veremmutat specilis regiszter, a veremtr legfels elemnek cmt tartalmazza. A veremtrol az adatmemria (RAM) egy lefoglalt terlete. Adatokat csak a verem tetejre lehet tenni, s csak onnan lehet levenni. Ezt a memriakezelsi mdot utoljra be, elsre ki (LastIn-First-Out, LIFO) kezelsnek nevezik. A veremmutat minden verem (stack) betltsekor a betlttt bjtok szmval cskken (dekrementldik), ill. kiolvasskor nvekszik (inkrementldik). Adatbetltskor a veremmutat elszr dekrementldik s aztn kvetkezik be az adat bersa, kiolvasskor elszr a processzor olvas, aztn a veremmutat inkrementldik. Ezrt gyakran predekrementl, ill. posztinkrementl jellegnek tekintik a veremmveletet. Egy nyolcbites mikroprocesszor regisztereit a 2.8. bra szemllteti.

2.8. bra. Nyolcbites mikroprocesszor regiszterei A 2.8. brn A az akkumultort, F a flagregisztert (5 bit), a B s C, a D s E, a H s L a regiszterprba is szervezhet nyolcbites regisztereket jelli. A krdses mikroprocesszor esetn a jelzbitek funkcii: CY tvitel a legmagasabb helyrtk (Most Significant Bit, MSB) biten, S eljelbit, Z zrus bit, P paritsbit, AC dekd tvitelbit. A jelzbitek RS flipflopok, amelyeket az ALU mdost aritmetikai vagy logikai mveletek utn s az eredmny a flipflopokba rdik. A regiszterek a tpfeszltsg bekapcsolsakor vletlenszer rtket vehetnek fel. A CPU fontos rszt kpezi a snrendszer. Ezen a bels egysgek, valamint a kls egysgek (memria, I/O) kztti adatforgalom bonyoldik. A snrendszer funkcionlisan hromfle snt foglal magban: adatsnt (data bus), cmsnt (address bus) s vezrlsnt (control bus).
A snrendszer funkcii, jellemzse:

cmsn: megoldja az adatforgalomban rszt vev eszkzk kijellst; egyirny, hromllapot, a processzortl fggen 16/32 bit szlessg (azaz ennyi vezetk), amely meghatrozza a cmezhet memria s I/O tartomnyt; adatsn: biztostja az adatok tjt; ktirny, hromllapot, a processzortl fggen 8/16/32 bit szlessg; az adatsnvezrls meghatrozza az adattvitel irnyt; vezrlsn: sszehangolja a kapcsolatban rszt vev eszkzk mkdst; egyirny, hromllapot, a processzortl fggen 5-15 bit szlessg (azaz ennyi vezetk).

24

A legegyszerbb vezrlsn tbites: memriaolvass (Memory Read, MR); memriars (Memory Write, MW); beviteli/kiviteli eszkz olvassa (Input/Output Read, I/OR); beviteli/kiviteli eszkz rsa (Input/Output Write, I/OW); megszakts (interrupt). A vezrlsn rvn lehet az azonos cmen lv memria-, illetve I/O mveleteket megklnbztetni. A kls snrendszer lehet helyi sn (local bus), amely a processzorhoz kzvetlenl kapcsoldik, ill. lehet rendszersn (system bus), amely a processzor snmeghajtsn keresztl kapcsoldik a rendszer elemeihez. A snrendszer hasznlatnak elnye, hogy a szabvnyostott jel s vezetkkioszts miatt az egyes rszegysgek knnyen cserlhetk. A rendszer bemenetei hardverjelleggel terhelik a snrendszert, ezrt kell bizonyos szm modul esetn snmeghajtst hasznlni. A mikroprocesszoros rendszerekben hasznlatos sn prhuzamos snnek tekinthet.
2.2.2. A mikroprocesszor tipikus mveletei

A CPU mkdse ciklikus: utastslehvs, vgrehajts, lehvs, vgrehajts stb. Ezt a pontos sorrendisget a rendszerra vezrli. A CPU mkdsben a legelemibb idegysg a gpi llapot, amely rendszerint egy rajel peridusa alatt jtszdik le. Egy gpi llapothoz egy jl definilt mvelet tartozik: pl. a cminformci kijuttatsa a cmsnre. ltalban tbb gpi llapot alkot egy gpi ciklust, ami egy sszetettebb mveletet jelent. Tipikus gpi ciklusok: egy memriarekesz olvassa (MR), ill. rsa (MW) vagy I/O eszkz rsa, ill. olvassa (I/OW, I/OR), utastslehvs (fetching) stb. Egy utasts lehvsnak s vgrehajtsnak egyttes mvelete az utastsciklus, amely 1...8 gpi ciklusbl llhat az utasts bonyolultsgtl fggen. ltalban egy utastsciklus annyi gpi ciklusbl ll, ahnyszor a CPU-nak a memrihoz vagy I/O-hoz kell fordulnia. Minden utastsciklus utastslehvsi gpi ciklussal kezddik (fetch), a tovbbi gpi ciklusok olvassi vagy rsi jellegek.
2.2.2.1. Tipikus gpi ciklusmveletek

Minden utastsciklus els gpi ciklusa egy utasts lehvsa a programmemribl.


Utastslehvs folyamn a CPU:

kijuttatja az utastsszmll tartalmt a cmsnre; az adatsnt bemeneti llapotba lltja; kiad egy memriaolvass- (MR-) jelet a vezrlsnre; az adatsnen megjelen informcit az utastsregiszterbe tlti; az utastsszmll tartalmt 1-gyel nveli. Ha tbb-bjtos utastsrl van sz, akkor a tbbi bjt beolvassa hasonl mvelettel (MR) trtnik, de az adatok nem az utastsregiszterbe kerlnek. A mai gpek utastslehvsa ettl nmileg eltr.
Memriaolvass folyamn a CPU:

kiadja a kiolvasand memria cmt a cmsnre; az adatsnt bemeneti llapotba lltja; kiad egy memriaolvass- (MR-) jelet a vezrlsnre; az adatsnen lv informcit valamelyik regiszterbe tlti.

25

Memriars folyamn a CPU:

kiadja a memriacmet a cmsnre; az adatsnt kimeneti llapotba lltja; kiadja a berand adatokat az adatsnre; kiad egy memriars- (MW-) jelet a vezrlsnre. A memriars mvelete hasonl a memriaolvasshoz a viszonyok rtelemszer cserjvel. A regiszterrsi s -olvassi mveletek tipikus gpi ciklusok, rendszerint az utastslehvsi ciklusba vannak gyazva.
Beviteli/kiviteli eszkz olvassnak (I/OR) s rsnak (I/OW) folyamata:

A beviteli/kiviteli eszkz olvassa s rsa igen hasonlt a memriaolvass s -rs mvelethez, a folyamat a memriaszavak I/O-ra cserlsvel lerhat. Az azonos cm memriavagy I/O egysg rst, ill. olvasst a vezrlsnen lv eltr vezrljel klnbzteti meg s gy elkerlhet a snkonfliktus.
2.2.2.2. Tbb gpi ciklusbl ll mveletek

A veremmemria olvassa (Stack Read), a veremmemria rsa (Stack Write) s a programmegszakts (Interrupt) mveletek rendszerint tbb sajtos gpi ciklusbl llnak.
Veremmemria olvassa s rsa: ez a kt mvelet megegyezik az MR-, MWmveletekkel, azzal a klnbsggel, hogy a memria cmt a veremmutat adja s a vezrlegysg biztostja annak automatikus nvelst, ill. cskkentst. A FETCH-, MR-, Stack Readciklusok csak a mikroprocesszor belsejben lv informciforrsokban s clhelyekben trnek el egymstl, kvlrl a snrendszeren csak a pontos memriacm ismeretben lehet megllaptani, hogy melyik mvelet zajlik a snen. Programmegszakts: a szmtgpes feldolgozs kzben igen gyakran kvetkeznek be olyan esemnyek, amelyek a feldolgozs szempontjbl vratlannak tekintendk, pl. egy irnytrendszer esetn valamely rzkel hibt jelez (tzrzkel, fstrzkel, feszltsgkimarads), amelyek kzel azonnali feldolgozst ignyelnek. Az ilyen esemnyek feldolgozsra szolgl a mikroszmtgp megszaktsrendszere (interrupt system), ami lehetsget ad, hogy egy hardvereszkz (pl. rzkel) programmegszaktst krjen.

A megszaktskrelem egy jelzs a processzor szmra valamely fontos esemny bekvetkeztrl, amely valamilyen kiszolglprogrammal reagl ezen aszinkron jelleg esemnyre. A megszaktskrst a processzor egy specilis gpi ciklus szerint fogadja el, amit a megfelel kimeneten (INTA) jelez vissza. A megszaktsi funkci elltsra egy hardver-szoftver egyttes szolgl, amely egyttesen vgzi el a krelem kirtkelst s annak vgeredmnyeknt a szksges tevkenysget. A megszaktsokat mikroprocesszoron belli esemnyek is kivlthatjk (pl. 0val val oszts esetn). A megszaktskrseket, amit indthatunk szoftverbl is, a processzor szubrutin jelleggel hajtja vgre. Tbb megszaktskrs esetn a megszaktsokat prioritsi sorrendjk alapjn dolgozza fel a processzor. A hardvermegszaktsok rendszerint maszkolhatk, ami azt jelenti, hogy pl. nyolc megszaktsi szint kzl csak az ts szintt engedlyezzk vagy tiltjuk. Ugyanakkor van nem maszkolhat megszaktskrs (Non Maskable Interrupt, NMI) is, ami szoftverton nem tilthat le. Az NMI funkcit rendszerint valamilyen slyos hardverhibhoz (pl. feszltsgkimarads) rendelik.
A mikroszmtgp hatkony megszaktsrendszere felttele a mrs-, ill. irnytstechnikban, tvkzlstechnikban elengedhetetlenl fontos vals idej (real-time) adatfeldolgozsnak.

26

2.2.2.3. A processzor llapotai

Egy processzor mkdse rendszerint a kvetkez llapotokbl ll:

fut (run) llapot, amikor a processzor a programmemria ltal meghatrozott utastsokat egyms utn hajtja vgre; vrakoz (wait) llapot, amely a gpi cikluson bell valsul meg; tarts- (hold-) llapot, amely gpi ciklusok kztt aktualizlhat; lells- (halt-) llapot, amikor egy HALT utasts hatsra a processzor lell, nem vgez mveletet s ezen llapotbl csak engedlyezett megszakts hatsra lp ki.
Vrakozs

A CPU tevkenysgt egy kvarcoszcilltor vezrli. Egy mikroszmtgp- rendszert gy terveznek, hogy a CPU s a memrik azonos sebessggel (frekvencin) mkdjenek. Elfordul azonban, hogy ez nem ll fenn, pl. ha egy rendszerben a CPU-t gyorsabbra, vagy knyszersgbl a memria egy rszt lassbbra vlasztjk. Itt arrl az esetrl van sz, ha a memrinak csak egy kisebb rsznek nagyobb a hozzfrsi ideje, mint a CPU gpi llapotnak az ideje. Ugyanis, ha a teljes memriatartomny lassabban mkdik, akkor cskkenteni kell a CPU oszcilltornak frekvencijt. Elnytelen a rendszer sebessgt cskkenteni, mert az az optimlis, ha csak akkor mkdik lassabban a rendszer, ha lassbb memrival (vagy I/O-val) kommunikl. Erre dolgoztk ki a READY-WAIT funkcit. A READY-WAIT funkci lnyege, hogy egy a cmsnre csatlakoz logika (dekdol) felismeri a cmkombincibl annak a memriablokknak a cmt, amelyik egy gpi llapotnl tbb idt ignyel, s kimenete vrakozst kr a processzor megfelel (pl. Ready) bemenetn. Ezt a krst elfogadva a CPU n. wait llapotba kerl, amit a megfelel kimeneten jelez. A wait llapot azt jelenti, hogy a CPU a cmsnen, az adatsnen s a vezrlsnen hagyja az elz informcit egy (jabb krs esetn tbb) gpi llapot idejre. Ha a CPU gpi llapot ideje 500 ns s a memria-hozzfrs 650 ns, akkor egy Ready-krs ktszer 500 ns hozzfrsi idt biztost a memrinak, ami mr bsgesen elegend. A cmdekdol logikra pedig azrt van szksg, hogy ez a vrakozs csak a lass memria esetn lpjen fel. A wait llapotot az n. egylps zemmd kialaktsra is felhasznlhatjuk, ami a hibakeressnl elnys. A mikroprocesszor-technikban a vrakozs miatt kiesett gpi llapotokat elengedett temeknek is nevezik.
Tarts

A mikroszmtgpben a memria- s be/ki eszkz kztti adatforgalom csak kt lpsben trtnhet: memria s CPU, ill. CPU s be/ki eszkz, s viszont. Ez nagy mennyisg adat esetn megduplzza az adattranszfer idejt, amit a processzor HOLD llapota cskkent. A CPU rendelkezik egy tartskrs-bemenettel (HOLD vagy BUSRQ). Amennyiben ezen a bemeneten tartskrs jelenik meg, azt a processzor egy bels folyamat utn a megfelel kimeneten nyugtzza (HLDA vagy BUSACK), ami egyben azt jelenti, hogy a snrendszert nagyimpedancis (Z) llapotba helyezi. Ezutn egy kls eszkz rkapcsoldhat a snrendszerre, s kzvetlen adatforgalmat bonyolthat a memria s a be/ki egysgek kztt. Az ilyen memriahozzfrst direkt memriakezelsnek (Direct Memory Access, DMA) nevezik. Azt az eszkzt, amely az adatforgalom vezrlst vgzi, DMA vezrlnek nevezik (DMA controller). DMA adattvitelt alkalmaznak hajlkonylemez- (floppydiszk-) kezelshez, vagy tbbprocesszoros rendszerekben a kzs memriakezelshez. A HOLD llapot szinte kizrlag hardverfolyamat, gy kezelse nem jr a CPU bels llapotnak megvltozsval, ellenttben a megszaktskrs kiszolglsval. HOLD llapotban a processzor lehetsget biztost a programmegszaktsra. A mikroprocesszor mkdst rendszerint folyamatbrn adjk meg, amely 27

gpi llapotokra bontva biztostja a szinkron jelleg mkdst s az aszinkron krsek (megszakts, vrakozs stb.) vgrehajtst.
2.2.3. Beviteli/kiviteli elemek

A mikroszmtgp beviteli, ill. kiviteli elemei [13] a kzponti feldolgozegysg s a klvilg (ember, gp, technolgia, szmtgp) kztti kapcsolat kialaktsnak lehetsgt biztostjk. A be/ki elemek tpustl fggen prhuzamos, ill. soros kommunikcira alkalmasak.
Kzs jellemzik: biztostjk a be/ki elem csatlakoztatst a mikroszmtgp buszrendszerhez a szksges adat-, cm- s vezrlvezetkekkel; rendszerint programozhat felptsk miatt igen rugalmasan alkalmazkodnak a csatlakoztatand eszkzhz.

A beviteli/kiviteli eszkzk belltst (inicializlst) a rendszer bekapcsolsa utn a programbl kell letlteni. Nhny beviteli/kiviteli ramkr: programozhat prhuzamos perifriailleszt egysg (Progammable Peripheral Interface, PPI); programozhat soros illesztegysg (Universal Synchronous-Asynchronous Receiver Transmitter, USART); programozhat idzts szmllegysg (COUNTER/TIMER).
2.2.4. Mikroprocesszor alap PLC-k hardverfelptse

A mikroprocesszor bzis PLC kzponti egysge 8, 16, ill. 32 bites ltalnos cl processzor vagy mikrovezrl (microcontroller) egyarnt lehet. Ehhez szksg van a mikroszmtgp szoksos elemeire (CPU, RAM, ROM), valamint a klvilggal val kapcsolattarts eszkzeire. A bemeneti, ill. kimeneti vonalak kezelsre ngyfle mdszer terjedt el: a bemeneti/kimeneti eszkzk a processzor prhuzamos perifriaillesztin keresztl kapcsoldnak a cm-, adat- s vezrlsnre; a bemeneti/kimeneti vonalak kezelsre egy kln I/O snt lltanak el kifejezetten az I/O kezelsre, tekintettel a modulris felpts be/ki vonalainak nagy szmra, a terhelsi viszonyaira stb.; tvoli I/O kezels (lsd a 2.2.5. szakaszban); terepi, soros jelleg buszrendszer szervezs I/O kezels (lsd a 4.6. alfejezetben). Az els megoldst fknt kompakt PLC-khez hasznljk, ahol a kevs be/ki vonal miatt a kln I/O sn kialaktsa nem indokolt. Egy tipikus, mikroprocesszor alap PLC hardvert mutatja a 2.9. bra. Lthat, hogy a be/ki vonalak kezelsre egy kln I/O sn hasznlatos. Az bra egyes blokkjai korbban egy-egy fizikai egysget alkottak (egy-egy krtya), ma viszont az integrlsi technolgia fejldsvel elrhet, hogy a CPU, RAM, ROM, I/O meghajtt egyetlen krtyn helyezik el, miltal a CPU buszrendszere elnysebben s biztonsgosabban alakthat ki.

28

2.9. bra. Egy mikroprocesszor alap PLC ltalnos smja Az ltalnos cl mikroprocesszor alap PLC-k hardvert szemllteti a 2.10., ill. 2.11. bra hrom pldja gyrtott kszlkek alapjn. A 2.10a brn Z180 tpus mikroprocesszorral mkd, kompakt kategrij PLC egyszerstett vzlata lthat [11]. A PLC 32 darab bitenknt programozhat digitlis be/ki vonalat s ngy analg bemenetet tartalmaz. A krtya kln be/ki snt nem tartalmaz, a konfigurci nem bvthet. A 2.10b brn egy 68340 tpus mikroprocesszor felpts kompakt PLC hardvere lthat [12].

29

2.10. bra. Egy Z180 (a) s egy 68340 (b) tpus mikroprocesszoros kompakt PLC hardverfelptse
P Program, TX Text (szveg), F Flags (flegek), T Timers (idztk), CLOCK Real-time Clock (vals idej ra), Vol Volatile (felejt), DB Data Bloks (adatblokkok), C Counters (szmllk), NVol Non-volatile (nem felejt), R Registers (regiszterek)

A 2.10. brbl jl kivehetk a mikroszmtgp-rendszer f elemei: CPU, memrik, flegek, idztk, szmllk, megszaktskezel egysg, vals idej ra, be/ki elemek stb. A be/ki vonalak kezelst itt is kln I/O BUS-szal oldottk meg.

30

Az emltett PLC fbb hardverjellemzi:

processzor tpusa: C 68340; I/O-k szma: max. 128 digitlis; felhasznli memria: min. 32 kB RAM a program-, szveg- s adatblokk trolsra; flegek: 8192 1 bit (programozhat felejt-, ill. nemfelejt-vltozatra); adatregiszterek: 4096 32 bit (nemfelejt); gyors vltozs bemenetek: 2 megszaktskrs a gyorsszmllshoz (max. 1 kHz); kimenetek: 0,5 A / 24 Vdc. A modulris felpts programozhat vezrlre pldaknt egy 80C167 tpus mikroprocesszorral gyrtott tpus hardvert mutatja a 2.11. bra [10], amelyen a ngy 16 bites ltalnos cl regiszter s a ngy jelzbit (N eljel, O tlcsorduls, C vgs tvitel, Z zrus) is tallhat, amelyek a programoz ltal elrhetk.

2.11. bra. A 80C167 tpus mikroprocesszorral gyrtott PLC hardvere A rendszer alapkonfigurcija 128 kbjt RAM-ot s 128 kbjt Flash EPROM-ot tartalmaz. A RAM az albbi funkcik elltsra szolgl: merker (M), idzts (T), szmllk (C), adatmez (DB), rendszer-RAM funkci, stack-RAM funkci. A be/ki egysgek itt is kln I/O buszra csatlakoznak. A modulris PLC rack-jnek htlapjn kialaktott rendszersn (SYSTEM BUS) tovbbi hardveregysgek csatlakoztatsra szolgl. A kzponti egysg hrom megszaktskrs kezelst biztostja. A pldabeli PLC a be-, ill. kimeneteket I/O RAM egysgen keresztl kezeli. A nagymret s bonyolult rendszerek irnytshoz rendszerint tbb processzort alkalmaznak, amelyek egy-egy specilis funkcit ltnak el. Egy ilyen tbbprocesszoros PLC felptst szemllteti a 2.12. bra, ahol a fprocesszor (Main Processor) 16 bites szprocesszor. 31

2.12. bra. A tbbprocesszoros PLC felptse A matematikai mveleteket a matematikai processzor, a kommunikcis funkcikat a kommunikcis processzor vezrli. Emellett a nagyszm tvoli I/O kezelst s a PID szablyozsi algoritmust is kln processzor vgzi. Ezek a processzorok rendszerint mester-szolga (master-slave) kapcsolatban llnak a fprocesszorral. A mester-szolga rendszer kommunikci esetn a szolgaprocesszorok csak a mesterrel llnak kapcsolatban, egymssal nem. Napjainkban a decentralizlt irnytsi mdszerek kerlnek eltrbe.
2.2.5. Tvoli be/ki modulok

A programozhat vezrlk specilis kiegszt egysgei a tvoli be/ki (I/O) modulok. A tvoli I/O kezels (Remote I/O, RIO) a nagyszm be/ki vonal esetn indokolt, klnsen akkor, ha az I/O eszkzk tvol vannak a PLC-tl. Ilyenkor a kzponti PLC rack rendszerint tartalmaz egy tvolsgi I/O rack-et (remote I/O rack), amely soros kommunikcival kezeli a tvoli be/ki vonalakat. A tvoli I/O modulokkal elltott PLC felptst szemllteti a 2.13. bra.

2.13. bra. Tvoli be/ki vonalak kezelse (RIO)

32

A soros kezels tvolsgi I/O modulok ltal kezelt be/kimenetek feldolgozsi ideje s vlaszideje nagyobb, mint a norml be/ki jelek. A tvoli I/O-k kezelshez rendszerint szksg van egy jrulkos egysgre, amint azt a 2.14. bra mutatja [6].

2.14. bra. Jrulkos egysg a RIO-hoz A PLC adatfeldolgozsa s a tvoli I/O rack-ek soros lekezelse rendszerint nincs szinkronizlva.

2.3. Ktllapot bemeneti s kimeneti egysgek


A PLC-k kzponti mveletvgz egysge ktfokozat beviteli/kiviteli egysgen keresztl tartja a kapcsolatot a klvilggal, azaz a technolgiai folyamat jelad ill. beavatkozszerveivel. A CPUval rendszerint egy bels be/ki egysg (multiplexer vagy I/O port) rvn, a snrendszeren keresztl trtnik az adatforgalom. A bels I/O egysgek tpfeszltsge megegyezik a CPU tpfeszltsgvel.
A bels I/O egysgek bemeneteire kapcsoldhatnak:

a PLC-hez kapcsold ktllapot eszkzk, amelyek tpllsa kzvetlenl a CPU tpfeszltsgrl trtnik, ezrt galvanikus levlasztst nem ignyelnek (pl. kezelszervek, billentyzet); a technolgirl rkez terepi jelleg eszkzk, amelyekre jellemz, hogy tpllsuk nem egyezik meg a CPU tpllsval. Amg a CPU kzponti processzora rendszerint 5-15 V, addig a mkdtetszervek ettl rendszerint eltr tpllst ignyelnek (pl. 24 Vdc, 48 Vdc, ill. 24 Vac, 230 Vac). A klnbz feszltsgek keveredsnek megakadlyozsa cljbl galvanikus levlaszts bemeneti, ill. kimeneti illesztket hasznlnak. A galvanikus levlasztst optocsatolval oldjk meg. Ennek fknt zavarvdelmi, villamos s biztonsgtechnikai (rintsvdelmi) elnye van. A bitprocesszor, ill. szprocesszor alap PLC-k bemeneti, ill. kimeneti egysgei csak a szervezs mdjban trnek el. A bitprocesszor a be-, ill. kimeneteket bitenknt, a szprocesszor bjtonknt/szavanknt kezeli.
Bitszervezs ktfokozat bemeneti egysget szemlltet a 2.15. bra.

33

2.15. bra. Ktfokozat bitszervezs beviteli egysg felptse A ktllapot kapcsolk jele a zavarjeleket szrfokozaton t az optolevlaszts bemeneti fokozaton keresztl tovbbtja a PLC ltal cmezhet beviteli elemre, amely lehet port vagy multiplexer. Lthat, hogy a bemeneti fokozat nem tartalmaz trolelemet. Trolelem akkor szksges, ha fontos az azonos idej mintavtelezs. Ilyenkor egy parancs (utasts) hatsra a PLC sszes bemenetnek llapott egy RAM-memria trolja. Ilyen bemeneti fokozatot hasznlnak a gyorsan vltoz jelek feldolgozsakor.

2.16. bra. Bitszervezs ktfokozat kimeneti egysg felptse


Bitszervezs ktfokozat kimeneti egysget mutat a 2.16. bra, ahol az adat a CPU-tl a mikroprocesszor kiviteli portjn t egy trolelemre kerl (D flipflop, D latch). A kimeneti fokozathoz a trolelem elengedhetetlen, ez trolja ugyanis a program ltal elrt s a CPU ltal ltrehozott eredmnyt (1 bit) kt mintavtelezs kztt.

34

A bjtszervezs processzorral felptett PLC bemeneti fokozatnak illusztrlsra a 2.11. brn bemutatott PLC bemeneti fokozatt a 2.17. brn [10], kimeneti fokozatt a 2.18. brn [10] mutatjuk be.

2.17. bra. Bjtszervezs bemeneti fokozat

2.18. bra. Bjtszervezs kimeneti fokozat A 2.18. brn lthat, hogy mind a bemeneteket, mind a kimeneteket az n. I/O RAM-on keresztl kezelik. Az I/O RAM-ok rsa a program vgn PE (page-end character, lap vge) jellel ciklikusan trtnik. A galvanikus elvlaszts azaz a mkdtetfeszltsgek kzs vonatkoztatsi pontjnak sztvlasztsa zavarvdelmi tulajdonsgt a kvetkezk alapjn rthetjk meg. A bemeneti, ill. kimeneti eszkzk rendszerint a technolgiai berendezsek (motorok, mgneskapcsolk, szelepek stb.) kzelben (a terepen) vannak elhelyezve, gy induktv s kapacitv ton klnbz zavarjelek szuperponldnak ezen vezetkekre. Galvanikus elvlaszts nlkl ezek a zavarjelek a CPU tpfeszltsgre jutnnak. 35

A CPU-t egy kristlyoszcilltor mkdteti. Galvanikus elvlaszts nlkl a zavarimpulzusok katasztroflis hibt okozhatnak a CPU mkdsben (pl. akaratlan memriars, -olvass). A 2.19. bra szerinti sztvlaszts a bemeneti s kimeneti oldalon vdett teszi a CPU mkdst a kls zavaroktl s vd az esetleges ramtstl.

2.19. bra. A galvanikus elvlaszts elve Az optocsatol (optoizoltor) egy kzs tokba ptett fnyemittl didbl s egy fottranzisztorbl ll. Optolevlaszts bemeneti fokozatot szemlltet a 2.20. bra.

2.20. bra. Egyenram s vltakoz ram bemeneti fokozat A 2.20a bra az egyenram galvanikus elvlasztst mutatja. Az R ellenlls a tpfeszltsg rtktl fgg s a LED dida ramnak belltsra szolgl. Rendszerint 5 V, 12 V, 24 V, ill. 48 V tpfeszltsget hasznlnak. A 2.20b bra vltakoz ram jel galvanikus elvlasztst mutatja. Az R1 a megfelel ram belltsra szolgl, mg az R2, C1 a vltakoz komponens szrst vgzi (50 ms). A PLC bemeneti krtyjnak ellapjn a bemeneti llapot informcijt rendszerint LED-didval viszszajelzik.

36

Galvanikus elvlaszts tranzisztoros kimeneti fokozatot szemlltet a 2.21. bra.

2.21. bra. Galvanikus elvlaszts tranzisztoros kimeneti fokozat A 2.21. brn a kell erstshez Darlington-fokozatot alkalmaznak. A D1 dida az ellenttes ramimpulzus vgst vgzi. A PLC I/O krtyn rendszerint 16 vagy 32 ilyen kimeneti egysget helyeznek el. A klnbz kimeneti szervek villamos sajtossgait a felhasznlnak figyelembe kell vennie, pl. izzlmpa esetn a hmrsklettel vltoz ellenlls, induktv terhels (rel, mgneskapcsol) esetn a kikapcsolskor fellp feszltsg-visszalks.
Vltakoz ram galvanikus levlaszts kimeneti fokozatot szemlltet a 2.22. bra, amelyen kimeneti kapcsolknt triakot alkalmaznak. A triak a vltakoz feszltsg 0 tmenetnl kapcsol ki, gy cskkenti az induktv terhels kikapcsolsnl fellp nemkvnatos zavarjeleket.

2.22. bra. Triakos felpts kimeneti fokozat felptse A vltakoz ram hlzatokban egyre elterjedtebben hasznljk a flvezet relket (Solid State Relay, SSR). A flvezet relk (FR) egyfzis kivitelben kszlnek. Blokksmaszer felptsket a 2.23. bra szemllteti.

2.23. bra. Flvezet relvel megvalstott kimeneti fokozat 37

A vezrlkr zajszrkbl s optikai csatolbl ll. A szrkr feladata annak megakadlyozsa, hogy a rvid idej zavarimpulzusok bekapcsoljk az FR-t. A vezrljel (3... 24 V kztti egyenfeszltsg jel) az optocsatoln t a zrusdetektorra jut, amely annak megjelenst kveten a hlzati feszltsg els nulltmenetnl kapcsolja be a triak vagy a szembekapcsolt tirisztor vezrlsvel a terhelst. Az FR a hlzati feszltsgre szuperponlt tlfeszltsgimpulzusok korltozsa cljbl tlfeszltsg-vdelemmel is el van ltva. A flvezet rel gyufsdoboznyi mret eszkz, j hvezet tulajdonsg, de villamosan szigetel mgyantval van kintve s csatlakozkapcsai kivtelvel feszltsgmentes. Flvezet relkkel kapcsolt hromfzis fogyasztt szemlltet a 2.24. bra.

2.24. bra. Hromfzis fogyaszt mkdtetse flvezet relkkel A kzepes teljestmny flvezet kapcsolelemek kzl rgebben a bipolris tranzisztort alkalmaztk a leggyakrabban. Klnsen elnysek az egy tokba integrlt kapcsolerstk, amelyek kzl pldaknt a TOSHIBA tpusnak jellemz adatai: kapcsolt ram 100 A; kapcsolt feszltsg 300 V; ramersts 100. A tranzisztoros kimeneti fokozatot rendszerint rvidzrvdelemmel ltjk el. A bipolris tranzisztorokat egyre inkbb kiszortjk az utbbi vekben megjelent trvezrls teljestmnytranzisztorok (POWER FET-ek), amelyek VMOS technikval kszlnek s igen jelents mszaki elnyei vannak. Bekapcsolt llapotban az ellenllsuk hmrsklettnyezje pozitv, ezrt melegeds hatsra ellenllsuk n s korltozza az ramot, gy nem lphet fel a bipolris tranzisztorokra jellemz termikus megfuts. Ezek a tranzisztorok kzvetlenl prhuzamosan kapcsolhatk. Tovbbi elny a tbb nagysgrenddel nagyobb ramersts s a nagy bemeneti impedancia miatt igen kicsi (mikrowatt nagysgrend) vezrlsi teljestmnyigny, ezrt logikai jelekkel kzvetlenl vezrelhetk.

2.4. Szmllsi/idztsi funkcik


A szmllsi funkci megvalstsra a PLC-k fejldse sorn szoftver-, ill. hardverton megvalsul szmllk szlettek. Ez a kt megolds a strukturlis klnbzsgen tl a szmllhat frekvencia maximlis rtkben is eltr a hardveres megolds javra. A szoftverton trtn szmll hardverfelttele egy, a mikroprocesszor ltal kezelt RAM-memria (esetleg regiszter). Ezt szemllteti a 2.25a bra, amelyen egy-egy szmllt (C0, C1, C2...) a RAM-memria bjtja vagy szava reprezentlja. Az brn a szmll mkdtetshez szksges funkcik is lthatk: szmllbemenet (C), trlsbemenet (R), prhuzamos feltlts (L).

38

Klnbz megoldsokat dolgoztak ki az idztsi funkcikra is. Korbban a PLC kimenete ltal mkdtetett analg/digitlis idztket, hosszabb idej ksleltetshez kapcsolrkat alkalmaztak. Napjainkban az idztsi funkcikat a PLC ragenertor frekvencijnak szoftverton trtn osztsra vezetik vissza. Ennek hardverfelttele megegyezik a szmllval, azaz a CPU ltal kezelt s erre a clra fenntartott RAM memriaterlet a hozz tartoz indtsi (S), ill. a kezdetirtk-betltsi (L) funkci biztostsval (2.25b bra) [10].

2.25. bra. Szmll- (a) s idzt- (b) funkcik a RAM-ban A szmllsi, ill. idztsi funkcik hardverfelttelhez nagymrtkben hasonlt a MERKER-memria kezelse is. Ezt szemllteti a 2.26. bra.

2.26. bra. MERKER-memria illesztse a buszvonalhoz A fenti funkcikat rendszerint a 2.27. bra szerint, a RAM-memria rszeknt adjk meg. Termszetesen a RAM-trkpek tovbbi funkcikat is tartalmaznak.

2.27. bra. PLC RAM trkp

39

Irodalomjegyzk

[1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13]

Ajtonyi I.: Vezrlstechnika II. Budapest, Tanknyvkiad, 1985. Juhsz G., Nagy I. (ford): Informatika s ipari elektronika. Budapest, Mszaki Knyvkiad, 1997. Demmel L., Molnr T., Trk B., Vgvlgyi G.: Programozhat logikj vezrlsek. Budapest, Mrnktovbbkpz Intzet, 1989. Tverdota M., Harkay T.: Villamos vezrlstechnika. Budapest, KKMF, 1998. E. A. Parr: Programmable Controllers - An Engineer's Guide. Newness, 1996. Ian G. Warnock: Programmable Controllers Operation and Application. Prentice Hall International, 1988. IEC-1131/I-IV. szabvny, 1992. OMRON: CPM PLC Felhasznli kziknyv, 1999. SIEMENS: SIMATIC S7-200 Programmable Controller System Manual, 1999. BOSCH: PLC gpknyv, 1997. Z'WORLD: BL1500 User's Manual, 1990. SAIA: Process Control Devices PCD2 Series, 1993. Ajtonyi I.: Digitlis rendszerek. Miskolc, Egyetemi Kiad, 1998.

40

41

3. PROGRAMOZHAT VEZRLK PROGRAMOZSA


A PLC-k hardvere univerzlis, amely nmagban nem, csak a felhasznli programmal egytt vlik a konkrt irnytsi feladatra alkalmass. Ebbl kvetkezik, hogy a programozhat vezrlk alkalmazsnak egyik legfontosabb krdse a felhasznli programok ksztse. Mr a General Motors ltal 1968-ban kirt plyzatban szerepelt a felhasznlbart, vezrlstechnikaorientlt programozsi nyelv. Programozsi nyelven azt a szintaktikt, azaz formai szablygyjtemnyt rtjk, amely segtsgvel a felhasznli program elkszthet. A bitprocesszoros PLC-k esetn a programozsi nyelv szablyai s a hardverstruktra jellegzetessge kztt igen szoros kapcsolat volt. Az ilyen programozhat vezrlk programmemrija kizrlag csak a felhasznli programot tartalmazta, mivel a PLC egyetlen funkcija a vezrlsi algoritmus biztostsa volt. A bjt-, ill. szprocesszor felpts PLC-knl a szolgltats minsgi javulst rszben a hardver, de igen nagy mrtkben a szoftver biztostja. Ez a szoftver a felhasznli programon tl szmos tovbbi funkcit lt el.

3.1. A PLC-ben fut programok s feladataik


A korszer PLC-k szoftvere a funkci alapjn alapszoftverre s felhasznli programcsoportra oszthat. Az alapszoftver az lland (rezidens), a felhasznli program pedig a vltoz rszt kpviseli. 3.1.1. Alapszoftver A PLC alapszoftvert hasonlan valamennyi mikroszmtgpes berendezshez az opercis rendszer biztostja. A PLC alapszoftvere igen ersen gyrt-, ill. tpusfgg, gy egyedi. Ennek ellenre megfogalmazhatk a kvetkez kzs funkcik, amelyek szinte valamennyi korszer tpusnl felfedezhetk. Az interpreter funkci a felhasznli program rtelmezsre s vgrehajtsra alkalmas szoftver. Az interpreter a kdolt felhasznli programot utastsonknt veszi el, rtelmezi s vgrehajtja, ill. nhny tpus esetn a felhasznli program a processzor utastskszletre lefordtva hajtdik vgre. A PLC nyelven megrt egyetlen utasts az adott mikroprocesszor esetn rendszerint tbb gpi utastssal hajtdik vgre. Sttussz-generls funkci, amely szinte valamennyi mikroszmtgpes berendezsben megtallhat. A sttussz-generls clja a processzor mveleteirl trtn informciszolgltats. A sttussz mint llapotinformci igen jl hasznlhat a program belvsekor, hibakeress vagy bezemels esetn. Az nteszt funkci a PLC egyes funkciinak ellenrzst vgzi, klnsen a biztonsgi PLC-k alkalmazsakor nagy jelentsg. Az nellenrztt funkcik lehetnek hardver- (pl. tpfeszltsg, watch-dog), ill. szoftverjellegek. A kommunikcis vonalak kezelse a soros pont-pont, ill. hlzati kommunikcis funkcik elltsa. Napjainkban e funkci jelentsge a PLC-hlzatok, terepi buszok szerepnek nvekedsvel rohamosan n. Ember-gp kapcsolat tern a PLC egyik alapvet funkcija a kezel s a PLC kztti kommunikci biztostsa. Az ember-gp kapcsolat kialaktsnak hardver- s szoftverfelttelei vannak. A programfejlesztsi funkci tpustl fggen lehet a PLC opercis rendszernek sajtossga, de lehet kln a fejlesztrendszer is. Ma mr a programfejlesztsi funkcit egyre inkbb a szemlyi szmtgpek veszik t.

42 Az elbbiek illusztrlsra plda a 2. fejezetben vzolt 80C167 processzor alap PLC alapszoftvernek felptse, amely a STEP-5, ill. STEP-7 nyelv sajtossga. A PLC opercis rendszere hrom f szoftvermodultpust tartalmaz: szervezblokkok (OB), programblokkok (PB) s adatblokkok (DB). Az alapszoftver tz szervez szoftverblokkbl pl fel, amelyek biztostjk: OB1 a ciklikus mkdst; OB2 a rendszer belltst (set up); OB5 s OB7 az jraindtsi funkcikat; OB9 a hibakezelst; OB10, OB11, OB12 a hrom programmegszaktst; OB18, OB19 az idztsek kezelst. Az OB1 szervezblokk tartalmazza az interpretert s a felhasznli program vgrehajtst biztost executive rszt. A felhasznli programok a PB blokkokban vannak s korltozott szm alprogramot (szubrutin), valamint kt adatblokkot kezelnek. Ezt szemllteti a 3.1. bra [18].

3.1. bra. Egy PLC alapszoftvernek felptse

43 A PLC n. hardverteszttel indul (memriateszt, telepteszt stb.), majd az OB2 rendszerbellt (system setup) funkci rvn az OB5 vagy OB7 blokkon keresztl jut el az OB1 ciklikus zemmdot biztost szoftverblokkba. A be/ki memrit az OB1 blokk vgn a PE jel minden ciklus befejezsekor frissti. A PLC-vel kapcsolatos hibakezelsi funkcit az OB9 blokk ltja el. A hrom megszaktsi szintet az OB10...OB12 szoftverblokkok kezelik. A programmegszaktsok egyike a soros kommunikcihoz van hozzrendelve. 3.1.2. Felhasznli programok A 3.1.1. pontban lert alapszoftver a PLC-ben fut programok lland rsze s minden azonos tpus programozhat vezrlben egyforma. Ezzel szemben a felhasznli programok a PLC programok vltoz rszt jelentik s segtsgkkel vlik alkalmass a PLC az adott vezrlsi feladatra. A felhasznli programok specilis, vezrlstechnikai, ill. irnytstechnikai orientltsg programnyelven rdnak. A felhasznli programokkal kapcsolatos, hogy a bitprocesszor alap PLC-k esetn a hardverstruktra s a program felptse kztt igen szoros a kapcsolat, ezrt interpreterre nem volt szksg. Az ilyen PLC utastsok programmemriabeli elhelyezkedst szemllteti a 3.2. bra. Gyakran a mai nem bitszervezs PLC-k felptst is sematikusan s a programozsi nyelvhez illeszkeden gy brzoljk, mintha bitprocesszor alap lenne.

3.2. bra. Utastsok elhelyezkedse a programmemriban bitszervezs PLC esetn A mai bjt-, ill. szprocesszor alap PLC-kben a felhasznli program felptst az interpreter hatrozza meg, gy az utastsok memriabeli elhelyezse a 3.2. brn vzolttl jelentsen eltr. A bjt-, ill. szprocesszor felpts programozhat vezrlkben a Boole jelleg mveletek vgzse krlmnyesebb, ugyanis ezen processzorok 8, ill. 16 bites szavak kztt vgeznek aritmetikai, logikai vagy adatmozgatsi mveleteket. Plda: kt bjt (A, B) kztti S kapcsolata. 7 6 5 4 3 2 1 0 Bitpozci a bjton bell A: 7Ch = 0 1 1 1 1 1 0 0 & & & & & & & & B: F7h = 1 1 1 1 0 1 1 1 A & B = 74h 0 1 1 1 0 1 0 0

44 A mvelet eredmnye az azonos bitpozciban lev bitek S kapcsolatbl addik. Brmely kivlasztott azonos bitpozciban lv kt bit kztti S kapcsolat eredmnyt tovbbi S mvelettel, n. bitmaszkolssal kaphatjuk: A & B: 74h maszk: 08h: eredmny: 0 1 1 1 & & & & 0 0 0 0 0 0 0 0 0 1 0 0 & & & & 1 0 0 0 0 0 0 0

A vlasztott bitpozcihoz (3) tartoz maszk

A kapott eredmny 00h, ezrt a zrusbit Z = 1, ellenkez esetben Z = 0, gy a msodik S mvelet utn a zrusbit negltan mutatja a 3. bitek (A3 & B3) S kapcsolatnak eredmnyt. A nem azonos bitpozciban lv bitek kztti Boole-mvelet eltt kell szm lptetsi mveletet kell vgezni. Vannak olyan processzorok, amelyek specilis bitmveletekre kpesek, de ezek is tbb lpsben vgzik el a bitek kztti Boole-mveleteket. Az ilyen PLC-kben a bjt-, ill. szmveletek knnyek. Egy korszer PLC-ben a 3.3. bra szerint definilhatk az operandusok.

3.3. bra. Bit-, bjt-, ill. sz definilsa szprocesszor alap PLC-ben Hasonl a programozhat vezrlkben hasznlatos be/ki vonalak cmzse is. Amg a bitszervezs PLC-kben a be/ki vonalak bitenknti cmzse elnys s termszetes, addig az ltalnos cl mikroszmtgpek esetn a be/ki portok cmzse bjtonknt, ill. szavanknt lehetsges. Bjtcmzs esetn pldul a 38-es bemenet (bit) beolvasshoz elszr meg kell hatrozni, hogy a 38-es bemenet melyik portcmen van (n 38/8), teht az 5-s cm portot kell beolvasni, majd pedig a 6. bitet maszkolni: 39 38 37 36 35 34 33 32

Az elbbiek jl szemlltetik, hogy a msodik genercis PLC-kben a felhasznli programok memriabeli elhelyezkedse s vgrehajtsa az adott mikroszmtgp felptstl, tpustl s az alapszoftvertl (interpreter) fgg. Az interpreter teht egy kzbens szoftvereszkz a vezrlstechnikai nyelv s a PLC processzora kztt. Valamennyi felhasznli programnyelv a vezrlstechnikai (irnytstechnikai) feladatnak az interpreter szmra rthet formba szervezshez szksges szablyok sszefoglalsa. A bitcmzs a PLC nyelvek tbbsgben megengedett, s a programoznak az utasts vgrehajtsnak mdjrl nem vagy csak specilis esetben kell tudnia.

3.2. PLC programnyelvek


A PLC-k fejldse sorn szmos programozsi nyelvet fejlesztettek ki. Ezek kzs jellemzje az ahny gyrt, annyifle programnyelv volt. Kezdetben a nvkdon alapul programozs volt dominl, ami a mikroprocesszoros CPU-k elterjedsvel visszaszorult. Ugyancsak egyids a PLC-vel a reljelleg programnyelv is. Napjainkra a klnbz felhasznli programnyelvek szles krt alkalmazzk, ami az egyes gyrtk eszkzei kztti kompatibilitst lehetetlenn teszi. Ezrt egyre nagyobb igny jelentkezik gy a gyrtk, mint a felhasznlk rszrl egys-

45 ges nemzetkzi szabvnyokban rgztett felhasznli programnyelvek kifejlesztsre. Az IEC 1131-3 szm nemzetkzi szabvny az egsz vilgra egysgesteni kvnja a felhasznli programnyelveket s ezek jellseit. Ez a szabvny nem j programnyelveket hoz ltre, hanem a korbbi, kzs jellemvons nyelveket igyekszik egysgesteni. A PLC programozsi nyelvek f jellemzje, hogy vezrlstechnikai (jabban irnytstechnikai) orientltsgak. A trtnelmileg kialakult feladatler nyelvek szveges vagy grafikus rendszerek, gy az IEC 1131 szabvny a PLC felhasznli programnyelveket kt osztlyba sorolja: szveges rendszer s grafikus szimblumokat alkalmaz programnyelvek. A szveges szimblumokkal lert vezrlsi feladat rendszerint egy compiler (fordt) rvn kerl a programmemriba letltsre. A szveges rendszer programnyelveknek kt megvalstsi formjt engedlyezik. Az egyik a magas szint programnyelvekkel (Pascal vagy C) tmogatott strukturlt felhasznli programnyelv, amelynek angol s nmet jellse egyarnt ST (angol: Structured Text, nmet: Strukturerter Text). Ez esetben a vezrlsi feladatot megvalst felhasznli program lersa hasonlt a Pascal vagy C nyelven megrt program szintaktikjhoz. E mdszer clja, hogy a magas szint nyelvet ismerk kpesek legyenek PLC program ksztsre. Ennek ellenre ez a programozsi nyelv a PLC technikban eddig nem terjedt el, de egyre npszerbb. A msik szveges programnyelv az utastslists felhasznli programnyelv, amely jellse angolul Instruction List, IL, nmetl Anweisungsliste, AWL. Ez a programnyelv az assembly nyelv programozsbl alakult ki, s a bitszervezs PLC-knl ersen ktdtt a hardverstruktrhoz. A grafikus szimblumokkal lert s megszerkesztett vezrlsi feladat a fejlesztrendszerben egy letltprogram (kln menpont) rvn tlthet le a PLC-be. A 1131-3 szabvny hromfle grafikus szimblumot alkalmaz: ltradiagramos, funkciblokkos s sorrendi folyamatbrn alapul programnyelvet. A szabvny a kvetkez PLC nyelveket definilja s ajnlja. PLC programnyelvek

Szveges rendszer nyelvek

Grafikus rendszer nyelvek

Strukturlt programnyelv (ST)

Utastslists programnyelv (IL)

Ltradiagram (LD)

Sorrendi folyamatbra (SFC) Funkciblokk (FB)

3.2.1. Strukturlt programozsi nyelv A strukturlt programozsi nyelv (ST) egy magas szint nyelv a vezrlsi s szablyozsi funkcik lersra az IF...THEN, CASE, FOR, WHILE, REPEAT, stb. parancsok segtsgvel. A szabvny a 3.1. tblzat szerinti adattpusokat definilja az ST nyelvhez.

46 3.1. tblzat. IEC adattpusok az ST nyelvhez IEC adattpusok Jelents INT Egsz szm (-32768-tl + 32767) SINT Rvid egsz (-128-tl + 127) DINT Dupla egsz (-231-tl + 231-1) LINT Hossz egsz (-263-tl + 263-1 USINT Eljel nlkli rvid egsz (0-255) UINT Eljel nlkli egsz (0-216-1) UDINT Eljel nlkli dupla egsz (0-232-1) ULINT Eljel nlkli hossz egsz (0-264-1) REAL Vals vagy lebegpontos szm LREAL Hossz vals szm (-10-308-tl + ... TIME (d, h, m , s, ms) Idrtk megadsa (nap, ra, perc, msodperc) DATE Naptri dtum TIME-OF-DAY (vagy TOD) Idmegads DATE-AND-TIME (vagy DT) A nap dtuma s idpontja STRING Karakterekbl ll szveges informci BOOL Ktrtk (0,1) vltoz BYTE 8 bites binris string WORD 16 bites binris string DWORD 32 bites binris string LWORD 64 bites binris string F-EDGE Felfut l R-EDGE Lefut l ANY Tetszleges vltoz Az IEC ajnls megengedi a memriahelyek (RAM) kzvetlen cmzst. Erre a clra a % karakter hasznlatos. A memria rendszerint hrom f rszre van osztva: a bemenetekhez rendelt RAM (I), a kimenetekhez rendelt RAM (Q) s a bels funkcikhoz (MERKER) rendelt RAM (M). A memriba rand adat lehet bit (X), bjt (B), sz (W) s dupla sz (D) hosszsg. Pldul a % I X 22 a bemeneti RAM 22-es bitjt jelenti. Globlis vltozk, amelyek egy programhoz vannak deklarlva, mg a loklis vltozk egy funkciblokkon bell vannak deklarlva. Az IEC szabvnyos kulcsszavait a 3.2. tblzatban adtuk meg.

3.2. tblzat. IEC szabvnyos kulcsszavak Kulcssz ACTION/END - ACTION

Lers Akci kezdetnek (start) s vgnek (end) deklarlsa. Hasznlt rvidtsek: N nem vltozik S set (bers) R reset (trls) L korltozott idej akci D ksleltetett akci P impulzus jelleg akci SD trolt s ksleltetett akci DS ksleltetett s trolt akci SL korltozott ideig trolt akci

47 ARRAY OF AT CASE OF/ELSE/END_CASE CONFIGURATION/END_CONFIGURATION CONSTANT Adattpus deklarlsa Memriahely hozzrendelse vltozhoz. Pldul: CRI AT % QX400 CASE tpus struktra deklarlsa Konfigurcis fjl kezdetnek s vgnek definilsa Konstans rtkek deklarlsa. Pldul VAR CONSTANT PI: REAL: = 3,14 END_VAR Vgrehajts engedlyezse ltradiagramon Kilps a hurokbl Ciklusszervezs definilsa A fggvnyfunkci kezdetnek s vgnek deklarlsa. Pldul Y: = SIN(X)*SIN(X) Funkciblokk kezdetnek s vgnek deklarlsa IF/THEN/ELSE struktra definilsa Egy kezdeti lps definilsa Egy program kezdetnek s vgnek deklarlsa Ismtlsi funkci definilsa Egy vltoz rtknek definilsa a PLC tpfeszltsgnek hibja utn (power interrupt): VAR_OT RETAIN Status: INT END_VAR Funkciblokk (szubrutin) vge deklarlsa Lps kezdetnek s vgnek deklarlsa Taszk deklarlsa tmenet kezdetnek s vgnek deklarlsa Adattpus megvltozsnak definilsa Bels vltoz belltsnak deklarlsa Bemeneti vltoz belltsnak deklarlsa Bemeneti s kimeneti vltoz belltsnak deklarlsa Kimeneti vltoz belltsnak deklarlsa Kls vltoz belltsnak deklarlsa Globlis vltoz belltsnak deklarlsa, amelyet az sszes elemmel lehet cmezni a programon bell WHILE (AMG) struktra definilsa Program- vagy funkciblokk kztti kapcsoldshoz hasznlt kulcssz

EN/END EXIT FOR/TO/BY/DO/END_FOR FUNCTION/END_FUNCTION FUNCTION_BLOCK/END_FUNCTION_BLOCK IF/THEN/ELSIF/ELSE/END_IF INITIAL_STEP/END_STEP PROGRAM/END/_PROGRAM REPEAT/UNTIL_END_REPEAT RETAIN

RETURN STEP/END STEP TASK TRANSITION/FROM/TO/END_TRANSITION TYPE/END_TYPE VAR/END_VAR VAR_INPUT/END_VAR VAR_IN_OUT/END_VAR VAR_OUTPUT/END_VAR VAR_EXTERNAL/END_VAR VAR_GLOBAL/END_VAR WHILE/DO/END_WHILE WITH

Tovbbi fenntartott kulcsszavak: BOOL, INT, REAL, TRUE, FALSE, NOT, OR, AND, XOR, RS, TON, LD, ST, ADD, MUL, DIV, SIN, COS, stb. Pldul a bemeneti, kimeneti s bels vltozk definilsra vonatkoz programrszlet s a program szerkezeti felptse ST nyelven.

48 PROGRAM_Programnv VAR_INPUT (*valamennyi bemeneti vltoz listja s adattpus deklarlsa*) END_VAR VAR_OUTPUT (*valamennyi kimeneti vltoz listja s adattpus deklarlsa*) END_VAR VAR (*valamennyi, a programban hasznlt bels vltoz s funkciblokk listja*) END_VAR (*a fprogram*) END_PROGRAM_BLOCK Az ST nyelven definilt funkciblokk (szubrutin) ms PLC nyelvekben is felhasznlhat (hvhat), ha a hordozhatsg felttelei megvannak a kt fejlesztrendszer kztt. Funkciblokk definilsa: FUNCTION_BLOCK_Funkcinv VAR_INPUT (*a funkciblokk bemeneti vltozinak listja s azok adattpusa*) END_VAR VAR_OUTPUT (*a funkciblokk kimeneti vltozinak listja s azok adattpusa*) END_VAR VAR (*bels vltozk deklarlsa*) END_VAR (*a funkciblokk algoritmust realizl alprogram*) END_FUNCTION_BLOCK Egy funkciblokk (szubrutin) definilsa teht a bemeneti, kimeneti s bels vltozk deklarlsbl s az ismtld programrszbl ll. Az opertorok kzsek a modern magas szint nyelvekben definiltakkal: aritmetikai mveletek (ADD, MUL, SUB, DIV); sszehasonlt mveletek (GT azaz >, GE azaz > =, EQ azaz =, LE azaz > =, LT vagy < s NE azaz < >); bitmveletek (AND, OR, XOR, NOT); a SIN, COS, TAN, SQRT s LOG funkcik szintn definilva vannak. Plda az IF/THEN/ELSE struktrra (HA/AKKOR/EGYBKNT) IF < (a Boole kifejezs igaz) > llapot ELSE < llapot > END_IF

49 Pldul CASE struktra CASE < (egsz kifejezs) > OF < lista > : < llapotok > < lista > : < llapotok > ELSE < llapotok > END_CASE Az ST nyelv hrom megoldst biztost a ciklusszervezsre: FOR...DO ciklusszervezs FOR < index > : = < start > TO < vg > BY < nvels > DO < llapotok > END_FOR WHILE...DO ciklus (AMIG struktra) WHILE < (a Boole kifejezs igaz) > DO < llapotok > END_WHILE REPEAT...UNTIL ciklus (ISMTELDAMIG) REPEAT < llapotok > UNTIL < (a Boole kifejezs hamis) > END_REPEAT Az ST programozsi nyelv elnye a nagyfok rugalmassga, de alkalmazsa magas szint nyelvi programozsi ismereteket s gyakorlatot ignyel. 3.2.2. Utastslists programozsi nyelv Az utastslists programozsi nyelv (IL) a PLC kialakulsval egyids s a bitszervezs programozhat vezrlk esetn az utastskszlet nagymrtkben fggtt az adott feldolgozegysgtl (processzortl). Az ilyen felpts PLC-kben egy-egy utasts a memria egy cmn helyezkedett el. Az utastsok szma szles hatrok kztt vltozott. Gyrtottak 8, 16, ill. 32 utastst rtelmez vezrlket. A Motorola MC14500B tpus bitprocesszora 16 utastst rtelmezett. Napjainkban az ltalnos cl processzorok esetn a PLC utastsok tpusa kevsb fgg a CPUtl, azt a CPU s az alapszoftverben elhelyezett interpreter egytt hatrozzk meg. Az utastslists programnyelv felhasznli programvezrlsi parancsok (utastsok) sorozatbl ll. Egy-egy utasts a mveleti (opercis) rszbl s az operandusrszbl ll. A mveleti rsz (utasts) azt hatrozza meg, hogy a CPU-nak milyen mveletet kell vgeznie. Az egyes mveleteket rendszerint az utasts nevnek rvidtsvel jellik (pl. OR, LD, stb.). Az operandusrsz arra a krdsre ad vlaszt, hogy a mveleti rszben definilt mveletet mivel kell elvgezni. Mveleti kd Operandus

Pldul

Mvelet AND

Kiegszts N

Operandus I

Kiegszts B

Paramter 34

50 A plda szerint az utasts a 34-es bemeneti (I) bit (B) negltjnak (N) S kapcsolatt vgzi az akkumultorral. Mivel az IEC szabvny a nmet kulcsszavakat is engedlyezi, a fentiek rtelmezshez a 2. fejezet PLC utastsformtumt mutatjuk be. Mvelet Operandus kiegszts Operandus (abszolt) Operandus (szimbolikus)

U Und UN Und Nicht O Oder = Zuweisung S Setzen R Rcksetzen

B BY W

Bit Byte Wort

A E M T Z U B

Ausgang Eingang MERKER Zeit Zhler E

0.0... 0.0... 0.0... 0... 0... 1.4

15.7 23.7 191.7 127 63

Egy utasts formtuma:

Az elz programsz az operandust abszolt mdon definilja, de az adott PLC megengedi az operandusok szimbolikus megadst szveges operandusokkal is. Ugyanennek a programsznak a megadsa szimbolikus operandussal: U B START. Ez esetben a gp a START operandust az 1.4-es bemenettel azonostja a felhasznl ltal megadott azonosttbla alapjn. Az IEC nemzetkzi szabvnytervezet az utastsok jellsre az angol s a nmet megnevezsek kezdbetit engedlyezi. Az IEC 1131-3 szabvnyban ajnlott utastsokat a 3.3. tblzat tartalmazza. 3.3. tblzat. IEC 1131 javasolt IL utastsok
Sor- Utasts Kiegszts szm 1 LD N 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 ST S R AND OR XOR ADD SUB MUL DIV GT GE EQ NE LE LT JMP CAL RET ) N Operandus tpusa Brmely Brmely Boole Boole Boole Boole Boole Brmely Brmely Brmely Brmely Brmely Brmely Brmely Brmely Brmely Brmely Cmke (LABEL) Nv Lers Operandus betltse az akkumultorba (Pldul LD % IX1) Akkumultor tartalmnak kivitele (Pldul ST % QX2) Operandus 1-be lltsa Operandus trlse Logikai S mvelet Logikai VAGY mvelet Logikai KIZR VAGY mvelet sszeads Kivons Szorzs Oszts Nagyobb, mint az sszehasonltott opertor Nagyobb vagy egyenl, mint az sszehasonltott opertor Egyenl az sszehasonltott opertorral Nem egyenl az sszehasonltott opertorral Kisebb vagy egyenl Kisebb, mint az sszehasonltott opertor Ugrs a cmkre Funkciblokk (szubrutin) hvsa Visszatrs a funkciblokkbl Zrjeles mvelet zrsa

N s ( ) N s ( ) N s ( ) () () () () () () () () () () C,N C,N C,N

51 Jellsek a tblzatban N NOT, ( ) a zrjel operandusok s mveletek kapcsolsra ad lehetsget, C felttel (condition), az akkumultor a PLC processzornak specilis regisztere. Termszetesen az utastsok programm szervezsre lteznek szintaktikai szablyok, de ezek PLC tpustl is fgghetnek. Az els s msodik szably a krdses PLC intelligencijtl is fgg, mg a tbbi szably ltalnosnak tekinthet. Szintaktikai szablyok: 1. Egy kimenetre vonatkoz logikai fggvny els vltozjnak betltse LD vagy LDN mvelettel kezddik. 2. A diszjunktv alak logikai fggvny egyes S kapcsolatainak rszeredmnyeit a MERKER memriban kell trolni s a VAGY mveleteket a MERKER bitek kztt kell elvgezni (ez az jabb tpusoknl elmaradhat). 3. A programhurok kialaktst lehetleg kerlni kell. 4. Az egymsba gyazhat szubrutinok szmt a PLC stack-RAM terlete korltozhatja. 5. JMP utastssal (vagy ms mdon) a szubrutinterletre trtn belps programhibt okoz. 6. A szubrutinbl a fprogramba trtn visszatrst minden esetben biztostani kell. 7. A program ciklikus vgrehajtshoz a kezdcmre trtn visszatrst biztostani kell. Plda Boole jelleg mveletre: Y5 = I1 & 13 V I2 & I4 Utastslists program: LD ANDN ST LDN ANDN OR ST I1 I3 M1 I2 I4 M1 Y5

Fontos, hogy az ANDN utasts ltal vgzett logikai mvelet az operandus ltal meghatrozott vltoz negltjval vgez S mveletet, teht nem azonos a NAND mvelettel. Hasonlan rtelmezend az ORN mvelet is. Plda a zrjel alkalmazsra s bjt jelleg mveletre: LD GT( ADD ) Megjegyzs: sok jelenleg gyrtott PLC a 3.3. tblzatban lerttl eltr utastsokat is rtelmez s vgrehajt; amg korbban a PLC utastsok szinte kizrlag a bitmveletekre korltozdtak, a mai kszlkeknl a bjt- ill. szmveletek is termszetesek; napjainkban egyarnt tallkozhatunk az utastsok szmnak cskkentsre irnyul trekvsekkel, illetve 100 utastsszm feletti tpusokkal is; az utastslists programozs sorn egyrszt a programoz hozhat ltre funkciblokkokat, msrszt hasznlhat knyvtri funkciblokkokat is. X BYTE A BYTE B BYTE (Az X bjt betltse az akkumultorba) Tesztels : X GT (A + B) vagy : X > (A + B)

52 Az utastslists programozsi nyelv azoknak ajnlhat, akiknek gpkzeli programozsi (pl. assembly nyelv) ismereteik vannak. 3.2.3. Ltradiagramos programozsi nyelv A ltradiagramos programozsi nyelv (Ladder Diagram, LD vagy LAD) az ramttervbl alakult ki, gy a ltradiagramok az ramttervek szoftver megfeleli. A reljelleg programozsi nyelv kidolgozsnak ignye mr a General Motors plyzatban is szerepelt, de szles kr elterjedst csak a grafikai lehetsgekkel elltott fejlesztrendszerek eredmnyeztk. Szksgessgt fknt a rels hlzatok tervezshez rt szakemberek knnyebb tkpzse indokolta. A ltradiagramos programozsi nyelv alkalmazsa az ramtterv bizonyos mrtk ismerett felttelezi. 3.2.3.1. ramtterv Az rintkezs vezrlsek elvi rajzt ltalban ramutas rendszerben ksztik. Ennek lnyege, hogy a tpfeszltsg prhuzamosan halad kt vezetke kz rajzoljuk a mkdtetrintkezk s a mkdtetett kszlkek soros vagy vegyes kapcsols lncait, lehetleg a mkdsknek megfelel sorrendben. Ez az brzolsi md azzal jr, hogy az egy szerkezeti egysget alkot elemek nem kerlnek egyms mell. Az ramtrajzon teht nem treksznk a rel vagy mgneskapcsol mkdtet elektromgnesnek s a hozz tartoz rintkezknek, illetve a ms mdon mkdtetett kapcsolk egytt mozg rintkezinek egyms mell rajzolsra. Az ramtrajzon minden elem nyugalmi (raktri) llapotban van feltntetve. Az ramkrket gy kell csoportostani, hogy a mkdsi sorrendben egymst kvet ramkrk lehetleg a rajzon is kvessk egymst. A kapcsolelemek sszetartozsnak felismerst a rajzjelek, valamint a bet- s szmcsoportbl ll tervjelek biztostjk. Rajzjelen az elem szimbolikus kpt rtjk. A tervjel a rajzjel mell rt bet- s szmcsoport, amely az elemet azonostja. A tervjel els betje a kszlknek a rajzjelben szimbolizlt fajtjra utal (fnv). A msodik bet az elem rendeltetsre utal (mellknv vagy jelz). A harmadik bet a kszlknek a technolgiai folyamattal val sszefggsre utal. Hromnl tbb betbl ll tervjelet nem alkalmaznak. Pldul: NB BE nyomgomb, KE elre (E) irny mgneskapcsolja (K). Az azonos betjel elemek tovbbi megklnbztetsl a betkombincikat szmok egsztik ki: a 6. szivatty motorja M6, a harmadik szivatty motorjnak mgneskapcsolja K3. A betcsoport eltt akkor alkalmaznak szmokat, ha egy villamos egysget felpt elemek azon bell ismtldnek: a 6. szivattymotor els indtfokozatnak mgneskapcsolja: 1KJ6, a 6. szivatty msodik indtfokozatnak ksleltetrelje: 2RJ6. A betk utn ktjellel rt szm a kszlk rintkezinek sorszmt jelli: a 2RJ6 rel 4. rintkezje: 2RJ6-4. Egy rajzszmon bell (berendezsen bell) kt klnbz kszlknek mg akkor sem lehet azonos tervjele, ha kln ramkrben vannak. A tervjelekben a tvedsek elkerlsre az O s I bett nem hasznljk. A rajzjelek s tervjelek nincsenek szabvnyostva, ezrt fleg export s import kszlkeknl ms jelrendszerekkel is tallkozunk. A 3.4. brn a fontosabb rajzjelek lthatk.

53

3.4. bra. Az ramtterv fontosabb rajzjelei Az ramtrajz ksztsnek szablyai: a mkdtet ramkrk ramtjait kt fggleges egyenes vonal, az ramforrs kt plusa kztti vzszintes egyenes mentn brzoljuk. A hromfzis vltakoz ram rendszereket hrom fggleges egyenes kztti vzszintes egyenesek mentn brzoljuk gy, hogy a bal oldalon kt fggleges egyenes (R, S fzis), mg a jobb oldalon egy egyenes (T fzis) van; a vzszintes vonalak, vagyis az ramutak keresztezdst kerlni kell. Egy-egy teljes ramutat legegyszerbb vonalvezetssel brzoljuk egy egyenes mentn gy, hogy az ram tja kvethet legyen; az ramutakat lehetleg a mkdtet feszltsgek szerint kell csoportostani; a hatst kifejt elem vagy szerv (mgneskapcsol, ill. rel tekercse, jelzlmpa stb.) egyik sarkt mindig kzvetlenl ktjk be a jobb oldali fggleges egyenesbe (a fldelt gba). Ezzel a megoldssal az esetlegesen fellp fldzrlat hatsra hibs mkdtets nem kvetkezik be; az ptelemeket mindig nyugalmi, feszltsgmentes helyzetkben, ill. kiindulsi helyzetkben brzoljuk. gy pl. a vgllskapcsolk rintkezit a mkdtet erhats nlkli alaphelyzetben, a relket, mgneskapcsolkat elengedett, gerjeszts nlkli llapotban brzoljuk; a kszlkeket az elzekben lert mdon tervjelekkel ltjuk el; az ramtrajz gait gyakran megszmozzk s egy-egy kszlk mellett feltntetik, hogy zr-, ill. bontrintkezje melyik ramt kapcsolsban vesz rszt; a kapcsolsi funkcik knnyebb kvetsre szoks a rel, mgneskapcsol mell a funkcit feltntetni; az ramtrajz alapjn trtn szerels megknnytsre feltntetik a hozzvezetsek csatlakozsi pontjainak szmt is. Ilyenkor villamos kapcsolsi, ill. szerelsi rajz nem szksges. Az ramtrajz elnye a j kvethetsg. Htrnya, hogy a framkrk s a segdramkrk kln rajzon vannak brzolva, az ptelemeket nem felptsi sszefggseikben brzolja, tovbb az ramtrajz alapjn trtn huzalozs nagy gyakorlatot ignyel. Ezrt alkalmazzk az ramtrajz mellett a villamos kapcsolsi rajzot. A ktfle brzolsi md sszehasonltst clozza a 3.5. bra, amely a rvidre zrt forgrsz motor tartramkrs vezrlst mutatja.

54

3.5. bra. Tartramkrs motorvezrls villamos kapcsolsi rajza (a) ill. ramtrajza (b) 3.2.3.1. ramtterv Br a ltradiagramok (LD) az ramttervek programozsi megfeleli, lnyeges klnbsg kzttk, hogy csak logikai egyenletek lersra szolglnak, nem tartalmaznak specilis, s csak az rintkezs hlzatokra jellemz sszevonsokat s elemei csak az adott PLC funkcionlis szoftverelemei lehetnek. A ltradiagramok fbb elemei: kontaktusok; huzalozs; logikai kimenetek (Output, Flag, MERKER); idztk; szmllk; klnleges elemek (pl. lptetregiszter, PID blokk). Az IEC szabvny fbb LD grafikus szimblumait a 3.4. tblzat tartalmazza. 3.4. tblzat. Ltradiagram szimblumai IEC Lers grafikus szimblumok | | Zr- (munka-) rintkez |/| Bont- (nyugalmi) rintkez |P| 0 1 tmenetet ad rintkez |N| 1 0 tmenetet ad rintkez ( ) Tekercs (/) Neglt mkds tekercs (S) RS FF bertekercs (R) RS FF trltekercs (M) Tpfeszltsg-kimaradskor llapott megtart tekercs (SM) Tpfeszltsg-kimaradskor llapott megrz RS FF bertekercs (RM) Tpfeszltsg-kimaradsakor llapott megrz RS FF trltekercs (P) 0 1 lre mkd (ON) tekercs (N) 1 0 lre mkd (OFF) tekercs

55 A ltradiagram szimblumai az ramtterv rajzjeleibl alakultak ki. Fontos klnbsg, hogy a ltradiagramon az egyes elemek csak a funkci, ill. viselkeds alapjn vannak megklnbztetve, hiszen a ltradiagram szimblumai nem valdi elemek (rintkezk, beavatkozk), hanem szoftverelemek. Ezrt nincsenek megklnbztetve, pl. a nyomgombok, a relrintkezk vagy a termikus rel rintkezje, ill. a rel s a mgneskapcsol. A ltradiagram ksztsnek szablyai hasonlk az ramtterv ksztsnek szablyaihoz, kivve a specilis hardvervonatkozsokat: a ltradiagramon a mkdtet s mkdtetett elemek szimblumaibl ll ramutakat kt fggleges vonal kztt vzszintes egyenes mentn brzoljuk; a mkdtetett elem egyik sarkt mindig a jobb oldali fggleges egyenesbe ktjk be; az sszetartoz mkdtet s mkdtetett elemeket azonos betjellel ltjuk el, tbb ilyen elem esetn szmozst alkalmazunk; a vezetkek keresztezdst kerlni kell; az egyes ramutakat a sorrendi mkds szerint brzoljuk; a ltradiagram egyes elemeit feszltsgmentes llapotban brzoljuk; a ltradiagram egyes elemeihez tovbbi adatok is rhatk (pl. idztsre vonatkoz konstans, szmll-hatrrtk, stb.); a specilis elemek (pl. szmll, idzt, regiszter stb.) valamennyi mkdsi funkcijrl gondoskodni kell (pl. szmll trlse, irny kijellse, lptetse); egy specilis funkci sohasem csatlakozhat kzvetlenl a bal oldali referenciavezetkre, csak logikai feltteleken keresztl. A ltradiagramos program ksztsnek lpsei: 1. A bemeneti-kimeneti sszerendelsi tblzat elksztse: Technolgiai vltoz NB BE nyomgomb NK KI nyomgomb MK1 mgneskapcsol 2. A logikai egyenletek meghatrozsa. 3. A ltradiagram megszerkesztse. 4. A program szimulcija. A ltradiagramos programozsi nyelv a kvetkez esetekben ajnlatos: meglv rels huzalozott vezrls talaktsa PLC-s vezrlsre, rels rutinvezrlsek PLC-s megvalstsa (pl. hromfzis motorvezrlsei) s rintkezs vezrlsekben jratos szemlyek rszre. A ltradiagram szemlltetsre a tartramkrs kapcsols LD megfeleljt a 3.5c brn rajzoltuk meg. A ltradiagram a bjt-, ill. szmveletek programozsnl is hasznlhat megfelel funkciblokkok beiktatsval. PLC-beli (szoftver) vltoz I11 (Input 11) I12 (Input 12) O22 stb. (Output 22)

3.5c bra. Tartramkr ltradiagramja

56 3.2.4. Funkciblokkos programozsi nyelv A funkciblokk-diagram (Function Block Diagram, FBD) tulajdonkppen a huzalozott logikban az SSI, MSI ramkrknl hasznlt szimblumokbl kialaktott, ersen hardverorientlt nyelv. Egy funkciblokk bal oldaln a bemenetek, jobb oldaln a kimenetek vannak feltntetve. A jelfolyam irnya az elz fokozat kimenettl a kvetkez fokozat bemenete fel halad (balrl jobbra). gy az FBD szintaktikai szablyai a huzalozott, feszltsglogikj hlzatok hardverkialaktsi szablyaival egyeznek meg, nhny kivtellel (pl. bels terhelsi viszonyok, FAN OUT, FAN IN). A fontosabb IEC szabvny funkciblokkok a 3.5. tblzatban lthatk. 3.5. tblzat. IEC szabvnyos funkciblokkok AND, azaz S mvelet I1 Y I2 (Y = I1 & ...I n ) & In NAND, azaz NEM S mvelet I1 I2

&

(Y = I & ...I ) 1 n

In OR azaz VAGY mvelet I1 I2 In NOR azaz NEM VAGY mvelet I1 I2 1 o Y (Y = I V ...I ) 1 n

(Y = I V...I ) 1 1

...

In Az SR FF egy latch, amelynl az S1 bemenetnek van prioritsa (bersra elsbbsget biztost SR FF). S1 Boole tpus berbemenet R Boole tpus trlbemenet Q1 FF kimenet Trlsre elsbbsget biztost RS FF. S Boole tpus berbemenet R1 Boole tpus trlbemenet Q1 FF kimenet (Az R, ill. S betk cserje a dominl bemenetre utal.)

SR FF SR S1 R RS FF RS S R1 Q1 Q1

57 0 1 tmenet detektor A Q kimenet 1-be rdik a CLK bemenet 0 1 tmenetekor. R-TRIG CLK Q CLK = Boole tpus CLOCK bemenet (0 1 tmenet hatsos) Q = Boole tpus kimenet (Az R-TRIG a rising edge, felfutlre utal.)

1 0 tmenet detektor A Q kimenet 1-be rdik a CLK bemenet 1 0 tmenetekor. F-TRIG CLK Ketts detektor EDGECHECK CLK1 CLK2 Elreszmll (Up-counter) CTU CU R PV Visszaszmll (Down-counter) CTD CD LD PV Q CV Q CV Q Q CLK Boole tpus CLOCK bemenet (1 0 tmenet hatsos) Q Boole tpus kimenet (Az F-TRIG a falling edge, lefutlre utal.) A blokk kimenetn Q = 1 jelenik meg, ha a CLK1 bemeneten 0 1, vagy a CLK2 bemeneten 1 0 tmenet jelenik meg. CLK1 Boole tpus CLOCK bemenet (1 0 tmenet hatsos) CK2 Boole tpus CLOCK bemenet (0 1 tmenet hatsos) Q Boole tpus kimenet Az elre szmll a CU bemeneten megjelen 0 1 tmenet hatsra a PV rtk elrsig elre szmol, majd lell. CU R PV Q CV szmllbemenet (counter up input) trlbemenet (reset input, BOOL) belltott rtk (pre-set value, INT) kimenet (output, BOOL) a szmll aktulis rtke (current count value, INT).

A visszaszmll a CD bemeneten megjelen 0 1 tmenet hatsra visszaszmol a PV rtktl 0-ig. A CPU kimenetre indulskor a PV rtk rdik, majd a CD 0 1 tmenetekor dekrementldik. CD szmllbemenet, 0 1 (count down on rising edge input, BOOL) LD a PV rtk betltsre szolgl bemenet. Ha LD = 1, akkor CV PV s Q = 0 Q kimenet (output, BOOL) CV aktulis szmllrtk (INT) PV belltott rtk (preset value, INT)

58 Elre-vissza szmll (Up-down counter) CTUD CU CD R LD PV Idzt TP IN PT Q ET QU QD CV Az elre-vissza szmll a CU, ill. CD bemeneteken megjelen 0 1 tmenetek szmllja. Ha a szmll aktulis rtke elri a 0-t, akkor a QD kimenet megy 1-be. Ha a szmllt rtk elri a PV-t, akkor a QU kimenet megy 1-be. CU 0 1 tmenetre billen elreszmll bemenet (BOOL) CD 0 1 tmenetre billen visszaszmll bemenet (BOOL) R trls (BOOL) LD a PV betltse CV-be (BOOL) PV belltott rtk (INT) QU elreszmlls kimenete (BOOL) QD visszaszmlls kimenete (BOOL) CV a szmll aktulis rtke (INT) Idztelem, amelynek kimenete 1-be billen a PT ltal meghatrozott ideig. IN az idzt bemenet indtsa (BOOL) PT az impulzus hossza (TIME, INT) Q kimenet (BOOL) ET eltelt id (TIME, INT) Monostabil multivibrtor, amely az IN bemeneten fellp 0 1 tmenet hatsra az ET rtke nvekszik az eltelt idvel arnyosan, amg PT = ET ll el. Ekkor a Q = 1-re vlt. IN timer start bemenete (BOOL) PT belltott ksleltetsi id (TIME, INT) Q ksleltetett kimenet (BOOL) ET eltelt id (TIME, INT)

Bekapcsolsi ksleltets (On delay timer) TON IN PT Q ET

Kikapcsolsi ksleltets A Q kimeneten az 1 jel PT idvel ksbb sznik meg az IN bemenet (Off-delay timer) 1 0 tmenethez kpest. TOF IN PT Q ET IN timer start (BOOL) PT belltott ksleltetsi rtk (TIME, INT) Q ksleltetett kimenet (BOOL) ET eltelt id (TIME, INT)

Vals idej ra (Real-time clock) RTC EN PDT Q CDT

A vals idej ra funkcija a dtum s az id beolvasst biztostja. EN engedlyez bemenet (BOOL) PDT dtum s id belltsa (DT) Q kimenet (BOOL) CDT aktulis dtum s id (DATA_AND_TIME) A real-time ra blokk belltsa (inicializlsa) az EN bemenetrl trtnik a PDT rtkre.

59 Az FBD programozsi nyelv a feszltsg logikj logikai tervezsben jrtas szemlyek rszre ajnlhat. Ilyen programozsi nyelvet hasznlnak pldul a Siemens, ill. a SAIA PLC-k programozsnl. A PLC programozsi nyelvek kztti analgikat az elre-vissza szmll ST nyelv programjval szemlltetjk. IF ELSIF ELSIF ELSIF R LD CU CD THEN THEN AND THEN AND THEN CV: = 0; CV: = LD; (CV < PV max) CV: = CV + 1; (CV > PV min) CV: = CV - 1

END - IF: QU: = (CV > = PV) QD: = (CV < = O) 3.2.5. Sorrendi folyamatbrs programozsi nyelv Mr a huzalozott vezrlsek idejn felmerlt egy szoftverorientlt vezrlsi feladatlersi md ignye, mivel az ramtrajz, ill. a logikai szimblumos lersi mdszerek ersen ktdtek egyegy megvalstsi mdhoz. Kifejlesztettk a Grafcet francia nemzeti szabvnyt. A PLC-k programozsra hasznlatos sorrendi folyamatbra (Sequential Flow Chart, SFC) tulajdonkppen a Grafcet tovbbfejlesztse s a PLC-k programozsnl mindkett hasznlatos. 3.2.5.1. Grafcet A Grafcet [4, 12] feladatlersi mdszert a szmtstechnikban hasznlt folyamatbra s a digitlis technika jellsrendszere alapjn dolgoztk ki. A mkdsi tervek tartalmazzk a digitlis technika szabvnyos jellseit, vagyis a logikai eszkzk jele ngyzet vagy tglalap alak, a hatsvonal fentrl lefel, ill. balrl jobbra halad. Az ettl eltr haladsi irnyt nyllal kell jellni. A 3.6. bra bemutat nhny, a szabvny ltal megengedett, a logikai ramkri brzolsokban nem szokvnyos, a mkdsi tervekben gyakori jellsi mdot. Lthat, hogy a jel brmely oldalra egyttesen is kerlhet be-, ill. kimenet, valamint a jellsben ssze lehet vonni azokat.

3.6. bra. A vezrlstechnikban hasznlatos, nem szokvnyos jellsek A szmtgpes programok folyamatbra-ksztsekor megszokott kapcsoljelek itt is alkalmazhatk, ha az ttekinthetsg megkvnja (3.7. bra).

3.7. bra. A szmtstechnikbl tvett kapcsoljelek A logikai ramkrkben ritkn alkalmazott, a vezrlstechnikban azonban srn elfordul jelksleltet tagok jelt s iddiagramjt a 3.8. bra mutatja.

60

3.8. bra. Jelksleltet tagok jellse s rtelmezse A mkdsi tervek ms szabvnyban nem alkalmazott jellsei s fogalmai: az utasts ebben a szabvnyban egy llapot megvltoztatsra irnyul rendelkezs; a lps az irnytsi rendszer sszetartoz llapotait fogja ssze. Lehetsget ad az llapotok sorrendjnek kijellsre. A 3.9. bra mutatja az utasts grafikus jelt.

3.9. bra. Az utasts ltalnos szimbluma Az A mezbe az utasts fajtja kerl: D S SD NS SH T ST a ksleltetett (nllan nem hasznlt); a trolt; a trolt ksleltetett; a nem trolt; a trolt, mg energiakiess idejben is; az idben korltozott (nllan nem hasznlt); a trolt s idben korltozott.

NSD a nem trolt s ksleltetett;

A B mezbe az utasts hatst rjuk be. Ha az utasts hatsa nem egyrtelm, akkor zrjelbe tesszk. A C mezbe az utastskimenet csatlakozsi pontjnak jelt rjuk, amire hivatkozva nem kell hatsvonallal odavezetni az utasts kimenett egy ksbbi brarszlethez. A 3.10. brn lthat, hogy az utastsnak tbb be-, ill. kimenete lehet. A bemenetek kzl a betvel jelltek jelentse: F R felttelbemenet, az utasts vgrehajtst akkor engedlyezi, ha rtke 1, trlbemenet, amely az utasts trlst eredmnyezi.

61 A kimenetek kzl a betvel jellt jelentse: RC ezen kimenet rtke akkor 1, ha a beavatkozszerv, amire az utasts vonatkozott, olyan llapotban van, amit az utasts elrt.

A nem jellt kimenet akkor 1, ha az utasts l, vgrehajtand. Ez nmagban nem jelzi, hogy tnylegesen vgrehajtdott-e. Az utastsok magyarz brit a szabvny tartalmazza. Plda erre a 3.11. brn lthat [4].

3.10. bra. Utastsszimblum (a) s vezrlstechnikai rtelmezse (b) A 3.10. bra egy keverberendezs vezrlsnek trolt s idben korltozott utastst (ST) szemllteti. Az utasts E1 & E2 felttel teljeslse esetn aktv, majd a ksleltetsi id leteltvel vagy a kever Ki utasts hatsra, vagy kls trls (R) hatsra trldik. A lps kimeneti hatsa csak E3 & E4 felttel esetn aktivldik. A b) bra a funkcit realizl huzalozott logikj hlzatot mutatja be. Ha egyszerre tbb utastst jellnk ki, akkor lehetsg van brzolsuk tmrtsre a 3.12. bra szerint.

62 A 3.11. bra mutatja a lps grafikus jelt, egy pldt s a hozz tartoz mkdst magyarz lerst.

3.11. bra. Lpsszimblum (a, b) s vezrlstechnikai rtelmezse (c) Az A mezben ll a lps sorszma, amely szabadon vlaszthat. A B mezben szveg helyezhet el (pl. 1. Tlts). Egy lpst, ill. jelet akkor trolunk ebben az egysgben, ha a trlbemenet kivtelvel az sszes bemenete 1. A lps vagy ms nven ugrsjel bevitele utn a kimenet rtke 1. Az i-edik lpst rendszerint az elz lps elrse kszti el s a tovbbi felttelek teljeslse esetn aktivldik, ill. leggyakrabban a kvetkez (i+1) lps bersval trldik. Az R-rel jellt bemenet trlbemenet, ez megengedett, de csak specilis esetekben clszer alkalmazni. A lpst emellett utastsokkal is ki lehet vltani, ill. oltani. Egyetlen lpssel tbb utastst is ki lehet adni (pl. 021 a 3.12. brn), de ugyanarra a beavatkozszervre vonatkozan csak egyet. Ha sorszm kerl az utastsok C mezjbe, gy a szmozs minden lpsnl jra kezddik. Ha az utasts a kvetkez lpsre is hat, akkor egyszeren csak a sorszmval; ha tvolabbi lpsre hat, gy a lps s az utasts sorszmval egytt hivatkozhatunk r. A 3.12. bra pldakppen bemutatja a szmozsi lehetsgeket [12].

63

3.12. bra. Grafcet folyamatbra rszlete Egy lps kimenete tbb lpsben is folytatdhat, mghozz ktfle mdon. A 3.13a bra esetn a 120 szm lps trldik, ha a 130, 131, 132 szm lps kzl brmelyik berdik (VAGY elgazs). Ez a lehetsg pldul feltteltl fgg ciklus szervezsre ad lehetsget. A 3.13b brn lthat esetben a 220 szm lps trldik, ha a 230, 231, 232 szm lpsek egyidejleg berdnak. A 220 szm lps trlse utn mr a 230, 231, 232 szm lpsek kzl is brmelyiket trlhetjk. Ez akkor hasznlhat elnysen, amikor valamilyen mvelet utn a kvetkez fzis tbb egyttes felttelt kvn, amelyek maguk is utastsokat vltanak ki.

3.13. bra. VAGY elgazs (a) s S elgazs (b) jellse Grafcet brn A Grafcet szabvny [12] fknt a huzalozott logikhoz ktdik, de ltalnos rvnynek tekinthet.
3.2.5.2. Sorrendi folyamatbra

Az IEC 1131 szabvny szerinti sorrendi folyamatbra (SFC) igen hasonlt a Grafcet-hez. Az SFC i-edik sejtjt szemllteti a 3.14. bra.

64

3.14. bra. A sorrendi folyamatbra ltalnos sejtje A folyamatbrn a sorrendi hlzat egy-egy bels llapott, az ezen llapotba kerls feltteleit, valamint az llapothoz rendelt kimeneti esemnyeket tntetik fel. Ez a mdszer az 1 az n-bl tpus llapotkdolsbl fejldtt ki. Ezt szemllteti a 3.15. bra.

3.15. bra. A sorrendi folyamatbra hardvermegvalstsa Minden lpst (bels llapotot) egy RS FF reprezentl. Ha egy llapot elll, akkor az elkszti a kvetkez llapotot s trli az elz llapotot realizl FF-t. Az brn jl elklnlnek az tmeneti felttelek, amelyek teljeslse esetn az elksztett FF bebillen s ezzel a kvetkez lps aktivldik s az elz FF trldik. A mai PLC-kben az RS flipflopokat (FF) a RAM memriabitjei helyettestik s az tmenetek feltteleinek meghatrozst a CPU szoftverton vgzi. A 3.15. bra szerinti modell csak az elgazst nem tartalmaz struktra esetn hasznlhat. A korbbi brkon feltntettk a kvetkez llapotot elidz felttelek mellett az elz llapot trlst is. Az n-ik lps (MERKER) visszalltst szemllteti a 3.16. bra.

65

3.16. bra. Az n-ik lps trlse az (n+1)-ik lps elrsekor A trlsi felttel rendszerint a kvetkez llapot (n+1) bersi felttele, de elvileg tovbbi felttelei is lehetnek az n-ik lps trlsnek. Az n-ik lps trlst csak az utbbi esetben szoktk feltntetni. A folyamatbrs lers esetn szigor szablyok rgztik az n-ik lpsrl az n+1. lpsre trtn elrehalads rendjt. Egy tmenet tlpst jelent elrehalads akkor jhet ltre, ha az adott tmenet rvnyes (elrt) s a hozz kapcsold tmeneti felttel teljesl. Ezek egyttes teljeslsekor az tlps ktelez. tlpskor a PLC ciklusideje alatt az tmenetet kvet lps aktvv vlik s az tmenetet megelz lps inaktivldik. Egy tmenet tlpsnek megfelel elrehaladst jl szemllteti a 3.17. bra [10, 12].

3.17. bra. Az (n+1)-ik llapot elrsnek vltozatai A 3.17a brn az tmenet nem rvnyes, mert a 2. lps mg nem aktv, gy a hozz kapcsold felttel logikai rtke kzmbs. A 3.17b brn a 2. lps aktv (), az tmenet teht elrt, de nem lphet t, mert a hozz kapcsold tmeneti felttel a(b c) = 0. A 3.17c brn vzolt tmenet tlphet attl a pillanattl kezdve, hogy az a(b c) = 1 felttel teljesl. Ez esetben az tmenet ktelez. A 3.17d bra azt az esetet szemllteti, amikor a 3. llapot aktivlsa s a 2. llapot inaktivlsa megtrtnt s a 3. tmenet elrt. Az elzekben lert tmenetek idben sorosan foly esemnyek lersnl fordulnak el. A szabvny lehetsget biztost idben prhuzamosan foly esemnyek lersra is. Ez VAGY, ill. S jelleg elgazssal oldhat meg. A VAGY (a), ill. S (b) elgazst szemllteti a 3.18. bra a hagyomnyos szimblumokkal.

66

3.18. bra. VAGY (a), ill. S (b) elgazs jellse hagyomnyos szimblumokkal Az IEC szabvnyban a VAGY jelleg elgazs egyvonalas (3.19. bra), az S jelleg elgazs ktvonalas tmenettel van brzolva (3.20. bra).

3.19. bra. VAGY elgazs jellse IEC szabvny szerint

67

3.20. bra. S elgazs jellse IEC szabvny szerint Tbb lps kztti, S elgazssal szinkronizlt tmenetet mutat a 3.21. bra [10, 12]. A 3.21a bra szerinti helyzetben az tmenet nem jhet ltre, mert br az 5., ill. 6. lps aktv (), de a 4. lps nem. Ez S jelleg tmenetnl az tmenet tiltst jelenti. Ilyenkor a (3) felttel llapota kzmbs. A 3.21b brn a 4., 5., 6. lpsek aktvak, ezrt az tmenet elrt, de nem lphet t, mert a (3) felttel nem teljesl. A 3.21c brn mindkt felttel teljesl az tlpshez, gy az tlps ktelez. A 3.21d bra az tmenet bekvetkezse utni llapotot szemllteti. Az tlps prhuzamosan megy vgbe, amelynek eredmnyeknt: a 7., ill. 8. lps aktivldik; a 4., 5., ill. 6. lpsek inaktivldnak (trldnek); a 7., 8. lpsek elrtt vlnak.

3.21. bra. Tbb lps kztti S elgazssal szinkronizlt tmenet szemlltetse VAGY jelleg elgazsnl egyidejleg csak az egyik gon folytatdhat a lpsek kztti elrehalads. Ezt gy rik el, hogy minden g belpsnl eltr (kizr) feltteleket definilnak. Erre plda a 3.22. bra [10, 12].

68

3.22. bra. VAGY jelleg elgazs szemlltetse Az 5. lpsrl mindig csak egyik gra lehet tovbblpni a teljesl felttelektl fggen. Teht az 5. lps utn vagy a 6., vagy a 8., vagy a 9., vagy a 11., vagy a 13. lps kvetkezik. A 14. lpsre brmely grl t lehet lpni. A VAGY, ill. S elgazsok kombinltan is alkalmazhatak a megfelel szintaktikai elrsok betartsval. Kt specilis SFC struktrt szemlltet a 3.23. bra [10].

3.23. bra. VAGY, ill. S elgazs kombinlsa A 3.23a brn vzolt folyamatbra klnlegessge, hogy az egyik VAGY elgazs nem tartalmaz lpst, csak a tovbblps felttelt, d. A struktra formailag helyes. A 3.23b brn egy ciklusszervezs lthat. Ha a c felttel nem teljesl, a d viszont igen, akkor az S31, S32 lpsek ismtldnek. A struktra formlisan helyes, de a vgtelen ciklus elkerlst biztostani kell. Hibs struktrj SFC-t szemlltet a 3.24. bra [10].

69

3.24. bra. Hibs elgazsok Mindkt brn lthat, hogy a t2 felttel nem teljeslse esetn az F lps nem jhet ltre. A 3.24a brn az S elgazs nlkl is megtrtnhet a tovbblps, a 3.24b brn pedig a t2 nem teljeslse esetn nem trtnhet tovbblps a prhuzamos gon a ketts S elgazs miatt. Az SFC abban tr el az elzkben ismertetett ngyfle programozsi nyelvtl (ST, IL, LD, FBD), hogy az SFC nem jelent a PLC-be kzvetlenl betlthet programot. Az SFC-bl rendszerint az elz ngy programozsi nyelv valamelyikn megfogalmazott programot generljk. Az SFC ignyli a legkevesebb specilis ismeretet a feladat megoldshoz. Az SFC-vel lert feladatok programozshoz egyes gyrtk a ltradiagramos programozs keretben az n. stepladder (STL), lptetrel funkcit vezettk be. A 3.25. bra az SFC (3.25a bra) s az LD (3.25b bra) kztti kapcsolatot szemllteti [9]. Az 3.25. bra szerint az S600 lps az X400 bemenettel aktivldik s az S601 lpssel trldik. Ehhez a lpshez az Y430 kimenet van rendelve. A trlsi funkcit megvalst rintkezket, hasonlkppen az SFC szimblumhoz, rendszerint nem tntetik fel a ltradiagramon.

70

3.25. bra. Lptetrel (STL) funkci (a) az SFC-n s realizlsa ltradiagramon (b)

3.3. A PLC program vgrehajtsnak mdjai


A mai PLC-k olyan specilis mikroszmtgpek, amelyek programjukkal s specilis be/ki eszkzeikkel az irnytsi, fknt vezrlsi feladatok kzvetlen vgrehajtsra alkalmasak. Napjainkban egyre npszerbb a szemlyi szmtgpek kzponti egysgnek hasznlata PLC funkcikhoz. A PLC-k s a szmtgpek kztt a ngy legfontosabb klnbsg a kvetkez: vals idej mkds, krnyezeti felttelek, programozsi nyelvek s a programvgrehajts mdja. Vals idej mkds: a PLC-k vals idej (real-time) opercis rendszerrel vannak elltva, amelynek f prioritsa a be/ki eszkz llapotnak lekezelse egy meghatrozott vlaszid alatt. Krnyezeti felttelek: a PLC-ket ipari krnyezetben elfordul krlmnyek (hmrsklet, pratartalom, zavarok, stb.) kztti mkdsre terveztk s kiviteleztk. Programozsi nyelvek: a PLC-k specilis, irnytstechnika-orientlt nyelvezettel rendelkeznek. Programvgrehajts mdja: a PLC-k s a PC-k kztti alapvet klnbsg a programvgrehajts. A szmtgpek ma mr a korszer opercis rendszerek rvn az n. multitaszkos program-vgrehajtsi mdot, mg a PLC-k a szekvencilis vgrehajtsi mdot alkalmazzk. A programozhat vezrlk fejldse sorn hromfle utastsfeldolgozsi mddal tallkozhatunk: lpsorientlt sorrendi, ciklikus s aciklikus mkds. Lpsorientlt sorrendi mkds esetn a PLC csak a kvetkez lps kialakulsnak feltteleit vizsglja. Ilyen felpts PLC teht nem vizsglja ciklikusan az sszes bemenetet. Az ilyen kszlk esetn, ha pldul a kvetkez sorrendi lps (pl. 14.) felttele az X 2 & X3 , akkor a 13. lps teljeslse utn a PLC csak az X2, X3 bemeneteket olvassa be s vr az S kapcsolat teljeslsre. Az ilyen PLC-k ma mr nem hasznlatosak. A ciklikus mkds PLC-k a leggyakoribbak. Ez a program-vgrehajtsi forma valamenynyi folyamatesemnyt programozottan figyeli a program ciklikusan ismtelt vgrehajtsval. Ennek a feldolgozsi mdnak az az elnye, hogy egyszerbb hardvert s szoftvert ignyel, htrnya viszont, hogy a ciklusid s a reakciid fgg a felhasznli program hossztl s az utast-

71 sok tpustl. A ciklikus szervezs programnak kt vltozata ismert: a lineris s a strukturlt programvgrehajts. Lineris vgrehajtsnak tekinthetk azok a PLC-k, amelyek vezrlstad utastsokat nem alkalmaznak, gy a program utastsait nvekmnyes, kttt sorrendben hajtjk vgre. Elnyk, hogy a vlaszidk viszonylag knnyen megadhatk, htrnyuk, hogy bonyolult programok esetn igen megn a letapogatsi id s nincs lehetsg az ismtldsek kihasznlsra. A strukturlt szervezs programok fprogrambl s alprogrammodulokbl (taszkok, szubrutinok) llnak. A programmodulok paramterezhetk, tbbszr is hvhatk s egymsba gyazhatk. A strukturlt szervezs program felptse a 3.26. brn lthat.

3.26 bra. Strukturlt program felptse A 3.26. brbl kitnik, hogy a program vgrehajtsa alapveten ciklikus az els modulhv utastsig. A strukturlt ciklikus feldolgozs PLC-k elnye, hogy a modulok az ismtld programrszek egyszer programozsra adnak lehetsget. Htrnyuk, hogy a programozsuk mlyebb programozsi ismereteket ignyel s a vlaszid meghatrozsa nehzkes. Ilyen feldolgozsra csak olyan PLC-k alkalmasak, amelyek utastskszlete szubrutinhvst, megszaktskezelst, stb. tartalmaz. A strukturlt ciklikus PLC-ket nmely irodalomban aciklikus programvgrehajts PLC-knek nevezik. 3.3.1. Ciklusid A lineris, ciklikus mkds PLC teht az utastsokat ciklikusan egyms utn hajtja vgre. Az utols utasts vgrehajtsa utn visszatr a program elejre. A program egyszeri vgrehajtsi idejt nevezzk programletapogatsi idnek (scan time) vagy ciklusidnek (cycle time). Ez az id fgg a program mrettl s a processzor sebessgtl. A ciklusid tipikusan 1-5 ms/K, ahol K a programmemria 1024 rekeszenknti mrete.

72 Az tlagos letapogatsi id rendszerint 10-50 ms kztt van a program hossztl fggen. A ciklusid mellett hasznlatos a reakciid fogalma is. A PLC-k reakciidejt a ciklusidn kvl a be- s kimeneti jelksleltetsi idk befolysoljk. A maximlis reakciid rtke a (3-1) kplet alapjn hatrozhat meg: (3-1) Tr max = 2Tc + T + T . bj kj ahol Tr max a maximlis reakciid, Tc a PLC ciklusideje, T bemeneti jelksleltetsi bj id, T kimeneti jelksleltetsi id. A 2-es szorz szerepe a 3.28. bra alapjn indokolhat. kj A bemeneti jelksleltets a nagyfrekvencis jelek szrse, mg a kimeneti jelksleltets az induktv terhelsek ramlkseinek csillaptsra alkalmazott RC szrk miatt lp fel. A hlzatba szervezett PLC-k esetn mg a hlzat adatforgalmhoz szksges idt is figyelembe kell venni. A kszlkek jelents rsznl a bemeneti jelksleltetsi idk tipikus rtke 2-3 ms, mg a kimeneti jelksleltetsi idk 1 ms. A bemeneti s kimeneti jelksleltets fknt analg jelfeldolgozs esetn nvekedhet. A PLC ciklusideje meghatrozza a PLC-vel feldolgozhat jelek frekvencijt. Ezt szemllteti a 3.27. bra.

3.27. bra. Klnbz frekvencij jelek feldolgozsa s a mintavtelezsi frekvencia kapcsolata A 3.27a brn vzolt frekvencij jelek hibtlanul feldolgozhatk a PLC-vel, mert a jel frekvencija jval kisebb, mint a letapogatsi frekvencia. A 3.27b brn a jel frekvencija alig nagyobb, mint a PLC mintavtelezsi frekvencija, gy a kt mintavtelezs kztti idben egy impulzus elvsz. A 3.27c brn a jel frekvencija jval nagyobb, mint a programletapogatsi frekvencia. Az utbbi kt esetben a jelsorozat nem dolgozhat fel hibtlanul. A lertakbl kvetkezik, hogy a szmllst egy bizonyos frekvencia felett rendszerint specilis szmllmodulokkal (counter) oldjk meg. A folyamatos I/O kezels PLC-k (3.31. bra) esetn lehetsg van a letapogatsi frekvencia nvelsre gy, hogy az impulzusbemenet lekrdezst vgz programrszt tbbszr is beiktatjuk egy programcikluson bell. A bemeneteken trtnt jelvltozs s a kimeneteken megjelen vlasz kztti reaglsi id minimlis (a) s maximlis (b) rtkt szemllteti a 3.28. bra.

73

3.28. bra. A minimlis (a) ill. maximlis (b) reakciid szemlltetse Az elzek illusztrlsra a 2. fejezetben bevezetett 80C167 tpus mikroprocesszorral mkd PLC jellemz adatainak rtelmezse lthat a 3.29. brn [18].

3.29. bra. A ciklusid, reakciid rtelmezse egy konkrt tpus esetn

74 A 80C167 tpus mikroprocesszorral mkd PLC jellemz adatai: ciklusid/K < 0,5 ms; minimlis jelkondicionlsi id 3,8 ms; maximlis reakciid 4,6 ms. Az utbbi kt adat 1 K hosszsg programra rvnyes. A PLC-k kzponti processzornak sebessgt az utbbi idben sikerlt jelentsen nvelni. Ez lehetv teszi, hogy azonos ciklusid alatt mind tbb mveletet hajtson vgre. A SIMATIC S7 PLC a 3.30. bra szerinti funkcikat vgzi egy programletapogatsi ciklus alatt, a kvetkez sorrendben: a bemenetek beolvassa, a program vgrehajtsa, a kommunikcis krsek kiszolglsa, az nteszt s ms diagnosztikai funkcik elltsa s a kimenetek rsa.

3.30. bra. Ciklusid rtelmezse a SIMATIC S7 PLC-nl A programmegszaktsok kiszolglsa nem rsze a ciklusidnek, hanem esemnyvezrelt mdon (aciklikusan) hajtdik vgre. A ciklusid betartsa a vals idej mkds szigor felttele. A ciklusid lland s vltoz rszbl tevdik ssze. A vltoz rszt vagy korltozssal ltjk el (pl. 3 db megszaktskrs, maximlt idej vgrehajtssal) vagy maga a PLC mri a ciklusidt. Az OMRON CPM PLC csaldja pldul lehetsget ad a ciklusid hatrrtknek bevitelre a set up funkciban. Ennek rvn az egyes perifrik kiszolglsi ideje, valamint a teljes ciklusid bellthat s a tllps lekezelhet (lsd a 9. fejezetben). 3.3.2. A be- s kimenetek kezelse A be-, ill. kimenetek feldolgozsa rendszerint ktfle: folyamatos egyenknti I/O kezelssel, vagy blokkos I/O kezelssel. A folyamatos I/O kezels esetn az egyes be-, ill. kimenetek a program vgrehajtsa kzben ms-ms idpillanatban kerlnek beolvassra a PLC mintavtelezsi idejtl s a be/kimenetek programban elfoglalt helytl fggen. A mdszer htrnya, hogy gyorsan vltoz jelek esetn egy mintavtelezsi cikluson bell ugyanazon vltoz kt mintavtelezs kztt rtket vlthat, ami esetleg hibs mkdst okoz. A blokkos I/O kezels esetn az I/O elemek kezelse egy kzbens I/O RAM kzremkdsvel trtnik. Az ilyen PLC-k mkdse kt fzisra bonthat: I/O kezels, ill. programvgrehajts. Ez esetben valamennyi be-, ill. kimenet mintavtelezse egy idben trtnik. A ktfle I/O kezelsi mdot szemllteti a 3.31. bra [9].

75

3.31. bra. A folyamatos (a), ill. blokkos (b) I/O kezels idviszonyai Blokkos I/O kezelsnl a CPU s az I/O RAM kztti adatforgalom rendszerint egy I/O copy szubrutin rvn valsul meg. Ezt szemllteti a 3.32. bra [9].

3.32. bra. Az I/O msols funkci illesztse a programba A ktfle I/O kezels kztt lnyeges klnbsg, hogy a 3.31b szerinti I/O kezelsnl garantltan nem vltoznak a be-, ill. kimenetek egy program-vgrehajtsi ciklusban. Ha egy bemeneti jel megvltoztatja llapott az I/O copy rutin utn, akkor az csak a kvetkez I/O copy mveletnl lesz felismerve s rvnyestve. gy a program-vgrehajtsi ciklusban a vgrehajtand logikai mveletek egy mintavtelezett llapotra vonatkoznak. Ez a felttel a folyamatos I/O kezels esetn nem teljesl.

76 Gyorsan vltoz folyamatok esetn a blokkos feldolgozsi md ajnlott. A blokkos feldolgozs PLC vgrehajtsnak szemlltetsre a 3.5 c brn bemutatott tartramkr ltradiagramos program vgrehajtst a 3.33. brn talljuk [9].

3.33. bra. A 3.5c bra szerinti ltradiagramos program-vgrehajtsa

3.4. Programozsi pldk


Ebben az alfejezetben az elzekben lertak szemlltetshez nhny gyakorl pldt mutatunk be. sszetettebb s technolgiai lersokat is tartalmaz feladatokat a 6. fejezetben ismertetnk. 3.4.1. Ltradiagramos s utastslists programozs A Boole jelleg vezrlstechnikai feladatok pldjaknt, a knny kvethetsg cljbl, csak nhny utastst tartalmaz PLC-t vlasztottunk. A pldknl hasznlt PLC utastskszlete: LD OUT AND OR ... | ORB ANB Betlts, gkezdet Kivitel S mvelet, soros kapcsols VAGY mvelet, prhuzamos kapcsols Kiegszt opertor: invertls mvelet VAGY mvelet prhuzamos gkezdettel S mvelet soros gkezdettel

A tovbbi utastsokat a tpusfeladatoknl ismertetjk. Mivel az IEC szabvnyt mg nem minden PLC-gyrt vette t (fknt a korbbi kszlkekben), ezrt a szemllet bvtse cljbl az alkalmazott jellseket felvltva hasznljuk. A kvetkez pldk a vlasztott PLC gpknyve alapjn kszltek.

77 Bemenetek s kimenetek hozzrendelse: bemenetek: X400...407; X410...X413, kimenetek: Y430...Y437; Y500...Y507. 1. plda: VAGY (3.34a bra), ill. S (3.34b bra) kapcsolat programozsa

3.34. bra. VAGY (a), ill. S (b) kapcsolat programozsa ltradiagrammal s utastslistval 2. plda: NOR, ill. NAND fggvnyek programozsa (3.35. bra)

3.35. bra. NOR (a), ill. NAND (b) kapcsolat programozsa ltradiagrammal s utastslistval

78 3. plda: diszjunktv alak fggvny programozsa A diszjunktv alak fggvnyek S/VAGY felptsek, gy a ltradiagramon soros gak prhuzamos kapcsolsbl llnak. Pldaknt a ktvltozs ANTIVALENCIA (EXOR) ltradiagramjt rajzoltuk meg a 3.36. brn.

3.36. bra. ANTIVALENCIA mvelet ltradiagrammal s utastslistval A korbbi PLC-knl a diszjunktv alak fggvnyek programozsnl az egyes gak rszeredmnyeit a MERKER-ben kellett eltrolni. Ez most is gy trtnik, csak automatikusan, ezrt kerlt a programba az ORB utasts (OR Branch). A problmt az okozza, hogy a PLC-ben az utastsok vgrehajtsa mindig az akkumultor s egy operandus kztt trtnik. gy egy soros jelleg feldolgozs rszeredmnye az akkumultorban marad s a norml OR mvelet BOOLE algebrailag hibt okoz. Az ANTIVALENCIA fggvnyre alkalmazva: LD ANI ORI AND OUT X 400 X 401 X 400 X 401 Y 434

Ez a program az albbi fggvnyt realizlja: Y430 = (X400 & X401 ) X400 ) & X401

ORB utasts nlkl a helyes program: 0 1 2 3 4 5 6 LD ANI OUT LDI AND OR OUT X400 X401 M100 rszeredmny-trols a MERKER-ben X400 X401 M100 VAGY kapcsolat a rszeredmny s a MERKER tartalma kztt Y430

A hibs programozs automatikus kikszblst valstja meg diszjunktv alakban az ORB, konjunktv alakban az ANB utasts automatikus MERKER kezelssel.
4. plda: MERKER memria hasznlata segdrelknt

A ltradiagram lehetsget biztost a MERKER memria segdrelknti alkalmazsra. ntart segdrel kapcsolst szemllteti a 3.37. bra.

79

3.37. bra. ntart ramkr LD-on A kapcsols felhasznlhat a tpfeszltsg-kimarads figyelsre s ettl fggen a tovbbi gak engedlyezsre. A msik alkalmazsi lehetsg a kzs hlzat kiemelse a 3.38. bra szerint [9].

3.38. bra. Kzs ramkrrsz kiemelsre hasznlt MERKER-funkci Az M101 MERKER hrom g ltal realizlt hlzatot reprezentl s kontaktusa tetszleges bemenettel sorba kthet, gy jelents programegyszersts rhet el a kzs rsz kiemelsvel. Harmadik alkalmazsknt az llapott tpfeszltsg-kimaradskor is megtart funkcit szemlltet a 3.39. bra [9]. Az M300 MERKER teleppel vdett RAM-terleten van elhelyezve.

3.39. bra. Tpfeszltsghibtl vdett MERKER-funkci

80
5. plda: impulzusgenertor programozsa PLS utastssal

A 3.40a bra 0 1 tmenetre indtott, ill. a 3.40b bra 1 0 tmenetre indtott impulzus generlst szemllteti, PLS funkci felhasznlsval [15]. Az impulzus hossza egy program-vgrehajtsi ciklus (t scan ) . A fenti kapcsolst rendszerint szmllk, regiszterek lptetshez hasznljk.

3.40. bra. Egy impulzus generlsa PLS funkci felhasznlsval


6. plda: RS FF programozsa

RS FF programozsa lthat a 3.41. brn. Az S, ill. R programgak nem kzvetlenl kvetik egymst s a vezrlsi felttelt is biztostani kell. Egyes PLC-k bersra, ill. trlsre elsbbsget biztost RS FF-ot is rtelmeznek.

3.41. bra. RS flipflop programozsa ltradiagramon

81
7. plda: idztsek programozsa Impulzusksleltets programja (a) s iddiagramja (b) lthat a 3.42. brn [9].

3.42. bra. Ksleltets programozsa ltradiagrammal s utastslistval


Ksleltetsek sszekapcsolsra mutat pldt a 3.43. bra az iddiagram feltntetsvel.

3.43. bra. Hossz idej ksleltets programozsa a timerfunkcik sszekapcsolsval A pldban az X401 indtja az indtsra ksleltetett T450 timert, amely a maximlis idre van programozva (999 s). A T450 kontaktusa indtja a T451 timert (501 s) az iddiagram szerint. Azrt van szksg az idztelemek sorba kapcsolsra, mert az ignyelt ksleltetsi id nagyobb egy elem maximlis rtknl (1500 s > 999 s).
Impulzusgenertor programozsra lthatunk pldt a 3.44. brn kt idztelem felhasznlsval [15].

82

3.44. bra. Impulzusgenertor programozsa A kapcsols mkdse az iddiagram alapjn kvethet. A 3.45. bra 0 1 monostabil multivibrtor programozst szemllteti. A monostabil multivibrtort az X400-as bemenet indtja s a T450 timer valstja meg. A pldban az idzts 8 s-ra van programozva [15].

3.45. bra. 0 1 tmenetre billen monostabil multivibrtor programozsa A 3.46. bra 1 0 tmenetre mkd monostabil multivibrtort szemllteti. Az X400 bemenet indtja az M100 MERKER-t, majd az X400 megszntvel indtja a T450 idztt. Az Y430 kimeneten, az iddiagramon bemutatott jelalak jelenik meg [15].

83

3.46. bra. 1 0 tmenetre billen monostabil multivibrtor programozsa


8. plda: szmllsi funkcik programozsa

A szmllsi funkcit ltradiagramon a 3.47. bra ltalnos smja szerint lehet programozni. A programban gondoskodni kell a szmll trlsrl, valamint az impulzusbemenetrl [9].

3.47. bra. Szmllsi funkci programozsnak smja ltradiagramon Az brn a szmll (C460) trlst az X402 bemenet vgzi. A szmll az X403 bemeneten megjelen jelvltozsokat (M100) szmllja. A K8 a programozott szmll vgrtke (8 impulzus). A C460 kimeneten a vgrtk elrsekor jelenik meg a jel, s ez mkdteti az Y430 kimenetet. Egy szmll konkrt programja lthat a 3.48. brn. A szmll trlst az X406 vgzi, ill. a vgrtk elrsekor (5) automatikusan trldik (ismtlszmll). Az els kt g az X405 jelformlst vgzi. A szmll az X405 bemeneten megjelen jelvltozsokat szmolja s minden tdik impulzus utn jelenik meg az Y430 kimeneten.

84

3.48. bra. Elreszmll programozsa ltradiagramon s utastslistval Szmllk esetn gyelni kell arra, hogy a tpfeszltsg kiesse esetn visszatr jel ne okozzon hibs mkdst (pl. trls, felejts). A szmll MERKEREI rendszerint a teleppel vdett CMOS RAM terleten vannak elhelyezve. A szmll trlst, ill. lptetst gyakran idzttagokkal kombinljk. A szmllmodulok az OUT kimenet felhasznlsval bvthetk.
9. plda: elre/htra szmll programozsa

Elre/htra szmll ltradiagramja lthat a 3.49. brn. Az elre belltott rtk betltse a LOAD kontaktussal trtnik.

85

3.49. bra. Elre/htra szmll programja


10. plda: regiszterfunkci programozsa

A lptetregiszter programozsa hrom felttelt ignyel: a trls (RST), a lptets (SFT) s a kimenet (OUT) definilst. A lptetregiszter programozst szemllteti a 3.50. bra.

3.50. bra. Lptetregiszter-program ltalnos smja ltradiagramon

86 Mint lthat, a lptetregisztert a MERKER memriabitjei realizljk. Funkcik: RST regisztertrls, SFT lptets, OUT a kimenet aktivlsa. Ms PLC tpusnl a ktirny lptets is lehetsges. Ilyenkor az irnykijellsrl is gondoskodni kell a programban. A regiszter tartalma az egyes MERKEREK tartalmnak kimenetre kapcsolsval rhet el. A konkrt lptetregiszterhez a MERKEREK (regiszterbitek) szmt is definilni kell. Nyolcbites lptetregiszter LD szimblumt szemllteti a 3.51. bra.

3.51. bra. Nyolcbites lptetregiszter smja

87
11. plda: ngybites lptetregiszter IEC szimblumokkal (3.52. bra)

3.52. bra. Ngybites lptetregiszter brzolsa

88
12. plda: ugrsi mvelet programozsa

A pldabeli PLC a CJP s EJP utastst definilja az ugrsi funkcik programozsra. A CJP feltteles ugrs a CJP utasts eltti rintkezt, mint felttelt rtkeli ki s ettl fggen vgzi el az ugrst. Ezt szemllteti a 3.53. bra a folyamatbra (b) s a ltradiagram (c) feltntetsvel.

3.53. bra. Ugrsi mvelet programjnak smja (a), a hagyomnyos folyamatbra (b) s ltradiagramos megfelelje (c) A 3.53. brn a feltteles ugrs (Condition Jump) felttelt jelent X412=1 esetn a program kihagyja a B programrszt s a C programrszt hajtja vgre. Ellenkez esetben a B programrsz kerl vgrehajtsra. A feltteles ugrutastst igen gyakran hasznljk kzi, ill. automatikus zemmd sztvlasztsra. Erre lthatunk pldt a 3.54. brn. A kzi/automata tkapcsol rtktl fggen az Opci 1 vagy Opci 2 szerinti programrsz hajtdik vgre.

89

3.54. bra. Kzi/automata tkapcsols megvalstsa feltteles ugrssal (CJP) ltradiagramon

90
13. plda: adatkezel utastsok

Tipikus adatkezel utastsok lthatk a 3.55. brn. Az brbl kitnik, hogy a ltradiagramon egy-egy adatkezel mvelet indtsa egy kls vagy bels (MERKER) vltozval trtnik [9, 15].

3.55. bra. Tipikus adatkezel utastsok jellse ltradiagramon


S a forrs (source), D a cl (destination)

A mveletek: adatmozgats, sszehasonlts (komparls: <, >, =), sszeads s kivons, valamint konverzi a szmrendszerek kztt (BCD, binris, oktlis). Ezek a mveletek rendszerint sz jellegek (INT). Plda lehet egy szmll rtknek sszehasonltsa a kapcsol rtkeivel. Az adatmozgats ltradiagramos szimbluma a 3.56. brn lthat [9, 15].

3.56. bra. Adatmozgatsi funkci programozsa ltradiagramon

91 Amikor az X0 aktvv vlik, az S forrsregiszter tartalma tkerl a D jel clregiszterbe, azaz a D1 regiszter tartalma tkerl a D2 regiszterbe.
Lehetsges adatmozgatsi vltozatok:

Konstans a regiszterbe Regiszterbl regiszterbe Timer/counterbl regiszterbe Adatregiszter betltse Adatregiszterbl timerbe/counterbe Adatregiszterbl kimenetre Adatregiszterbl MERKERBE MERKERBL adatregiszterbe Timer/counter betltse Bemeneti adat betltse Adatregiszter timer/counter Adatregiszter kimenet Adatregiszter MERKER MERKER adatregiszter
Megjegyzsek:

MOV K MOV Di MOV T vagy MOV X MOV D MOV D MOV D MOV M MOV T vagy C MOV X MOV D MOV D MOV D MOV M

D Dj C/D D T vagy C Y M D D D T vagy C Y M D

egyes PLC-k tovbbi adatkezel utastsokat is rtelmeznek, mint pldul a tblzatkezelsi funkci, amely igen elnysen hasznlhat kombincis hlzatok, kdtalaktk, kdkonverterek vagy adatmegjelentk vezrlshez; a CJP, azaz a feltteles ugrutastst rt PLC-k rendszerint a szubrutinhv (CALL) utastst is rtelmezik. Ennek smja lthat a 3.57. brn.

3.57. bra. Szubrutinhvs folyamata A szubrutinok (alprogramok) az ismtld programrszek esetn hasznlhatk elnysen. A szubrutinok a fprogramtl eltr helyen vannak s a programbl tbbszr is hvhatk. A szubrutin vgt a RET (return = visszatrs) utasts zrja.

92 Ha a szubrutinhvs felttele teljesl, akkor a program a szubrutin els utastsnak vgrehajtsval folytatdik, majd a RET utasts hatsra visszatr a fprogramba, s a szubrutinhvs utni programrsszel folytatdik. A szubrutinok rendszerint egymsba gyazhatk, azaz a szubrutinon bell jabb CALL utasts szerepelhet. Az ilyen egymsba gyazsok szma rendszerint korltozott. A szubrutinkezels sorn a formai hibkra fokozottan kell gyelni. A 3.57. brn a fprogram a 000h cmtl az 1000h cmen helyezkedik el. A 3. szubrutin az 1100 cmen kezddik s az 1150 cmen fejezdik be (Return). A fprogrambl ktszer trtnik a szubrutin hvsa. A D400 regiszter arra utal, hogy a szubrutin az adatokat a D400 regiszterbl vegye t. A szubrutinterletre csak szubrutinhvssal lehet belpni. Vletlen belpssel (pl. bekapcsols esetn), ugrssal trtn belpssel vagy lineris nvekmnyes ton trtn belpssel a szubrutinterletre a Return utasts hatsra a program elszllhat.
3.4.2. Programozs sorrendi folyamatbra alapjn 14. plda: STL funkci

A lptetrel jelleg STL funkcit (stepladder) az SFC nyelven rott program realizlshoz dolgoztk ki (lsd a 3.2.5. pontban).
Prhuzamos (OR) elgazs realizlsa ltradiagrammal (3.58. bra) [9].

3.58. bra. Prhuzamos (OR) elgazs realizlsa ltradiagrammal


A prhuzamos elgazsra a szerelsautomatikbl vett plda: ttelezznk fel egy szerelszalagot, amelyen a munkadarab az S601 lpsben az Y531 rvn kt darabra vgdik. Az egyik darab az A gon folytatja tjt s az S602, S603 lpseken definilt mveleteken megy keresztl. A msik munkadarab a B gon S604 s S605 lpsekkel jellt technolgiai mveleteken megy keresztl, majd mindkt munkadarab az S606 lps szerinti mvelet vgrehajtsval (Y536) folytatdik.

93
S elgazs programozsa STL funkcival (3.59. bra) [9].

3.59. bra. S elgazs programozsa STL funkcival


15. plda: programhurok (ciklus) programozsa

Programhurok kialaktst szemllteti a 3.60. bra.

3.60. bra. Programhurok kialaktsa ltradiagrammal

94
Az ismtls (visszalps) felttele: S604 & C460 & X505. A kilps felttele: S604 & C460 & X504.

A 3.60. brn a ltradiagramot is feltntettk. Programhurok kialaktsnl a vgtelen ciklus ltrejttnek elkerlst biztostani kell.

3.5. PLC-programok ksztsnek algoritmusa


Nagyobb s komplexebb vezrlsi feladatok programjt szmtgpes grafikai segdlettel, szimulcis tmogatssal clszer kszteni a 3.61. brn vzolt folyamatbra szerint. A komplex feladatot clszer alfeladatokra bontani, ezeket egyenknt tesztelni, majd az egyes programrszeket sszefzni, s ismt tesztelni.

95

3.61. bra. Feladatmegolds folyamatbrja A nagyon bonyolult, sok prhuzamosan fut esemnyt kezel s/vagy sok adatmozgst, adatkezelst, aritmetikai mveletet ignyl vezrlsek ltradiagramos lersa, ill. a programok ellenrzse nehzkes. Ezek a feladatok a ltradiagramos programozs korltait jelentik. A gyrtk ez ellen mind tbb beptett funkciblokk hasznlatval vdekeznek.

96

3.6. Egy PLC komplett utastskszlete


Napjainkban a programozhat vezrlk piacn egyarnt megtallhatak a nhny utastst rtelmez, n. mikro-PLC-k, illetve a 100 fltti utastst rtelmez PLC-k. Az elzre pldaknt a 6. fejezetben a LOGO! tpus szerepel. Utbbira az OMRON CPM tpus vezrl legfontosabb mszaki jellemzit a 3.6. tblzat, utastskszlett a 3.7. tblzat tartalmazza [17]. 3.6. tblzat. Az OMRON CPM tpus PLC legfontosabb mszaki jellemzi Jellemz CPU modulok CPU modulok CPU modulok 20 I/O ponttal 30 I/0 ponttal 40 I/O ponttal Vezrlsi rendszer I/O vezrlsi md CPU modulok 60 I/O ponttal

Programozsi nyelv Utastsok hossza Utastsok szma Utasts-vgrehajtsi id Memriakapacits Maximlis I/O bvtI/O kapaci- modul nlkl ts I/O bvt- max. 80 pont max. 90 pont max. 100 pont max. 120 modullal pont Bemenetek bitjei IR00000 - IR00915. A nem hasznlt I/O bitek munkaterletknt alkalmazhatk. IR01000 - IR01915 Kimenetek bitjei Munkaterlet 928 bit: IR02000 - IR04915 s IR20000 - IR22715 Specilis vltozk 448 bit: SR22800 - SR25515 (SR terlet) tmeneti trolk 8 bit: (TR0 - TR7) (TR terlet) Feszltsgkimarads ellen 320 bit: HR0000 - HR1915 (HR00 - HR19) vdett terlet (HR terlet) Kiegszt memria 384 bit. AR0000 - AR2315 (AR00 - AR23) (AR terlet) Csatolmemria 256 bit: LR0000 - LR1515 (LR00 - LR15) (LR terlet) Idztk, szmllk 256 idzt/szmll (TIM/CNT000- TIM/CNT255) 1 ms-os idztk: TIMHH(--) 10 ms-os idztk: TIMH(15) 100 ms-os idztk: TIM 1s / 0,1 s-os idztk: TIML(--) szmllk htra irnyban: CNT szmllk elre-htra irnyban: CNTR(12) Adatmemria rhat/olvashat: 2048 sz (DM0000 - DM2047)* Csak olvashat: 456 sz (DM6144 - DM6599) PLC belltsi terlet: 56 sz (DM6600 - DM6655) A hibanaplzs a DM2000- DM2021 terleten trtnik Interrupt bemenetek 4 kls interrupt (megosztva kls interrupt bemenetknt, ill. gyors reagls bemenetknt) Intervallumidzt 1 (egyszer, ill. meghatrozott idkznknt vgrehajtott megszaktsok esetn)

Trolt program vezrls Ciklikus letapogats, kimenetek rsa ciklus vgn, azonnali frisstsi lehetsg programbl Ltradiagram 1 lps utastsonknt, egy utasts 1-5 sz hosszsg 14 alap, 105 specilis tpus, 185 utastsvltozat Alaputasts: 0,64 s, specilis utasts: 7,8 s 4096 sz 20 pont 30 pont 40 pont 60 pont

97 Gyorsszmllk Impulzuskimenet Egy gyorsszmll: 20 kHz-es egyfzis s 5 kHz-es ktfzis jelek szmllsra. Szmllbemenet: 1 db 2 pont (10 Hz -10 kHz kztt egyfzis kimenet, irnyvezrls s felfuts/lefuts nlkl) 1 pont (10 Hz -10 kHz kztt irnyvezrlssel s trapzfelfutssallefutssal) 2 pont vltoztathat impulzusszlessggel Csak tranzisztoros kimenetek esetn! 1 pont (a kimenfrekvencia a bejv frekvencia tbbszrse) Csak tranzisztoros kimenetek esetn! 2 db, belltsi tartomny: 0 - 200 (BCD)

Impulzusszinkronizls Analg bellt potenciomterek

3.7. tblzat. Az OMRON CPM tpus PLC utastskszlete ALAPUTASTSOK Kd Utasts --- LOAD --- LOAD NOT --- AND --- AND NOT ----------------00 01 02 03 04 05 11 13 14 OR OR NOT AND LOAD OR LOAD OUTPUT OUT NOT SET RESET NOP Mnemonik LD LD NOT AND AND NOT Lers Logikai vonal indtsa zrrintkezvel Logikai vonal indtsa bontrintkezvel Logikai S kapcsolat (Az elzvel sorba kttt zrrintkez) Logikai S NEM kapcsolat (Az elzvel sorba kttt bontrintkez) Logikai VAGY kapcsolat Logikai VAGY NEM kapcsolat Logikai blokkok kztti S kapcsolat (zrjelezs) Logikai blokkok kztti VAGY kapcsolat (zrjelezs) Kimenet, a logikai fggvny eredmnye Kimenet, a logikai fggvny eredmnynek negltja A bit "1" llapotba knyszertse A bit "0" llapotba knyszertse Nem trtnik semmi, a CPU ezt az utastst tugorja

OR OR NOT AND LD OR LD OUT OUT NOT SET RSET NO OPERATION END END Program vge INTERLOCK IL Hatsra az IL parancs felttelnek nem teljeslse esetn kikapcsolja az IL s az ILC parancsok kztt programozott kimeneteket INTERLOCK ILC Az IL parancs trlse CLEAR JUMP JMP Feltteles ugrs. A JUMP parancs felttelnek teljeslse esetn a JMP s a JME parancsok kzt programozott kimenetek "befagynak" JUMP END JME Feltteles ugrs vge KEEP KEEP Tartrel (bistabil flipflop) DIFFERENTI DIFU Felfutlre 1 ciklusid hosszsg impulzus ellltsa -ATE UP DIFFERENTI DIFD Lefutlre 1 ciklusid hosszsg impulzus ellltsa ATE DOWN

98 IDZT UTASTSOK Kd Utasts --- COUNTER 12 REVERSIBLE COUNTER --- TIMER 15 HIGH-SPEED TIMER 61 MODE CONTROL --- LONG TIMER Mnemonik Lers CNT Szmll (lefel szmll) CNTR Szmll inkrementl- s dekrementl- (fel, le) bemenettel TIM Meghzsksleltets jelleg idzts TIMH Nagy felbonts (0,01 s) meghzsksleltets jelleg idzts INI Gyorsszmllk indtsra, lelltsra, pillanatrtkk sszehasonltsra vagy mdostsra szolgl parancs TIML Nagy intervallum lefel szmll idzts 99,990 s tartomnyig --- VERY HIGH TIMHH Nagy sebessg lefel szmll idzts 1 ms-os felbonSPEED TIMER tssal 62 HIGH-SPEED PRV A nagy sebessg gyorsszmll pillanatnyi llapott s COUNTER PV rtkt olvassa ki READ 63 COMPARE CTBL A megadott gyorsszmll pillanatrtkt sszehasonltja TABLE LORD egy definilt tblzattal s az eredmnytl fggen vgrehajtja a tblzatban definilt szubrutint

SSZEHASONLT (KOMPARL-) UTASTSOK Kd Utasts 20 COMPARE 60 68 Mnemonik Lers CMP Egy sz (csatorna) tartalmt vagy egy konstanst hasonlt ssze egy msik sz tartalmval DOUBLE CMPL Kt egymst kvet sz tartalmt, mint 32 bites binris COMPARE adatot hasonltja ssze kt msik egymst kvet sz tartalmval BLOCK BCMP Egy sz tartalmt sszehasonltja egy megadott cmtl COMPARE kezdden elhelyezett 16 als s fels hatrrtket tartalmaz tblzattal. Az sszehasonlts eredmnye egy tovbbi megadott cmen, mint 16-bites adat jelenik meg TABLE TCMP Egy sz tartalmt sszehasonltja 16 egymst kvet sz COMPARE tartalmval AREA RANGE ZCP Ellenrzi, hogy egy megadott sz tartalma, mint 16 bites COMPARE binris szm, a megadott hatrrtkek kztt van-e DOUBLE ZCPL Ellenrzi, hogy kt egymst kvet sz tartalma, mint 32 AREA bites binris szm, msik kt-kt sz tartalmval megadott RANGE hatrrtkek kztt van-e COMPARE

85 -----

99 ADATMOZGAT UTASTSOK Kd Utasts Mnemonik Lers 21 MOVE MOV Egy konstans vagy egy sz tartalmt egy msik szba msolja 22 MOVE NOT MVN Egy konstans vagy egy sz tartalmnak negltjt egy msik szba msolja 70 BLOCK XFER Egy forrscmtl kezdden megadott szm sz tartalmt TRANSFER egy megadott clcmnl kezdd terletre msolja 73 DATA EXCXCHG Kt sz tartalmt felcserli HANGE 71 BLOCK SET BSET Egy megadott sz tartalmval vagy egy konstanssal tlt fel egy kezd s vgcmmel definilt memriaterleten minden szt 82 MOVE BIT MOVB Egy sz adott bitjt egy msik sz adott bitjbe msolja 83 MOVE DIGIT MOVD Egy sz adott digitjeinek (4 bit) tartalmt msolja t egy msik sz adott digitjeibe 80 SINGLE DIST Egy sz tartalmt egy msik szba msolja. A clcm egy WORD sz cmvel s egy offset-tel van megadva. A clt a cmDISTRIBUTE nek s az offset-knt megadott sz tartalmnak (vagy konstansnak) az sszege adja 81 DATA COLCOLL Egy sz cmvel s az ehhez a cmhez hozzadand offset LELT rtkkel (a sz BCD rtke vagy konstans) definilt sz tartalmt msolja egy megadott cmre LPTETUTASTSOK Kd Utasts Mnemonik 10 SHIFT SFT REGISTER 84 REVERSIBLE SFTR SHIFT REGISTER 17 ASYNCHRO- ASFT NOUS SHIFT REGISTER 16 WORD SHIFT WSFT Lers 16 bites szavakbl alkotott tetszlegesen hossz adat bitenknti balra lptetse 16 bites szavakbl alkotott tetszlegesen hossz adat bitenknti balra vagy jobbra lptetse Egy kezd s vgcmmel definilt terleten lptet. Az utasts felttelnek teljeslsekor azon szavak tartalmt, amelyek eltt lv szavak tartalma 0, szavanknt a kezdcm fel lpteti (tmrti). A tbbi szt vltozatlanul hagyja Egy definilt memriaterlet tartalmnak 16-bites szavanknti balra lptetse A megadott sz tartalmnak bitenknti balra lptetse a carry flag-en keresztl A megadott sz tartalmnak bitenknti jobbra lptetse a carry flag-en keresztl Rotls balra. A megadott sz 00-s bitjbe a carry flag tartalmt rja, a sz tartalmt pedig bitenknt balra lpteti s a 15-s bit tartalma a carry flag -be kerl Rotls jobbra. A megadott sz 15-s bitjbe a carry flag tartalmt rja, a sz tartalmt pedig bitenknt jobbra lpteti s a 00-s bit tartalma a car flag-be kerl Egy definilt memriaterlet tartalmnak digitenknti (4 bitenknti) balra lptetse Egy definilt memriaterlet tartalmnak digitenknti (4 bitenknti) jobbra lptetse

25 ARITHMETIC ASL SHIFT LEFT 26 ARITHMETIC ASR SHIFT RIGHT 27 ROTATE ROL LEFT 28 ROTATE RIGHT 74 ONE DIGIT SHIHT LEFT 75 ONE DIGIT SHIFT RIGHT ROR SLD SRD

100 ADATTALAKT UTASTSOK Kd Utasts 23 BCD TO BINARY 24 BINARY TO BCD 76 4 TO 16 DECODER 77 16 TO 4 DECODER 78 7-SEGMENT DECODER 86 ASCII CONVERT 58 DOUBLE BCD TO DOUBLE BINARY 59 DOUBLE BINARY TO DOUBLE BCD 66 SCALING --- ASCII TO HEXADECIMAL --- SECONDS TO HOURS --- 2'S COMPLEMENT --- SIGNED BINARY TO BCD SCALING --- BCD TO SIGNED BINARY SCALING --- HOURS TO SECONDS Mnemonik Lers BIN 4-digites BCD adatot binris adatt alakt t BCD MLPX DMPX SDEC ASC BINL BCDL SCL HEX HMS NEG SCL2 SCL3 SEC 16 bites binris adatot 4-digites BCD adatt alakt t Binris kdban lv adatot 4 bitenknt 16-bl 1-et kdd alakt 16-bl 1-et kdban lv adatot binriss alakt Egy sz adott digitjt (digitjeit) 7-szegmenses kijelzkdd alakt Egy sz adott digitjn (digitjein) lv rtket 8-bites ASCII kdba konvertlja Kt egymst kvet sz tartalmt, mint BCD adatot fordt binriss s helyez el kt egymst kvet szban (csak CPM2A) Kt egymst kvet sz tartalmt, mint binris adatot fordt BCD kdformra s helyez el kt egymst kvet szban (csak CPM2A) 4-digites hexadecimlis adat konverzija 4-digites BCD adatt az utasts paramtereknt megadott sszefggs szerint 16-bites ASCII adatot hexadecimliss alakt Msodpercekben megadott idt talakt ra, perc, msodpercre 4-digites (16 bites) binris adat 2-es komplemenst teszi a megadott cmre 4-digites eljeles hexadecimlis adat lineris konverzija egy meghatrozott kezd (minimum) rtktl adott meredeksg BCD kdba 4-digites BCD adat lineris konverzija megadott paramterek alapjn 4-digites eljeles hexadecimlis adatt ra, perc, msodpercben megadott idt tszmolja msodpercbe

BCD ARITMETIKAI UTASTSOK Kd Utasts 30 BCD ADD 54 DOUBLE BCD ADD 31 BCD SUBTRACT 55 DOUBLE BCD SUBTRACT 32 BCD MULTIPLY Mnemonik Lers ADD Kt 4-digites BCD sz tartalmnak vagy konstansnak s a carry flag-nek az sszeadsa ADDL Dupla hosszsg (8-digites) adatok sszeadsa SUB SUBL MUL Egy sz tartalmbl (vagy konstansbl) kivonja egy msik sz tartalmt (vagy konstanst) s a carry flag-et Mint SUB, de dupla hosszsg rtkekkel Kt sz tartalmt, 4-digites BCD szmot vagy konstanst sszeszoroz

101 56 DOUBLE BCD MULTIPLY 33 BCD DIVIDE 57 DOUBLE BCD DIVIDE 40 SET CARRY 41 CLEAR CARRY 38 lNCREMENT 39 DECREMENT Kd Utasts 50 BINARY ADD 51 BINARY SUBTRACT 52 BINARY MULTIPLY 53 BINARY DIVIDE MULL DIV DIVL STC CLC INC DEC Mint MUL, de dupla hosszsg rtkekkel Egy sz tartalmt, mint 4-digites BCD szmot vagy konstanst eloszt egy msik sz tartalmval vagy konstanssal Mint DIV, de dupla hosszsg rtkekkel A carry flag-et 1-be billenti A carry flag-et 0-ba billenti A megadott sz BCD tartalmt 1-gyel nveli A megadott sz BCD tartalmt 1-gyel cskkenti

BINRIS ARITMETIKAI UTASTSOK Mnemonik Lers ADB Kt sz tartalmnak, mint 16 bites binris szmnak vagy konstansnak s a carry flag-nek az sszeadsa SBB Egy sz tartalmbl, mint 16 bites binris szmbl (vagy konstansbl) kivonja egy msik sz tartalmt (vagy konstanst) s a carry flag-et MLB Kt sz tartalmt, mint 16 bites binris szmot vagy konstanst sszeszoroz DVB Egy sz tartalmt, mint 16 bites binris szmot vagy konstanst eloszt egy msik sz tartalmval vagy konstanssal Mnemonik Lers ANDW Kt sz kztti bitenknti logikai S kapcsolat ORW Kt sz kztti bitenknti logikai VAGY kapcsolat XORW Kt sz kztti bitenknti logikai KIZR VAGY kapcsolat XNRV Kt sz kztti bitenknti logikai KIZR VAGY NEM kapcsolat COM Megadott sz bitenknti neglsa Mnemonik SBS Szubrutin hvsa SBN RET MCRO INT STIM Lers

LOGIKAI UTASTSOK Kd Utasts 34 LOGICAL AND 35 LOGICAL OR 36 EXCLUSIVE OR 37 EXCLUSIVE NOR 29 COMPLEMENT Kd Utasts 91 SUBRUTINE ENTER 92 SUBRUTINE ENTRY 93 SUBRUTINE RETURN 99 MACRO 89 INTERRUPT CONTROL 69 1NTERVAL TIMER

SZUBRUTINKEZEL S INTERRUPT UTASTSOK

Szubrutin kezdetnek cmkje Szubrutin vgnek a cmkje Meghvja s vgrehajtja a definilt szubrutint, helyettestve benne a bemeneti s kimeneti sz cmeit, a parancs cmeknt megadottakkal lnterrupt vezrlparancs. I/O interrupt bitek maszkolsa, maszkolsuk trlse Az temezett interrupt vgrehajtst kezel intervallum idzt vezrlse

102 STEP (LPS) PARANCSOK Kd Utasts 08 STEP DEFINE Mnemonik Lers STEP Lps kezdetnek s a megelz lps vgnek jelzse, ha a STEP utasts vezrlbittel egytt van megadva. Az utols lps vgnek jelzse, ha az utasts vezrlbit nlkl van megadva SNXT Indtja a vezrlbittel megadott lpst, s lelltja annak a lpsnek a vgrehajtst, amelyen bell a parancs elhelyezkedik

09 STEP START

SPECILIS MVELETEK Kd Utasts 46 MESSAGE 67 BIT COUNTER 06 FAILURE ALARM 07 SEVERE FAILURE ALARM 97 I/O REFRESH 64 SPEED PUT Mnemonik Lers MSG 8 sz (16 bit/sz) hosszsg ASCII adatot (16 karakter) olvas a memribl s kijelzi azt, mint zenetet a programozkonzolon vagy ms perifriaeszkzn BCNT Egy meghatrozott memriaterleten megszmolja az "1" llapotban lv biteket FAL Hibajelzs ltrehozsa a programfuts lelltsa nlkl FALS IORF Hibajelzs ltrehozsa a programfuts azonnali lelltsval A megadott cm I/O szavaknak a ciklustl fggetlen frisstse Adott frekvencij impulzusok kiadsa (10 Hz -50 kHZ kztt l0 Hz lpssel). A mvelet vgrehajtsa kzben vltoztathat a frekvencia. Csak tranzisztoros kimenet CPU esetn Adott szm impulzus kiadsa a megadott frekvencin. A mvelet vgrehajtsa nem llthat meg (csak tranzisztoros kimenet CPU esetn) A kimen frekvenciavltozs sebessgnek (fel/lefuts) belltsa az impulzuskimeneten Egy meghatrozott sz hexadecimlis tartalmnak paramterknt megadott cikluson keresztli tlagrtkt szmtja ki Host Link paranccsal tvitt adatok ellenrzshez az ellenrz sszeg kiszmtsa A legnagyobb rtk adat megkeresse egy adott adatterleten A legkisebb rtk adat megkeresse egy adott adatterleten Az utastshoz adott paramtereknek megfelel PID szablyozst hajt vgre Az impulzuskimeneten kikldend impulzusok kitltsi tnyezjnek mdostsa (0% - 99%) Egy, a megadottal egyez adat keresse meghatrozott cmtartomnyban Kiszmtja egy megadott memriaterleten trolt adatok

OUT- SPED

65 SET PULSES --- ACCELERATION CONTROL --- AVERAGE VALUE --- FCS CALCULATE --- FIND MAXIMUM --- FIND MINIMUM --- PID CONTROL --- PWM OUTPUT

PULS ACC AVG FCS MAX MIN PID PWM

--- DATA SEARCH SRCH --- SUM SUM

103 CALCULATE --- SYNCHRONIZED PULSE CONTROL KOMMUNIKCI Kd Utasts 47 RECEIVE 48 TRANSMIT --- CHANGE RS-232C SETUP Mnemonik Lers RXD Adatfogads a kommunikcis porton keresztl TXD Adatklds a kommunikcis porton keresztl STUP A megadott port paramtereit lltja t SYNC sszegt Egy adott bejv impulzust megszoroz egy konstanssal s a kimeneten megjelenti

Kln figyelmet rdemel a 185 utastsvltozat. Valamennyi utastsnak ltradiagramszimbluma is ltezik. Pldaknt a MUL utasts s rtelmezse lthat a 3.62. brn [17].

3.62. bra. A MUL utasts ltraszimbluma s funkcija A MUL (32) parancs hatsra az Md s Mr csatornk BCD rtelmezs rtknek szorzatt kpezi, s az eredmnyt az R+1 s R csatornkra helyezi. Md X Mr = R+1 R

Az albbi pldban a 013 csatorna BCD rtkt szorozzuk meg a DM0005 csatorna BCD rtkvel, a szorzatot a HR08 s HR07 csatornkra kapjuk. Md: 013 3 3 5 6 X Mr: DM0005 0 0 2 5 = 0 HR08 0 0 8 3 HR07 9 0 0

104
Irodalomjegyzk

[1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18]

Ajtonyi I.: Vezrlstechnika I. Budapest, Tanknyvkiad, 1980. Ajtonyi I.: Vezrlstechnika pldatr Budapest, Tanknyvkiad, 1980. Ajtonyi I.: Digitlis rendszerek. Miskolc, Egyetemi Kiad, 1998. Neszveda J.: Irnytstechnika IV. Budapest, KKMF, 1995. DIN 40719 szabvny. Ajtonyi I.: Vezrlstechnika II. Budapest, Tanknyvkiad, 1985. Demmel L., Molnr T., Trk B., Vgvlgyi G.: Programozhat logikj vezrlsek Budapest, Mrnktovbbkpz Intzet, 1989. E. A. Parr: Programmable Controllers - An Engineer's Guide Newness, 1996. Ian G. Warnock: Programmable Controllers Operation and Application. Prentice Hall International, 1988. IEC-1131/I-IV. szabvny, 1992. A. J. Crispin: Programmable Logic Controllers McGraw-Hill Companies, 1997. Tverdota M., Harkay T.: Villamos vezrlstechnika. Budapest, KKMF, 1998. SIEMENS: LOGO! kziknyv, 1999. Keresztesi K.: VLC, a megbzhat PC alap vezrl. Magyar Elektronika, 1999/10. Mitsubishi: PLC Users Manual, 1990. SIEMENS: SIMATIC S7-200 Programmable Controller System Manual, 1999. OMRON: CPM PLC Felhasznli kziknyv, 1999. BOSCH: PLC gpknyv, 1997.

105

4. A PLC-K KOMMUNIKCIS RENDSZERE


A programozhat vezrlk zemszeren szmos informciforrssal llnak kapcsolatban. A leggyakrabban a PLC s technolgiai folyamat, PLC s PLC, PLC s szmtgp, PLC s kezel, valamint PLC s perifria kztti kommunikcira van igny. A PLC s a technolgiai folyamat kztti kommunikci ltalban prhuzamos formban zajlik, kivve a terepi buszrendszerek ltal kezelt be- s kimeneteket (lsd a 4.6. alfejezetben). A prhuzamosan kezelt jelek lehetnek: ktllapot be/kimenetek; analg be/kimenetek; frekvencia (impulzus) be/kimenetek. A PLC s PLC, a PLC s PC, a PLC s kezel, valamint a PLC s perifria kztti kommunikci rendszerint soros formban trtnik. Soros adattvitel esetn az adatok bitenknt, a kiegszt, ellenrz jelekkel egytt, idben egyms utn rendszerint egy vezetken (rpron) kerlnek tovbbtsra. Az informcit a feszltsg vagy az ram szintje, ill. jeltmenete kpviselheti. A 4.1. bra szerinti soros adattvitelnl a 0 szintet 0 V, az 1 szintet 6 V kpviseli, amely min. egy bitideig tart. A soros tvitelnek szmos jellemzje s szabvnya van (RS 232, RS 485 stb.).

4.1. bra. Soros adattviteli formtum Soros adattvitel a kommunikciban rszt vev adk s vevk szmtl fggen alapveten kt pont kztt (pont-pont kommunikci), ill. tbb pont kztt trtnhet. Pont-pont kztti kommunikci esetn kt egysg kztt folyik az adattvitel egy vagy mindkt irnyban. A tbb pont kztti (multi-point) kommunikci napjainkban szinte kizrlag hlzati jelleg, amely jellemzje, hogy kettnl tbb egysg (ad, ill. vev) kztt egy specilis architektrj tviteli kzegen keresztl trtnik az adattvitel. A jelenlegi informatikai hlzatok a hlzat ltal thidalt tvolsgok alapjn tvolsgi hlzatokra, ill. loklis hlzatokra bonthatk. A tvolsgi hlzatok (Wide Area Network, WAN) rendszerint a nyilvnos tvkzlsi berendezseket veszik ignybe s nagy tvolsg, fldrszek kztti kommunikcit valstanak meg. A loklis hlzatok (Local Area Network, LAN) kis s kzepes kiterjeds fldrajzi terleten elhelyezked, fggetlen eszkzk kztti egyenrang kommunikcit valstanak meg. A hlzati elemeket hrom csoportba sorolhatjuk: a kommunikcis llomsokra, az llomsokat sszekapcsol kbelrendszerre s a kiegszt hlzati elemekre. A hlzati llomsoknak megfelelen intelligens szoftverrel kell rendelkeznik ahhoz, hogy kezelni tudjk a hlzat szmra szksges kommunikcis s vezrlsi funkcikat. A soros kommunikci jelentsge napjainkban felrtkeldik. A PLC programjnak jelents rszt a kommunikcira vonatkoz programrsz teszi ki, amely elksztse a konkrt PLC hardver- s szoftveradottsgain tl sokrt, a kommunikcira vonatkoz ltalnos s specifikus informatikai ismereteket ignyel. A 4.2. brn a PLC-k alkalmazsa lthat tbbszint informatikai rendszerben.

106

4.2. bra. PLC-k tbbszint informatikai rendszerben

4.1. Soros adattvitel


Ismert, hogy az informci feldolgozsa prhuzamos, tovbbtsa soros formban elnys. Ezrt a soros adattvitelnl meg kell oldani az adatok prhuzamos/soros talaktst, az adatok s az zenet szinkronizlst, majd az adatok soros/prhuzamos visszaalaktst, valamint az egyes bitek/bjtok rtelmezst, ill. az tvitel ellenrzst. A soros adattvitel kidolgozshoz s programozshoz az tviteli sebessg, a fizikai jellemzk, a kdolsi eljrsok, a szinkronizls mdja s az tvitel szablyrendszere (protokoll) ismerete szksges. 4.1.1. tviteli sebessg Az tviteli sebessget az idegysgenknt tvitt bitek szmval adjk meg, mrtkegysge a bit/s vagy bps, azaz a baud. Gyakran a brutt, ill. nett adattviteli sebessg fogalmval is tallkozhatunk. A brutt adattviteli sebessg a hasznos adatokon tl az adminisztrcis adatokat is figyelembe veszi, mg a nett tviteli sebessg csak a hasznos adatok tvitelre vonatkozik s rtke a kdolstl fggen az elztl 10-30 %-kal kisebb. Jellemz adattviteli sebessgek s alkalmazsok: 1 Kbit/s 10-100 Kbit/s pl. PC-perifrik (nyomtat), pl. irnytstechnikai hlzatok (PROFIBUS),

107 1 Mbit/s 10 Mbit/s 100 Mbit/s 1Gbit/s 4.1.2. Fizikai jellemzk A soros adattvitel fizikai jellemzi az tviteli kzegek, az tviteli mdok s az adattvitel irnya. A soros adattvitelre rendszerint a kvetkezket alkalmazzk: sodrott rpr, koaxilis kbel, fnykbel s elektromgneses hullm.
4.1.2.1. tviteli kzegek

pl. alapsv LAN (PC-hlzatok), pl. szles sv LAN (kptvitel).

A soros adattvitel vezetkes vagy vezetk nlkli tviteli kzegen keresztl lehetsges. A vezetkes tvitel fizikai kzege lehet elektromos kbel, ill. fnykbel. A soros adattvitelnl hasznlatos nagyfrekvencis jeltvitel szempontjbl az elektromos vezetk hullmellenllsnak ismerete fontos. Ennek meghatrozsa a kvetkez. Nagyfrekvencis jeleknl a nem tl hossz vezetkek ohmos ellenllst elhanyagolhatjuk, gy a vezetk induktivitsnak energija megegyezik a kapacits energijval, vagyis 1 2 1 2 Li = Cu . (4-1) 2 2 Ezt az egyenletet u/i-re megoldva ellenllst, azaz ohm mrtkegysg eredmnyt kapunk. Ezt az ellenllst hullmellenllsnak nevezzk s Zh-val jelljk.

L' (4-2) C' ahol Zh a hullmellenlls, L az egysgnyi hossz vezetk induktivitsa, C az egysgnyi hossz vezetk kapacitsa. Zh =
A jeltvitelre hasznlatos vezetkek hullmimpedencija 50300 kztti. Az llhullmmentes jeltvitelhez a nagyfrekvencis vezetkeket hullmellenllssal azonos rtk ohmos ellenllssal kell lezrni. Ezt illesztett lezrsnak nevezzk. Refleximentes jeltvitelhez illesztett lezrsra van szksg. Minl inkbb eltr a lezr impedencia a hullmimpedencitl, annl nagyobb a reflexik amplitdja s fzisa miatti jeltorzuls. A jeltvitelre hasznlatos vezetkek lehetnek: sodrott rpr, koaxilis kbel, fnykbel s vezetk nlkli tvitel. A sodrott (csavart) rpr (twisted pair) kt szigetelt, sszecsavart rzhuzalbl ll (4.3a bra). Rendszerint tbb sodrott rprt fognak ssze kzs vdburkolatba, amit sodrott rprktegnek neveznek. Az pletekben lv tvbeszl hlzatok sodrott rprktegbl kszlnek. Miutn ezek a kbelek mr tbbnyire rendelkezsre llnak, loklis hlzatokban is igen elterjedtek. Nhny mai, hagyomnyos tvbeszlkbelt alkalmaz loklis hlzati eszkz elri a 10, st a 100 Mbps adattviteli sebessget, de a legtbb sodrott rpras megolds ennl sokkal kisebb sebessgre alkalmazhat. Nagyobb teljestmny loklis hlzatokban a sodrott rprktegnek jobb minsg, vdburkolattal elltott vltozatt alkalmazzk, amit rnykolt sodrott rprktegnek neveznek. Ez a kbeltpus kevsb rzkeny az elektromos zavarokra s megbzhatbb, nagy tvolsg, nagy sebessg tvitelre kpes, ugyanakkor jelents htrnya az rprok kztti thalls. A koaxilis kbel (coax cable) szerkezeti felptse a 4.3b brn lthat. A koaxilis kbel rzhuzalbl ll, amit szigetelanyag vesz krl, s ezt tleli egy rnykolkpeny, ami lehet fonott huzalhl (harisnya), vagy szilrd, fmes anyag (flia). Az rnykolkpenyt szigetelbl kszlt vdburkolat bortja. A koaxilis kbel kevsb rzkeny az elektromos

108 zavarokra s az thallsra, mint a sodrott rpr, s maximum 100 Mbps adatsebessg rhet el vele. Htrnyuk a nagyobb fektetsi kltsg.

4.3. bra. Sodrott rpr (a) s koaxilis kbel (b) felptse Mg olyan hlzatokhoz is, amelyek a 100 Mbps sebessgnl jval kisebb sebessgre kpes sodrott rprt is hasznlhatnk, sokszor a koaxilis kbelt alkalmazzk. A koaxilis kbelek a televzis technikban mr rgta elterjedtek. A loklis hlzatokban ettl eltr koaxilis kbel is szoksos. A koaxilis kbelek aszimmetrikus kbelek. Fnykbel (fiber-optic cable) bels felptse s mretei lthatk a 4.4. brn. A fnyvezet eszkzket felhasznlhatjk informci tovbbtsra, ha a fnysugarakat adatjelekkel modulljk. A fnykbel rbl ll, amely hajszlvkony, optikailag tltsz anyagbl kszl s olyan burkolatbl, amely az eret koncentrikusan veszi krl, s az rnl kisebb optikai srsg. Mivel a burkolat trsmutatja kisebb az rnl, az rben halad fnysugr nem tud kilpni, gy a burkolatrl teljesen visszaverdik. A fnykbelek rendkvl nagy svszlessgek. Az 565 Mbps tviteli sebessg a kereskedelmi forgalomban kaphat rendszereknl megszokott, de mr van 200 000 Mbps sebessget elr rendszer is. A fnykbel msik nagy elnye, hogy nem rzkeny az elektromos s mgneses zavarokra, tmege kisebb a koaxilis kbelnl, de sokkal drgbb is. Klnsen a fektetsi kltsge jelents. A kbelvgek, csatlakozk kialaktsa specilis szerszmokat s szakrtelmet ignyel. Ugyancsak kltsgesek a kbelrendszerek tviteli tulajdonsgait vizsgl mrmszerek is. jabban az vegszlat manyagszllal helyettestik, ami olcsbb, de az tviteli tulajdonsgai rosszabbak.

109

4.4. bra. Szilrd (a) s laza (b) burkolat fnyvezet szl felptse s mretei Vezetk nlkli tvitel: a leveg, ill. az elektromgneses hullm is tviteli kzeg. Ezt hasznlja pldul a rdi- s tvmsorszrs, valamint a mobiltelefnia. Nagy elnye az, hogy nem kell kipteni az tviteli utat, viszont az tvitel sorn elfordulhatnak zavarok. Ennek ellenre, pl. szervizalkalmazsok esetn a vezetk nlkli tvitel nagyon rdekes alternatvja az idignyes s ezrt drga helyszni kiszllsnak. Igen nagy tvolsg rendszereknl (pl. olajkutak, gzkutak stb.) a mikrohullm, esetleg mholdas tvitelt is hasznljk. A jvben a vezetk nlkli tvitel jelentsgnek nvekedse vrhat. Napjainkban a MOTOROLA forgalmaz olyan rendszert, amelynl a PLC s PLC, ill. PLC s I/O kztti kommunikci vezetk nlkl valsul meg. Igen gyakori igny a PLC-vel, st tvadval szemben az SMS zenetklds vagy a WAP bzis Internet-hozzfrs.
4.1.2.2. tviteli mdok

Kt eljrs hasznlatos a jelek fizikai kommunikcis kzegen val tvitelre: az alapsv s a szles sv tviteli md. Az alapsv tviteli md a digitlis jeltvitelt, a szles sv tviteli md az analg jeltviteli eljrsokat hasznlja. Alapsv tvitel esetn az adatjeleket diszkrt elektromos, ill. fnyimpulzusok formjban viszik t. Az ilyen tvitelnl az ad az adatimpulzusokat kzvetlenl a kommunikcis csatornn t tovbbtja, a vev pedig ezeket detektlja. Mivel az adatimpulzusok a kommunikcis csatornn (fizikai kzegen) haladnak, azon torzulst szenvednek. gy a csatorna vgn megjelen jel formja s nagysga mr nem az eredeti. Ha a vonal tl hossz, akkor a vett jel tl gyenge lehet, vagy ha az tviteli sebessg tl nagy, akkor a vett jel felismerhetetlenn vlhat. Ezen problmk lekzdsre jelismtl egysgeket (repeater) alkalmaznak, amelyek helyrelltjk a jelet s megszntetik a csatornban keletkezett zajt. Alapsv tvitel esetn a zaj s a zavar ltalban nem okoz gondot, kivve, ha a zavar annyira elrontja a jelet, hogy a 0-s bit 1-knt rtelmezhet (vagy fordtva). Az alapsv tviteli mdnl a csatorna kapacitst egyetlen adatjel tovbbtsra hasznljk. Az alapsv tviteli mdot hasznl csatornn tbb eszkz is osztozhat az idosztsos vezrls segtsgvel. Az idosztsos (Time-Divison Multiplexing, TDM) eljrs esetn a kommunikcis eszkzk felvltva adnak gy, hogy egy idben csak egy eszkz ad. A klnbz eszkzktl szrmaz adatok az tviteli csatornn egymst kvetik. A szles sv tviteli mdra az analg tvitel a jellemz, amelynl folytonos jeleket alkalmaznak. A jelek elektromgneses vivhullmok segtsgvel haladnak t az tviteli kzegen. A vivhullm jelet rendszerint az albbi jellemzkkel adjk meg: amplitd, frekvencia, fzis. Szles sv tvitelnl az adatjelet egy hordozjelre (viv) ltetik r, mgpedig gy, hogy a vivhullm hrom jellemzjnek valamelyikt egy adatjelnek megfelelen vltoztat-

110 jk, azaz modulljk. Ennek megfelelen van amplitdmodulci, frekvenciamodulci, ill. fzismodulci. Amplitdmodulci (AM) esetn a 0, ill. 1 rtket a nagyfrekvencis viv kt klnbz amplitdrtke reprezentlja. Frekvenciamodulci (FM, ill. FSK: Frequency Shift Key) esetn a 0, ill. 1 adatbitet a vivhullm ktfle frekvenciartke testesti meg. Fzismodulcinl a 0, ill. 1 bit rtkt a vivhullm ktfle fzishelyzete jelenti. A vivfrekvencia modullst, ill. demodullst n. modemek vgzik. Analg tvitel esetn a fizikai jeltviv kzegtl fggen a jel csillaptst szenved. Ezt megfelel tvolsgban elhelyezett erstkkel kompenzljk. Az erst a jellel egytt a zajokat is ersti, gy a megfelel jel/zaj viszony biztostsa a tvolsg nvekedsvel egyre nehezebb. Az analg jeltviv csatorna egyik fontos mrtkegysge a svszlessg, ami a csatornn tvitt legmagasabb s legalacsonyabb frekvencia klnbsge. A csatorna svszlessge s az adattviteli sebessg kztt kzvetlen sszefggs van. Minl magasabb a vivjel frekvencija, annl nagyobb az informcihordoz kapacits. A csatornakapacits jellemzsre bevezetett baud a msodpercenknti jelvltozst jelenti a vonalon, ami tbbnyire megegyezik a bitsebessggel, de ez nem kizrlagos. Ezrt a bit/s fejezi ki egyrtelmen az informcitvitel sebessgt. Analg tvitelnl gyakran tbb rszre, csatornkra osztjk a fizikai kzeg ltal rendelkezsre ll svszlessget. Ebben az esetben frekvencia multiplexnek (Frequency Divison Multiplexing, FDM) nevezett eljrssal tbb tvitel valsthat meg egyszerre a klnbz csatornkon (vivfrekvencin) keresztl. Szles sv tvitelnl a csatornkat gyakran eltr mdon hasznljk ki, pldul egyes csatornkon csak adatokat, msokon jeleket (videojel) visznek t egyidejleg, vagy az lloms egy csatornt adsra, egy msik csatornt vtelre hasznl.
4.1.2.3. Az adattvitel irnya

Az adattvitel tovbbi jellemzje az egy idben trtn tvitel irnya. gy megklnbztetnk szimplex, fl duplex s duplex tvitelt. Szimplex tvitel esetn az adatramls egyirny. Fl duplex (half duplex) tvitelnl az adattovbbts mindkt irnyban lehetsges, de egy idben csak az egyik irnyban. Duplex (full duplex) zemmdban, egy idben mindkt irnyban lehet adatokat tovbbtani. A fl duplex zemmdhoz 2-, a duplex zemmdhoz 4-vezetkes kapcsolatra van szksg.
4.1.3. Kdolsi eljrsok

Az egymssal kommunikl llomsok a kommunikcis csatornn keresztl tovbbtott binris adatok megfeleltetsre szmos, klnbz kdolsi formt hasznlhatnak. A leggyakoribb kdolsi eljrsok: RS 232C kdols; nullra komplementl differencilis kdols; Manchester-kdols; differencilt Manchester-kdols. RS 232C kdols: lass adattvitelre leggyakrabban kznsges tvkzlsi csatornt hasznlnak, amelyet az RS 232C szabvnyban definiltak, s amit az Electronic Industry Association (EIA) publiklt. Az RS 232C tvitelnl az 1 bitrtknek az egy bitperidusig tart negatv feszltsgszint felel meg, a 0 bitrtknek a pozitv feszltsgszint (4.5. bra). E kdo-

111 lsi md elnye az egyszersg, de htrnya, hogy sok egyms utni azonos bitrtk esetn (0 vagy 1) a bitidk elcsszsa hibs dekdolst okozhat.

4.5. bra. RS 232C kdols Nullra komplementl differencilis kdols: sok nagy bonyolultsg (nagy teljestmny) vonalvezrl eljrs, mint amilyen az IBM SDLC (Synchronous Data Link Control) eljrs, gyakran hasznl az elztl sokkal sszetettebb kdolsi mdot. A nullra komplementl differencilis kdolsban (NRZI) a bitperidusban egy pozitv vagy negatv feszltsg polaritsvltsa megfelel a 0 bitrtknek. Ha nincs a bitperidus ideje alatt polaritsvlts, akkor az 1 bitrtket jelent (4.6. bra).

4.6. bra. Nullra komplementl differencilis kdols (NRZI) Manchester-kdols: elektromos szempontbl sok loklis hlzati megvalstsban kvnatos, hogy a pozitvbl negatvba val tmenet s a negatvbl pozitvba val polaritsvlts elre lthat szablyossggal kvesse egymst. A Manchester-kdols kvnt szm tmenetet tesz lehetv, s elterjedt loklis hlzatokban. A Manchester-kdols jellegzetes formjban a kvetkez: 1 bitrtknek felel meg, amikor a bitid felig a feszltsgszint negatv s a bitid feltl pozitv, 0 bitrtket jelent, amikor a bitid felig a feszltsgszint pozitv, s a bitid feltl negatv. gy a Manchester-kdols esetn (4.7. bra) minden bitperidusban polaritsvlts trtnik. Manchester-kdols esetn azok a bitidk, amelyekben a jel vagy pozitv, vagy negatv marad egy teljes bitperidus idejre jelezhetik a blokk kezdett, vagy a blokk vgt.

4.7. bra. Manchester-kdols A Manchester-kdols egyik formjt, amit differencilis Manchester-kdolsnak neveznk (4.8. bra), j nhny loklis hlzat felhasznl. Ennl a kdolsnl is, mint a hagyomnyos Manchester-kdolsnl, minden bitperidusban polaritsvlts trtnik. Azonban a vltozs jellege fgg attl, milyen rtk volt az elz bit; 0, vagy 1. A bitrtk 1 rtket fog felvenni, ha nem vltozik a polarits az elz bitperidus vgn, de vltozik a bitperidus kzepn, s 0 bitrtket azonost, ha a bitperidusnak mind az elejn, mind a kzepn polaritsvlts trtnik. Ezzel a kdolssal a pozitv feszltsgszintrl negatv feszltsgszintre trtn tmenet 0 bitrtket is s 1 bitrtket is jelenthet, az elz bitperidus vgnek l-

112 lapottl fggen. A bit rtkt az hatrozza meg, hogy ilyenkor van-e polaritsvlts, vagy nincs. Ha a bitid elejn nincs polaritsvlts, az 1, ha van, akkor 0 bitrtket jelez.

4.8. bra. Differencilis Manchester-kdols


4.1.4. Szinkronizls

Kt digitlis eszkz kztti kommunikcinl azon idtartam ismeretre van szksg, amelynek segtsgvel azonostani lehet minden elkldtt jelet. Ezt az idtartamot bitperidusnak vagy bitidnek nevezik. Egy hibtlanul elkldtt adatsorozatrl a vevnek fel kell ismernie az adatsorozatot, s azonostani kell az egyes bitekhez tartoz jelrszleteket. Ehhez ktfle eljrs ismert: az aszinkron, ill. szinkron eljrs. Aszinkron tvitel esetn a karaktereket START- ill. STOP-bitek hatroljk, ezrt START/STOP tvitelnek is nevezik. ltalban minden karakter 10-11 bitbl tevdik ssze (4.9. bra): 1 START-bit; 8 adatbit (ASCII kd esetn, de ez eltr is lehet, pl. a telexkd 5 bites); paritsbit; 1-2 STOP-bitbl.

4.9. bra. Aszinkron tvitel


LSB, Least Significant Bit (legkisebb helyirtk bit), MSB, Most Significant Bit (legnagyobb helyirtk bit)

A kd egy startbittel kezddik, ez jelli a kd kezdett. Ezt kveti nyolc biten az informci, majd egy paritsbit. A kd vgt a stopbit jelzi. Az aszinkron adattviteli eljrsoknl a startbit s a stopbit hatrolja az egyes kdokat. A telegramkd teht 8 adatbit esetn sszesen 11 bitbl ll. Ez a viszony, valamint a telegram felptsbl add viszony fontos, az tvitel hatkonysgra utal mennyisg. A START/STOP bitek miatt a jelsorozat elgg redundns, mivel informcitartalom szempontjbl felesleges biteket tartalmaz. Ugyanakkor a vevoldalon nincs szinkronizlva a vtel s emiatt a nagyobb sebessg (> 9600 bps) tvitel nem biztonsgos. Az aszinkron soros tvitel szabvnyos tviteli sebessgei: 110, 300, 600, 1200, 2400, 9600, 19200 bps. Aszinkron tvitel esetn teht karakterszinkronizci trtnik a karaktert megelz START-, ill. kvet STOP-bitek rvn. Szinkron adattvitelnl az egymst kvet jelek temezetten, szinkronizltan kvetik egymst. Az adatok tvitele blokkos formban trtnik, amelyeket blokkszinkronizl bitekkel egsztenek ki. Ezt a formt keretnek (frame) nevezik. A 4.10. brn a keret kt tipikus formja lthat: a karakteres, ill. a binris adattovbbts blokkja.

113 Az tvitel egyik formja a karakterorientlt protokoll (Character Oriented Protocol, COP), amely fknt a szveges informci tvitele esetn elnys, de adatok tovbbtsra krlmnyes. Adatok tvitelhez a bitorientlt protokollt (Bit Oriented Protocol, BOP) hasznljk. Ilyen pldul a HDLC (High-level Data Link Protocol). Szinkron tvitelnl a redundancia alacsonyabb, de a kapcsold hardver bonyolultabb. Az alkalmazhat adattviteli sebessg magasabb, mint az aszinkron tvitelnl. Tipikusak a 4800 bit/s feletti rtkek. Szinkron tvitelnl igen elnys a Manchester-kdols, amely minden adatbithez jelvltozst rendel, gy a vevnek egyszer a szinkront fenntartania.

4.10. bra. Karakterorientlt (a) s bitorientlt (b) protokoll


4.1.5. Protokoll

A kommunikciban rszt vev eszkzk kztt tvitt informci vezrlinformcibl (bitek, ill. bjtok), hasznos informcibl s adatellenrz informcibl ll. Az informcifeldolgoz egysgnek a vett adatok feldolgozshoz ismernie kell az egyes bitek, ill. bjtok funkciit. Az tvitt zenetek (telegramok) felptsnek szablyait s rtelmezsi mdjt protokollnak nevezik. Szmos szabvnyos protokoll ismeretes, melyek kzl kett a 4.10. brn lthat. A pont-pont sszekttets, ill. a hlzati kommunikci protokolljai a vezrlinformciban trnek el egymstl (lsd a 4.3. alfejezetben). A telegram egy keretbe foglalt zenet, amely keret rendszerint hrom rszbl ll: a fejrsz (header), az adattest (data unit), az adatellenrz rsz (trailer).
Fejrsz (header) kezdpont-hatrol cm(ek) vezrlinformci Adattest (data unit) nett hasznos adatok Adatellenrz rsz (trailer) ellenrz informci

A header tartalmazza a clcmet (annak a rsztvevnek a cmt, amelynek az zenet szl) s a vezrlinformcit. A vezrlinformci tartalmazhatja pldul a telegram hosszt s/vagy a telegram tpust. Az adattestben (Data Unit) lv informcik ettl a vezrlinformcitl fggen eltr hosszsgak lehetnek, ill. mst s mst jelenthetnek. A Data Unit tartalmazza az zenetet. Az zenet hossza lehet telegramtpusonknt fix, vagy a fejrszben lv hosszadattal megadott mdon vltoz.

114 A trailer ellenrz informcit tartalmaz. A kld a megelz telegramelemekbl egy adott algoritmus szerint kiszmt egy rtket (ellenrz kdot), s ezt rja be a trailerbe. A vev a vett telegramelemekbl ugyanazon algoritmus alapjn maga is kiszmtja az ellenrz kdot, s ezt sszehasonltja a kldtl kapottal. Ezek a telegramrszek maguk is telegramkdokbl llnak, amelyek felptse szintn rgztett. Az ellenrz informci kpzst a kvetkez alfejezetben ismertetjk.
4.1.6. Adatvdelmi mdszerek

A soros tvitel sorn az tviteli csatornn a villamos zavarok miatt hibs tvitel trtnhet. Ez ellen klnbz adatvdelmi (hibafelismer s -javt) mdszerekkel vdekeznek. Az adattviteli vdelmi mdszerek kzl fontosak: keresztparits (Vertical Redundancy Check, VRC), hosszparits (Longitudinal Redundancy Check, LRC); kombinlt parits (VRC/LRC); ciklikus redundanciakd (Cyclic Redundancy Check, CRC).
4.1.6.1. Keresztparitsos ellenrzs

Az tvitt adatok vdelmnek legismertebb mdja a keresztparits. Ez lehet pros vagy pratlan parits. Mindkt esetben az adatbiteket egy paritsbittel egsztjk ki. Az adatbitekben s a paritsbitben lv 1-esek szmnak pros parits esetn prosnak, pratlan parits esetn pratlannak kell lennie. Ha teht pros keresztparits hasznlata esetn az adatbitek kzl hrom bit 1-es, akkor a paritsbitbe 1-est kell rni, hogy a parits (az egyesek szma) pros legyen (4.11. bra).

4.11. bra. Keresztparitsos ellenrzs (VRC)

115
4.1.6.2. Hosszparitsos ellenrzs

A hosszparitst a keresztparitshoz hasonlan kpezzk. Ugyangy megklnbztetjk a pros s a pratlan hosszparitst. A hosszparitst azonban a keresztparitssal szemben nem egyetlen kdszra, hanem tbb telegramkd azonos helyirtk bitjeire kpezzk. gy annyi paritsbitet kapunk, ahny adatbit van, s ezeket a paritsbiteket egyetlen ellenrz kdba foglaljuk ssze, amit blokkellenrz kdnak (Framed Check Sequence, FCS) neveznek (4.12. bra). A XOR mvelet az egyms fltti azonos pozcij bitek paritsbit-generlsra utal.

4.12. bra. Hosszparitsos ellenrzs (LRC)


4.1.6.3. Kombinlt paritsos ellenrzs

A fentebb lert kt eljrs (VRC/LRC) kombinlsa a kombinlt parits. Ennek sorn mindkt mdszert egyidejleg alkalmazzuk, azaz minden egyes kdra kiszmtunk egy paritsbitet s kiszmtunk egy paritskdot a teljes blokkra is (4.13. bra). Hibs tvitel esetn, amennyiben egy hiba trtnt, a sor-oszlop keresztpont adja a hiba helyt.

116

4.13. bra. Kombinlt paritsos ellenrzs (VRC/LRC)


4.1.6.4. Ciklikus redundancia ellenrzse

A paritsos elven alapul hibaellenrzs elnye az egyszersge, de nagy htrnya a megbzhatsga, ill. alkalmazsi korltozottsga. A kt Hamming-tvolsgot biztost paritsos mdszer a kereszthibk esetn nem nyjt vdettsget. A ciklikus redundancia ellenrzse mintegy 1000-szer nagyobb vdettsget biztost. A CRC-kdot az ad kpezi az zenet elre rgztett bitkpe alapjn, a vev pedig a vett zenetbl lltja el szigoran azonos szablyok alapjn, hrom lpsben. A CRC hibafelfed mdszer els lpseknt az ellenrizend bitsorozatbl egy, a legnagyobb helyirtkeknek megfelel fokszm polinomot kpeznek gy, hogy ennek egytthatit az eredeti zenetbitek adjk: M(x)=bk1 xk1+bk2 xk2++b1 x+b0 (4-3) Az gy polinomm alaktott M(x) zenetet r helyirtkkel eltoljk (balra lptetik), ami egy xr-nel trtn szorzst jelent, aminek hatsra a polinom az xrM(x) alakot veszi fel. Harmadik lpsknt az xrM(x) alak polinomot egy, az egsz rendszer rsztvevi ltal ismert r-ed fok, n. genertorpolinommal elosztjk, a modulo-2 szablyoknak megfelelen. Felttelezve, hogy a genertorpolinom G(x)=gr xr + gr1 xr1 ++ g1 x + g0 alak, teht az oszts eredmnye: xr M(x)/G(x)=P(x) + R(x)/G(x), (4-5) ahol P(x) az oszthat rsz, mg R(x) a maradk. A kvetkez lpsben az eredeti zenetbl kpzett r helyirtkkel eltolt polinombl ezt a maradkot kivonjk s az gy kapott polinom T(x) egytthatit kldik el a CRC-mezbe. xr M(x) R(x) = T(x) (4-6) (4-4)

117 A CRC-mezbe kldtt T(x)-nek megfelel bitsorozat teht ugyangy megrkezik a vevhz, mint az zenet tbbi rsze. A vevoldali procedra nagyon hasonl az adoldalihoz. Ttelezzk fel, hogy az elkldtt bitsorozat az tviteli ton srl s a vevhz egy eltr vltozat rkezik, vagyis: T(x) T(x) + E(x) alakra vltozik, ahol E(x) a hiba. Amennyiben a vev ltal is ismert genertorpolinommal az zenetet elosztjuk: [T(x) + E(x)]/G(x) = P(x) +E(x)/G(x), (4-7) vagyis az oszts eredmnye E(x) miatt maradkot tartalmaz, teht hiba lpett fel az tvitelkor. A genertorpolinommal trtn oszts csak akkor ad maradk nlkli eredmnyt, ha az eredeti zenet rkezett a vevhz. Feltratlan hiba akkor maradhat az zenetben, ha E(x) vletlenl maradk nlkl oszthat G(x)-szel. A feltratlan hibk valsznsgi rtke cskken, ha a genertorpolinomot nagyon gondosan vlasztjk meg. A genertorpolinom szinte minden esetben tartalmaz egy (x+1) szorztagot, ami a paritsvizsglatot teszi lehetv. Mivel az M(x), ill. G(x) egyarnt binris formban kerl feldolgozsra, gy a CRC kpzse egyszer logikai mveletekkel, pl. lptetregiszterekkel s EXOR mveletekkel knnyen s gyorsan elvgezhet.

4.2. RS tpus kommunikcis szabvnyok


Az EIA ltal a gpi kommunikcira kidolgozott RS szabvnyok egy rsze a pont-pont kztti, msik rsze a hlzati kommunikci hardverfeltteleit definilja. Pont-pont kztti kommunikci rendszerint PC s PLC, PLC s PLC, PLC s MMI, ill. PLC s perifria kztti adatforgalom lebonyoltshoz hasznlatos. Erre a clra leginkbb az RS 232, esetleg az RS 422/485, ill. a CENTRONICS (prhuzamos) interfszt alkalmazzk.
4.2.1. RS 232C szabvny szerinti adattvitel

A pont-pont kztti kommunikcihoz rendszerint az 1969-ben az EIA ltal kidolgozott s valsznleg a legszlesebb krben hasznlt RS 232C szabvnyt hasznljk. A szabvny a kommunikciban rszt vev kt eszkz kztti csatlakozs (Data Terminal Equipment, DTE s Data Communication Equipment, DCE) mechanikai, elektromos s funkcionlis jellemzit definilja, ezrt gyakran hardverprotokollnak is nevezik. Az RS 232C tipikus feszltsgrtkei: Jel tpusa Logikai llapot Adatjel 0 (sznet) 1 (jel) Vezrljel 0 (ki) 1 (be) Feszltsgtartomny + 3 V+ 15 V 15 V 3 V 15 V 3 V + 3 V+ 15 V Nvleges rtk +7V 7V 7V +7V

Az RS 232C szabvny szerinti kommunikci fbb mszaki jellemzi: tviteli sebessg: 75, 300, 600, 1200, 4800, 9600, 19 000 bit/s, a maximlis tviteli tvolsg feszltsgkimenet esetn kb. 15 m, ramkimenet esetn nhny 100 m, az tviteli sebessgtl fggen. Az RS 232C szabvny csatol kt vgberendezs bitsoros sszektst valstja meg s megfelel kialaktsban szimplex, fl duplex vagy duplex tvitelre egyarnt alkalmas.

118 A csatols a szabvny szerint 25 plus csatlakozt ignyel, de valamennyi vezetkfunkcit csak ritkn hasznostjk. Van 39 vezetkes megolds, amihez 9 plus csatlakoz szksges. A leggyakorib interfszjelek: TD RD RTS CTS DSR DTR Transmit Data Receive Data Request to Send Clear to Send Data Set Ready Data Terminal Ready Adattads (adatjel ) Adattvtel (adatjel ) Adskrs (vezrljel ) Adsra ksz (vezrljel ) Adatberendezs ksz (vezrljel ) Adatberendezs ksz (vezrljel )

Az RS 232C szerinti soros kommunikci [23] rendszerint a CPU soros UART egysgn valsul meg gy, hogy ennek TTL szint jeleit n. EIA meghajtkkal s szintttevkkel alaktjk RS 232C szintre s vissza. Ezt szemllteti a 4.14. bra a 25, ill. 9 plus csatlakoz bektsvel. Br az brn a kt funkcit kln csipek valstjk meg, napjainkban rendszerint egy lapkn kerl kivitelezsre.

4.14. bra. RS 232C interfsz Az RS 232C szabvny kommunikci specilis hardvermegoldsa a 20 mA-es ramhurok. Az ramkri kialaktsnak megfelelen a logikai 1-et a 20 mA ram, a 0-t pedig a 0 mA reprezentlja. Az ramhurkos tvitel 100 m-nl nagyobb tvolsgokra is hasznlhat. RS 232C tvitelnl az informci tovbbtsa az adatvezetkeken, soros formban, n. kzfogsos (hand-shaking) mdon a vezrlbitek ltal irnytva trtnik. Ktirny adattvitel adat- s vezrlvezetkeinek sszekttetst szemllteti a 4.15. bra.

119

4.15. bra. Az RS 232C csatlakozs bektse Egyirny tvitel az ad s vev kztt vezrlbitek nlkl, kt vezetken is megoldhat. Erre plda az n. XON/XOFF, ill. a BSC protokoll. A XON/XOFF protokoll esetn a XOFF-kd az adattovbbts lelltsra, a XON-kd az adattovbbts indtsra szolgl. Amikor a vev puffermemrija megtelt, akkor a vev az adatvonalon kld egy XOFF jelet (03 hex.) s ezzel jelzi az adnak, hogy lelltja az adattvitelt. Ennek vtelekor az adatkld eszkz lelltja az adatok tovbbtst s vr a XON parancsra (01 hex.), amellyel a vev jelzi, hogy ksz az adatok fogadsra. Lthat, hogy ilyenkor csak a kt adatvezetkre (s a jelfldre) van szksg, az adattvitel egyirny. A XON/XOFF protokoll esetn a kldtt blokkhossz tetszleges lehet (4.16. bra).

120

4.16. bra. A XON/XOFF protokoll A BSC (Binary Synchronous Communication) protokoll esetn az adatkapcsolat hrom fzisa: felkrsi fzis, adattadsi s lekapcsoldsi fzis. A BSC protokollt meghatrozott hosszsg (pl. nyomtatsnl 80 karakter) adatcsomag tovbbtsra fejlesztettk ki. Az adni kvn lloms ENQ-jellel krdez r (enquiry rkrdezst jelent) a vev vtelkszsgre. A vev ezt a DLE 0 karaktersorozattal nyugtzza. Ha ez a nyugtzjel nem rkezik meg, akkor az ad egy adott vrakozsi id utn jabb ENQ-jelet kld ki. Ha a vev adatvtelre ksz llapotban volt (DLE 0), akkor az ad egy STX (Start of Text) karaktert kld s elkezddik az adattadsi fzis (4.17. bra).

121 A szveg vgt az ETX (End of Text) kddal jelzi az ad a vev fel. Ezutn egy blokkellenrz karaktert (BCC) kld az ad a vevnek, amelynek hatsra blokkellenrzs kezddik (pl. VRC, LRC stb.). Ha az ad elkldtt s vev ltal kiszmtott blokkellenrz karakter azonos, akkor ezt a vev DLE 1 karakterekkel jelzi vissza, aminek vtelekor az ad EOT karakterrel zrja az adatkapcsolatot.

4.17. bra. Adatkapcsolat BSC protokoll esetn A kt pont kztti soros kommunikci sajtos mdja a telefonvonalas adattvitel. A telefonvonal svszlessge 303300 Hz, azaz hangfrekvencis tartomny, az tviteli csatorna analg tviteli eljrst hasznl, ezrt az tvinni kvnt digitlis informcit analg jell kell alaktani. Ezt az talaktst vgzi el az adban a modultor, a vevben a demodultor. A modultor/demodultor egysget modemnek nevezik. A modemes adattvitelt a 4.18. bra szemllteti.

4.18. bra. Soros adattvitel modemmel RS 232C interfsz s modem sszektse Siemens S7 elemekkel a 4.19. brn lthat.

4.19. bra. RS 232C egysg s modem sszekapcsolsa Szles sv tvitel esetn a vivfrekvencia a VHF-tartomnyba esik, amihez rdifrekvencis (RF) modemeket hasznlnak.

122 RS 232C esetn az ajnlott tviteli sebessg az tviteli tvolsg fggvnyben: Tpus RS 232C 20 mA-os ramhurok Tvolsg (m) 10 100 1000 100 300 2000 Max. sebessg (bps) 10000 1000 10000 10000 1000

4.2.2. RS 422/485 szabvny szerinti adattvitel

Az RS 232C tpus adattvitel az tviteli sebessg s a tvolsg szempontjbl elnytelen s csak alacsony sebessg s kis tviteli tvolsg esetn hasznlatos. Ezen javt az RS 422, ill. RS 485 szabvny szerinti adattvitel. E kt szabvny az tviteli paramterek javulst nagyrszt a szimmetrikus jeltvitel rvn ri el. Az RS 422/485 tpus tvitel jelalakjait [15] a 4.20. bra szemllteti a SAIA PLC jellseivel.

4.20. bra. RS 422/485 tvitel jelalakjai Tipikus feszltsgrtkek: VOZ = 0,9 Vmin1,7 Vmax VOH = 2 Vmin (terhelten)5 Vmax (terhels nlkl) VOL = 2 V5 V RS 422 jelek specifikcija: Jel tpusa Adatjel Vezrljel Logikai llapot 0 (sznet) 1 (jel) 0 (Ki) 1 (Be) Polarits TX pozitv /TX /TX pozitv TX /RTS pozitv RTS RTS pozitv /RTS

Az RS 422 szabvny szerinti tvitel kettnl tbb pont kztti kommunikcit is lehetv tesz. Az OMRON PLC-knl szoksos RS 422 interfsz kapcsolst szemllteti a 4.21. bra . Az bra bal oldaln az RS 232C, jobb oldaln az RS 422 vezetkek lthatk a cg jellseivel. A be-, ill. kimenetek egymstl optolevlasztval vannak elklntve [16].

123

4.21. bra. RS 422 interfsz ramkri felptse Ajnlott tvolsg- s sebessgrtkek az RS 422 szerinti tvitel esetn: Tvolsg (m) Sebessg (bps) 10 1000 000 100 100000 1000 10000 Az RS 485 szerinti jelek specifikcija: Jel tpusa Adatjel Logikai llapot 0 (sznet) 1 (jel) Polarits RX-TX pozitv /RX-/TX /RX-/TX pozitv RX-TX

Az RS 485 szabvny interfsz ktvezetkes busz specifikcijt hatrozza meg tbb pont kztti, n. party-line vagy multidrop hlzati kommunikcihoz. Az RS 485 szerinti kteres, sodrott rpr vezetken tbbnyire master-slave tpus buszhozzfrs van. A 4.21. bra szerinti ramkr az SW1, SW2 kapcsolkkal RS 485 szerinti ktvezetkes snn alakthat. Tetszleges kommunikcis egysghez RS 485 szerinti sn differencilerstbl felptett vonalmeghajtval is kialakthat a 4.22a bra szerint.

124

4.22. bra. Az RS 485 szabvny sn ellltsa (a) s RS 485 szabvny PLC hlzat kialaktsa (b) A SAIA PLC RS-485 szabvny kimeneteinek sodrott rpras sszekapcsolst a 4.22b bra szemllteti az ajnlott vglezr ellenllsokkal, a maximlis tvolsg s eszkzszm feltntetsvel. Az RS 485 szabvny szimmetrikus jeltvitelt biztost sodrott rprral. A vonalon az informcit feszltsgimpulzusok hordozzk, ezrt az tviteli sebessg nvelsvel nvekszik a feszltsgugrsok felharmonikus tartalma. gy nagyon fontos krdss vlik az elektromgneses sszefrhetsg (Electromagnetic Compatibility, EMC). Nagyfrekvencis technikban minden kbelt hullmellenllssal kell lezrni a vonalon terjed jel visszaverdsnek megakadlyozsra. Az ellenllsrtk rendszerint 120400 kztti, a kbeltl fggen. Alacsony tviteli sebessgnl (max nhny Kbps) a vglezr ellenllsok elmaradhatnak. A kls trbl rkez elektromgneses zavarok esetn mindkt buszvonalra azonos nagysg s eljel zavarjel szuperponldik. A vevk differencilersts bemenetek, ezrt a szuperponlt zavarjelek a klnbsgkpzskor kiesnek. A pont-pont kztti adattvitelre az RS 232C s rszben az RS-422 szerinti interfsz ajnlatos. Az RS-485 szerinti interfsz kifejezetten a hlzati kommunikcit tmogatja.

125 Igen gyakran van szksg a klnbz RS szabvny interfszek kztti tjrhatsgra. Erre szmos cg knl ksz megoldsokat. gy pl. a Phoenix Contact univerzlis moduljai biztostjk az tjrst az RS 232C, RS 422, RS 485, TTY tpus soros tvitelek kztt. DIP kapcsolkkal vlaszthat ki pldul az RS 485 2 vezetkes fl duplex, RS 485 4 vezetkes full duplex s az RS 422 4 vezetkes pont-pont kztti sszekttets 4,8 Kbit/s-tl 115,2 Kbit/s-ig terjed tviteli sebessgtartomnyban automatikus ads/vtel tkapcsolssal. Nhny alkalmazsi plda lthat a 4.23. brn [17]: a) bra: a PC RS 232C soros portjnak csatlakoztatsa valamely PLC RS 485 soros bemenetre; b) bra: kt RS 232C interfsszel rendelkez tvoli egysg (PLC) sszekapcsolsa kt RS 232/422 konverterrel. Az thidalhat tvolsg 1200 m; c) bra: a PC RS 232C interfsznek sszekapcsolsa tbb, szintn RS 232C interfsszel rendelkez PLC-vel; d) bra: az iparban gyakran van szksg galvanikus levlaszts, tlfeszltsg-vdelemmel (pl. villmvdelem) elltott interfszekre is.

4.23. bra. Adatvonali interfszek kztti sszekttetsek

126 A hromfle RS-interfsz fbb jellemzit a 4.1. tblzatban foglaltuk ssze. Mindhrom szabvny hardverajnlsokat s elrsokat tartalmaz. A pont-pont kztti kommunikciban bjtsoros prhuzamos interfsz is hasznlatos (pl. CENTRONICS). ELRS EIA llomsszm Tvolsg (max.) tviteli sebessg (tip.) Mechanikai kvetelmny Vzlat 1. VLTOZAT RS 232C 1+1 10 m 9,8 kbit/s 4.1. tblzat. RS-interfsz fbb jellemzi 2. VLTOZAT 3. VLTOZAT RS 422 RS 485 1+10 1+32 1000 m 5000 m 100 kbit/s 100 kbit/s 2 plus csatlakoz

25/9 plus csat- 4 plus csatlakoz lakoz 1 1 1 2

... 10

1 1 2

... 32

4.3. Hlzati kommunikci


Br a pont-pont kztti kommunikcinak vannak tipikus alkalmazsi terletei, napjainkban a tbb pont kztti kommunikci ignye nvekszik. Tbb PLC kztti kommunikci mdszerei a kvetkezk: tbb soros vonal rvn kialaktott pont-pont kapcsolat (4.24a bra); PLC-hlzat (4.24b bra); ETHERNET-hlzat (4.24c bra); adatgyjt szmtgp (4.25. bra). A 4.24a bra szerinti megolds korltozott kommunikcira alkalmas. Ezrt ltjk el a PLC-ket egynl tbb RS 232C szabvny interfsszel. A megolds htrnya az alacsony tviteli sebessg s a kis tvolsg. A 4.24b brn lthat PLC-hlzat a leggyakoribb kommunikcis mdszer a tbb PLC kztti informcis kapcsolat kialaktshoz. Tekintettel az ETHERNET-hlzat vilgmret elterjedsre s az ebbl ered elnykre, klnsen a nagy tvolsg, ill. nagy adatmennyisgeket ignyl kommunikci esetn clszer alkalmazni. Minden perspektivikus PLC-nek van ETHERNET-hlzati csatolja, br jabban a tvkzlstechnikban az ISDN-hlzat is terjed.

127

4.24. bra. Tbb PLC kztti kommunikci lehetsgei A 4.25. bra szerinti adatgyjt szmtgp s PLC-k kztti, fknt egyirny adatkapcsolat ma mr elavult. A megoldst csak rendszertechnikai okbl mutatjuk be.

4.25. bra. Adatgyjt kommunikci

128 Az irnytstechnika kommunikcis hlzatainak elnyei: - jelentsen kisebb kbelkltsgek; - kisebb mret kapcsolszekrnyek; - kisebb mennyisg jrulkos, hagyomnyos technika (pl. sorozatkapcsok); - kisebb teleptsi s rfordtsi kltsgek (brkltsgek); - kisebb szervizkltsgek; - nagyobb zembiztonsg s teljestmny; - rugalmas mdostsi lehetsg. Az irnytstechnika hlzatai a loklis hlzatokbl fejldtek ki, ezrt trgyalsukhoz ltalnos hlzati ismeretek (LAN) s specilis hlzati ismeretek szksgesek. A hlzatok adattvitele soros, ezrt a 4.1. alfejezetben lertak a hlzatokra is rvnyesek. A LAN-ok felptsnek elemzshez szksges alapfogalmak: az tviteli kzeg, az tviteli md, a hlzati topolgia s a hozzfrsi md. tviteli kzeg: sodrott rpr, koaxilis kbel, fnykbel. Az tviteli md: arrl tjkoztat, miknt alkalmazzk sszekttetsre az tviteli (fizikai) kzeget. A leggyakoribb az alapsv, ill. szles sv tvitel. A hlzati topolgia: a kbelezs alakjra utal, megmutatja a hlzati sszekttets rendszert. A leggyakoribb hlzati topolgik (struktrk) a 4.3.1. szakaszban tallhatk. A hozzfrsi md: annak a mdszert rja le, hogy mikor melyik kommunikl lloms kap vezrlst az tviteli kzeghez val hozzfrsre. A loklis hlzatra kapcsolt eszkzk ugyanazon kbelrendszeren osztoznak, ezltal sszekapcsoldnak s lehetsget kapnak az adattvitelre. ltalban a loklis hlzat egy idben csak egyetlen adatlloms adatkldst teszi lehetv. Ezrt szksg van olyan mdszerekre, amelyek megteremtik a lehetsgt annak, hogy melyik lloms kap erre jogot. Ezt a mdszert nevezik hozzfrs-vezrlsi mdnak. A hlzati kommunikcihoz a protokoll fogalmt a kvetkezk szerint kell kibvteni. Hlzati kommunikci esetn a kommunikciprotokoll a szablyok gyjtemnye a kvetkez fbb terleteken. Keretezs (framing): meghatrozza, hogy melyik bitcsoport jelent egy karaktert s mely karaktercsoport tartozik az zenethez. Hibakezels (error control): hibarzkels-parits (parity), VRC vagy CRC segtsgvel a hibtlan zenet elfogadsa stb. Sorrendvezrls (sequence control): zenetek szmozsa a megkettzs vagy az elveszts elkerlse rdekben. tltszsg (transparency): az elbbi funkcikat megvalst vezrlkarakterekkel megegyez bitelrendezs informci tvitele anlkl, hogy ezeket a vevlloms vezrlkarakterknt rtelmezn. Vonalvezrls (line control): fl duplex vagy tbbpontos sszekttets esetn annak a meghatrozsa, hogy melyik lloms adjon s melyik vegyen. Specilis esetek (special cases): eldnti, hogy mi trtnjen, ha nincs adnival adat. Idtllps vezrlse (timeout control): eldnti, hogy mi trtnjen, ha az zenetfolyam megszakad.

129 Indtsvezrls (startup control): az zemen kvl lv rendszerben az tviteli folyamat megindtsa.
4.3.1. Hlzati topolgik

Minden loklis hlzat kzs tulajdonsga, hogy az llomsnak nevezett eszkzknek hozz kell frnik az egyetlen fizikai tviteli eszkzhz (kbelhez). Az tviteli kzeghez val hozzfrst szmos mdszer vezrli. A hozzfrs-vezrlsi mdszer magban foglalja az alkalmazott hlzati topolgit. A hlzati topolgia az eszkzk fizikai elrendezsre s azok kbeles sszektsre vonatkozik. A LAN-ok topolgii: a csillag, a busz, a fa s a gyr tpus.
a) A csillagtopolgia

A csillag- (star-) topolgia lelke egy kzponti vezrl, amely mindegyik csomponttal kzvetlen sszekttetsben ll. Minden tvitel az egyik llomstl a msik lloms fel thalad a kzponti vezrln (4.26. bra). A kzponti vezrl szerept rendszerint szmtgp ltja el, s felels a kommunikci vezrlsrt. A kzponti vezrl hozza ltre a kapcsolatot kt csompont kztt, majd ezt kveten a kt lloms kztt gy cserldhetnek az adatok, mintha kzvetlenl kapcsoldtak volna egymshoz.

4.26. bra. Csillagtopolgij hlzat A csillagtopolgia elnye, hogy a kzponti vezrl (szmtgp) ltal bonyoltott kommunikci viszonylag egyszer. Htrnya, hogy a kzponti vezrl kiesse esetn a rendszer lebnul, a kbelezsi kltsg viszonylag magas s a kzponti szmtgp terhelse nagy, mivel rajta keresztl zajlik az llomsok egyms kztti kommunikcija is. Csillagtopolgit rgta hasznlnak a telefonkzpontokban, ahol az automata hvs alkzpont (PBX) mkdik kzponti vezrlknt. Vannak olyan csillagtopolgik is, mint a hpehelystruktra, ahol egy lloms, az alapcsillag felptsben nll csillag, amihez jabb csillagalllomsok csatlakoznak. A csillagtopolgiban a jeleket n. csillagcsatolk erstik.
b) Busztopolgia

A busztopolgia esetn valamennyi lloms kzvetlenl egy kzs kommunikcis csatornhoz kapcsoldik (4.27. bra). A csatornn a jelek zenetek formjban haladnak. Minden thalad zenetet minden lloms vesz. Az llomsoknak az zenethez tartoz cm alapjn kell eldntenik, hogy az zenetet elfogadjk s feldolgozzk, vagy elvetik. A buszon brmelyik lloms kommuniklhat, brmelyik msikkal. Egyidejleg csak egy lloms vgezhet adst, ezrt buszhozzfrst szablyoz eljrsra van szksg, amely szablyozza a busz forgalmt. Busztopolgij hlzat esetn pldul a master-slave hozzfrsmd terjedt el az RS 485 szabvny szerint.

130

4.27. bra. Busztopolgij hlzat felptse A topolgia logikai s nem topogrfiai kategria. A 4.28. brn bemutatunk egy busztopolgij hlzatot, amely fatopogrfia szerinti felptse ellenre busz- (sn-) topolgia, mivel elvileg brmelyik lloms brmely msikkal kzvetlenl kommuniklhat.

4.28. bra. Mdostott busztopolgij hlzat felptse


c) Fatopolgia

A fatopolgij hlzat a busztopolgibl szrmaztathat. Az adatforgalom irnytott: az adatok rendszerint a fejlloms fel haladnak s innen kerlnek vissza a rsztvev llomsokra. A szles sv hlzatokat tbbnyire fatopolgiban alaktjk ki, ahol az ad- s a vteli csatornt elvlasztjk kln vezetk vagy frekvencia formjban. A fatopolgia tipikus alkalmazsi terlete a loklis hlzatok tern az pletinstallcis hlzatok (EIB).
d) Gyr- (ring-) topolgia

A gyrtopolgia felptse a 4.29. brn lthat. Ebben a rendszerben egy gyrv zrd tviteli kzeggel kapcsoldnak ssze az llomsok. A gyrn vgigvonul jelek zenet formjak. Az zeneteket minden lloms egyms utn veszi. A busztopolgihoz hasonlan az egyes llomsok az zenetek cmei alapjn dntik el, melyik zenetet kell fogadniuk s feldolgozniuk. Gyrstruktrnl teht minden lloms rsze az tviteli tnak. Az zenetek egyszer mennek krbe a gyrn, llomsrl llomsra. Mindegyik lloms megvizsglja, hogy neki szlnak-e az zenet adatai. Ha igen, akkor beolvassa a sajt adattrba. A busztopolgival ellenttben, miutn az zenet vtele megtrtnt, mindegyik llomsismtl egysgknt mkdik, felfrisstve az eredeti jelerssget. Az jabb rsztvevk becsatlakoztatsnl, ill. a gyrbl val kiiktatsnl a gyr zrtsgt mindig biztostani kell. Ezt tbbnyire rels

131 kapcsolssal oldjk meg. A gyrtopolgia esetn az zenetek forgalmazst szablyoz eljrsra van szksg. Ilyen pldul az IBM Token Ring hozzfrsi mdja. A terepi buszok kzl az INTERBUS S hasznl gyrtopolgit.

4.29. bra. Gyrtopolgij hlzat felptse


4.3.2. tvitelvezrlsi (buszhozzfrsi) eljrsok

A hlzati kommunikci esetn egy vezetkrendszerre tbb lloms kapcsoldik, ezrt a hibtlan adatkapcsolathoz szksg van az tvitelt vezrl eljrsra. Ezt tvitelvezrlsi eljrsnak vagy buszhozzfrsi eljrsnak nevezik. Ezen adatramls sorn a szablyozs nlkli informcik egymsra rdnak, megsemmisthetnk egymst az n. snkonfliktus kvetkeztben. A buszhozzfrsi eljrs azokat a szablyokat jelenti, amelyek megadjk, mikor s mennyi ideig hasznlhatja egy lloms az adatcsatornt. A hlzati adatforgalom esetn fel kell kszlni arra, hogy egyidejleg tbb rsztvev kvn adatokat kldeni, azaz a buszhoz adknt hozzfrni, s ezt az tvitelvezrlsi eljrsnak zavarok nlkl kell lebonyoltania. Azt is biztostani kell, hogy az sszes rsztvev megkaphassa a hozzfrsi jogot meghatrozott idkznknt. Ez klnsen fontos az irnytstechnika hlzatai esetn a vals idej adatfeldolgozs cljbl. A hozzfrs-vezrlsi mdok a kvetkez tpus tvitelvezrlsssel mkdnek: vletlen vezrls esetn egyik llomsnak sincs szksge megklnbztetett engedlyhez zenete tovbbtshoz. Egy lloms mieltt elklden a kvnt zenetet, ellenrizheti, szabad-e az tviteli kzeg; osztott vezrls esetn csupn egyetlen llomsnak van joga egy adott idn belli adattvitelre, s ez a jog llomsrl llomsra halad tovbb; kzpontostott vezrls esetn egy kitntetett lloms vezrli a hlzati belpseket, a tbbi llomsnak pedig figyelnie kell, mikor kapnak engedlyt adattovbbtsra a vezrlllomstl. A fenti mdszerek mindegyike klnfle elnykkel rendelkezik. Pldul a kzpontostott vezrls elnyei: az llomsok tevkenysgei kztt kevesebb a koordinlsi feladat; nagyobb a rendszer szabadsgi foka, mivel minden llomshoz elsdleges, vagy szavatolt hozzfrs rendelhet; az llomsok csatlakoztatshoz igen egyszer interfszre (hardver) van szksg. A kzpontostott vezrlsi mdszer htrnya, hogy a kzponti vezrlsi ponton a legsrbb az ignybevtel, ami cskkentheti a hatkonysgot. A loklis hlzatok gyrti a kvetkez hozzfrs-vezrlsi mdszereket dolgoztk ki.

132 Vletlen tvitelvezrlsi mdszerek: tkzst jelz vivrzkelses, tbbszrs hozzfrs (CSMA/CD), rselt gyr, regiszterbeszrs. Osztott tvitelvezrlsi mdszerek: vezrjel-tovbbtsos: vezrjelgyr, vezrjelbusz, tkzst elkerl vivrzkelses: tbbszrs hozzfrs (CSMA/CA). Kzpontostott vezrlsi mdszerek: lekrdezses, vonalkapcsolsos, idosztsos tbbszrs hozzfrs (TDMA). A felsorolt eljrsok kzl hrom fontosabbra plnek a loklis hlzati szabvnyok (IEE 802) s ezeket alkalmazza a legtbb LAN-megvalsts. Ezekhez tartozik az tkzst jelz, vivrzkelses, tbbszrs hozzfrs (CSMA/CD), pl. az ETHERNET; a vezrjelgyr, amelyre pl a legtbb IBM loklis hlzati architektra s a vezrjelbusz, amely a General Motors MAP hlzatnak alapja. Az llomsok szmtl, az zenet hossztl, a csatorna sebessgtl, a hlzat topolgijtl s az alkalmazsi ignyektl fgg, hogy melyik hozzfrsi md a leghatkonyabb.
4.3.2.1. CSMA/CD hozzfrsi md

A Carrier Sense Multiple Access with Collision Detection elnevezs magyarul tkzst jelz vivrzkelses, tbbszrs hozzfrsi mdot jelent. A CSMA/CD hozzfrsi mdszert mr rgta hasznljk, fknt a busz- vagy a fatopolgij loklis hlzatokban s ezt alkalmazzk az ETHERNET-ben is. A CSMA/CD hozzfrsi mdszer elrsait az IEE 802 tartalmazza. A buszhozzfrsi mdszerek gyakran a kzti forgalom pldihoz hasonlk. A krforgalom az elektronikus busz, a torkolatok a klnbz rsztvevk, a jrm pedig a kldend adatcsomag. A torkolatban ki kell vrni, amg a krforgalom res lesz (Carrier Sense), utna elindul a jrm, hogy bejusson egy msik torkolatba. Elfordulhat, hogy az res krforgalomba egyidejleg kt jrm akar bejutni (Multiple Access). Az ekkor fellp tkzst a rendszer felismeri (Collision Detection), s visszahvja a jrmveket. Ezutn egy vletlenszer, s a valsznsg-szmts elmlete szerint a kt torkolatra eltr vrakozsi id utn j indtsi ksrlet trtnik. A CSMA/CD rendszerben mieltt egy lloms adatokat kldene, elszr belehallgat az tviteli kzegbe, hogy megllaptsa, van-e olyan lloms, amelyik ppen zenetet kld. Amennyiben az tviteli kzeg csendes, azaz egyik lloms sem ad, gy a hallgatz lloms elkldi az zenett. A vivrzkels (Carrier Sense) teht azt jelenti, hogy az lloms az ads eltt belehallgat az adathlzat tartalmba. Amikor zenetkldsi folyamat zajlik, az zenet a hlzat mindegyik llomshoz eljut. Az zenet megrkezsekor valamennyi hallgat lloms megllaptja az zenethez tartoz cmet. Ha ez a cm a sajt cmvel megegyezik, az lloms az zenetet tveszi s feldolgozza. CSMA/CD hozzfrsi eljrs esetn a kvetkez hrom eset lehetsges: az adni kvn rsztvev belehallgat az adathlzat forgalmba, s ha egy msik rsztvev ppen ad, akkor a hlzat foglaltnak minsl, s az adni kvn rsztvev a vivt figyelve vr a szabadd vlsig. Ha ugyanezt egyszerre tbb adni kvn rsztvev teszi, akkor nagy valsznsggel egyszerre kezdenek adni a szabadd vlt hlzaton, azaz tbben akarnak hozzfrni (Multiple Access, tbbszrs hozzfrs); ha a hlzat szabad, akkor egy rvid adsid (nhny ms) ll rendelkezsre. Az adst minden rsztvev venni tudja, de csak a megcmzett rsztvev olvassa be.

133 ha egyszerre tbb rsztvev akar a hlzaton adni, akkor ez adattkzshez (collosion) vezet. Ezt az tkzst minden lloms felismeri, lelltja az adst s egy llomsonknti vletlenszm-genertor ltal meghatrozott id leteltvel jabb ads kezddik. gy az egyes rsztvevknek statisztikus tlagban kzel azonos adsi lehetsgk van. Az eljrs htrnya, hogy adott esetben elre nem lehet tudni a vrakozsi (kiszolglsi) id mrtkt. A CSMA/CD eljrs a vletlen eljrs kategrijba tartozik, ezrt vals idej (real-time) alkalmazsokhoz nem ajnlott. A CSMA/CD mdszer nagy elnye, hogy kis forgalom esetn a buszhozzfrs rendkvl gyors.
4.3.2.2. Osztott hozzfrsi mdok

Osztott tvitelvezrls hozzfrs esetn a hlzat mindegyik llomsa ugyanolyan mrtkben vesz rszt az tviteli kzeghez val hozzfrs vezrlsben. Kt ilyen mdszert alkalmaz az osztott eljrs: a vezrjel-tovbbts (Token Passing) s az tkzst elkerl vivrzkelses tbbszrs hozzfrs (Carrier Sense Multiple Access With Collision Avoidance, CSMA/CA) mdszereket. A Token Passing hozzfrsi md az n. token (zseton) adogatsn alapul. A token egy rvid zenetet (bitmintt) jelent, amely az adsi jogosultsgot hordozza. Amelyik rsztvevnl van a token, az adhat. Ads utn a rsztvev kteles a tokent a kvetkez rsztvev fel tovbbtani. Ha nem kvn adni, akkor tovbbkldi a tokent a kvetkez rsztvevnek. Az utols rsztvev utn ismt az els kerl sorra. Ha egyik rsztvev sem kvn adni, akkor a token krbejr. A tokenadogatsos eljrsnak a hlzat topolgijhoz igazodan kt vltozata ltezik, a Token Ring a gyr-, ill. a Token Bus a busztopolgij hlzatokhoz. A Vezrjelgyrs (Token Ring) hozzfrsi md a gyrtopolgij hlzatok leggyakoribb hozzfrsi mdszere (4.30. bra).

4.30. bra. Token Ring hozzfrsi md Ezt a hozzfrsi mdot legelterjedtebben az IBM cg hasznlja. A zseton az adsi jogosultsgot hordozza, a gyr mentn krbejr. Ha a vezrjeleket hordoz token szabad rtk, akkor ezt az lloms gy rtkeli, hogy zenetet adhat. Ekkor az lloms elkldi az zenett, s foglaltra lltja a vezrjelet (tokent) s hozzteszi a foglaltra lltott vezrjelet az zenethez. A foglalt vezrljellel kiegsztett zenet a gyrn llomsrl llomsra krbejr. Az zenetet mindegyik lloms veszi, lemsolja s tovbbkldi. Amikor az zenet a kld llomshoz jut vissza, az trli az zenetet, s szabadra lltja a vezrjelet, majd tovbbkldi s a folyamat kezddik ellrl. A Token Ring hozzfrsi md elnye, hogy a gyr valamennyi llomsnak biztostja a lehetsget az zenetadsra adott idn bell s a hibs tovbbts detektlsrl is

134 gondoskodnak. Lehetsg van az egyes llomsok prioritsnak programozsra. Htrnya, hogy bonyolult a vezrjel feldolgozsa s felgyelete. A Token Bus hozzfrsi md a Token Passing mdszer (vezrjel-tovbbts) alkalmazsa busztopolgij hlzatokra (4.31. bra).

4.31. bra. Token Bus hozzfrsi md a logikai gyrvel Ez a mdszer klnsen az zemi, vllalati, gyri loklis hlzatokban terjedt el. A Token Bus mdszer alapja a General Motors MAP protokollja. A Token Bus hozzfrsi md is token, azaz vezrjel-tovbbtsos mdszer. Szemben a gyrvel, ahol a sorrendet a krkrs csatlakozs meghatrozza, a busz esetn csak logikai gyr van. Ehhez egy lista tartozik, amely meghatrozza az llomsok sorrendjt, teht a zseton egy listval definilt gyr mentn halad. A gyrn bell a folyamat ugyanaz, mint a Token Ring esetn, de az zenet nem llomsrl llomsra jut tovbb, hanem a buszon keresztl kzvetlenl a clllomsra kerl. A rsztvevk csak egy-egy rvid adsi intervallumra (pl. 10 ms) vehetik ignybe a hlzatot. Pldaknt 100 aktv rsztvevnl 10010 ms = 1 s idkznknt minden rsztvev sorra kerl. Ha nem akar minden rsztvev adni, akkor a token gyakrabban kerl az adni kvn llomsokhoz. Ugyanakkor token nlkl a hlzat mkdskptelen. Ezrt a tokenszervezs hlzatokban meg kell akadlyozni a token elvesztst, ami akkor llhat el, ha az ppen a tokent birtokol llomst lekapcsoljuk a hlzatrl. Hasonlkppen a hlzatra kapcsolt j lloms sem indthat el egy tokent, mert ekkor kt token lenne a hlzaton, s gy az adattvitel megsrlne (adattkzs). A Token Bus eljrst az vegszlas adathlzatokhoz is alkalmazzk. Pldaknt az S7-200 PLC token forgatsi idejt szemlltetjk ms-ms baud sebessg esetn 9,6 kbaud: tkldtt bjtok szma 1 16 19,2 kbaud: tkldtt bjtok szma 1 8 16 187,5 kbaud: tkldtt bjtok szma 1 8 16 2 lloms esetn 0,3 s 0,33 s 2 lloms esetn 0,15 s 0,16 s 0,17 s 2 lloms esetn 8,68 ms 9,5 ms 10,33 ms 5 lloms esetn 0,74 s 0,83 s 5 lloms esetn 0,37 s 0,39 s 0,5 s 5 lloms esetn 21,71 ms 23,76 ms 25,81 ms 10 lloms esetn 1,48 s 1,65 s 10 lloms esetn 0,74 s 0,78 s 0,83 s 10 lloms esetn 43,41 ms 47,52 ms 51,63 ms

135 CSMA/CA hozzfrsi vezrlsi md az osztott hozzfrsi mdszer tkzst elkerl, vivrzkelses tbbszrs hozzfrs nven ismert. Az alapelv ugyanaz, mint a CSMA/CD esetn, de ez az eljrs mskppen reagl az tkzsekre. A CA (Collision Avoidance), az tkzselkerls rvidtse. Mg a CSMA/CD megengedi az tkzst, a CSMA/CA az tkzst felismeri, s a kevsb fontos zenetet kld ad abbahagyja az adst, mg a fontosabb zenetet kld folytatja. Ez azrt lehetsges, mert a fellp tkzs nem semmisti meg az zeneteket. A hatkonyabb hozzfrsi mdszer teht a CSMA/CA, mivel nincs knyszervrakozs az ismtelt adsi ksrlet eltt. Erre a hozzfrsi mdra plda a CAN busz.
4.3.2.3. Kzpontostott vezrls buszhozzfrsi mdszerek

Ezt a hozzfrsi eljrst a tvolsgi (WAN-), ill. a helyi (LAN-) hlzatokhoz egyarnt hasznljk. Utbbira plda nhny, az irnytstechnikban hasznlatos terepi hlzat. A kzponti vezrls hozzfrsi vezrlsi mdszerek kz tartozik a lekrdezses, a vonalkapcsolsos s az idosztsos (TDMA) eljrs, amelyek kzl a PLC-hlzatokban elterjedt lekrdezses mdszert ismertetjk. Lekrdezses (polling) eljrs esetn a flloms (master-mester) sorban egymsutn mindegyik mellkllomshoz (slave-szolga) olyan zenetet kld, amelybl megtudhatja, akarnak-e zenetet kldeni. Ha a lekrdezett llomsnak van zenete, akkor azt elkldi a fllomshoz, amelyik tovbbtja azt ahhoz az llomshoz, amelyiknek a cmt az zenet tartalmazta. Ha a lekrdezett llomsnak nincs tovbbtand zenete, akkor nemleges vlaszt kld a master lekrdezsre. Amikor a flloms befejezte az alllomssal val prbeszdet, akkor a lekrdezsi lista alapjn a kvetkez alllomst krdezi le. A gyrt cgek katalgusaiban ezt a buszvezrlsi eljrst master-slave mdszernek nevezik (4.32a bra).

136

4.32. bra. Master-slave hozzfrsi md (a) s tranzakcija (b) Az alllomsokhoz klnbz prioritsi szintek rendelhetk, amivel a lekrdezs gyakorisga nvelhet. A mdszer elnye, hogy a mellkllomsok a legegyszerbb illeszt ramkrrel rendelkeznek. Htrnya, hogy a flloms bonyolult s kiesse esetn a hlzat mkdse megsznik. Htrnya az tviteli sebessg szempontjbl, hogy az zeneteket ktszer kell elkldeni: elszr a kld alllomstl a fllomshoz, onnan pedig a cmzett msik alllomshoz. Ez a mdszer a csillagstruktrj s a buszstruktrj hlzatokra jellemz. A 4.32b bra szemlletesen mutatja a mester s a szolga kztti kommunikci folyamatt egy hat fzisbl ll mvelet kapcsn.
4.3.2.4. Hibrid hozzfrsi eljrsok

Multi-master hozzfrsi eljrsnak nevezzk, amikor kt hozzfrsi eljrst sszekapcsolnak. Ez a hibrid hozzfrsi eljrs. A Token Passing s a master-slave eljrs egyestse nveli a kt mdszer elnyeit s cskkenti htrnyait. A rsztvevk lehetnek aktv vagy passzv rsztvevk. A passzv rsztvevk csak slave-knt mkdhetnek, ezrt zsetont (token) nem kapnak. Az aktv rsztvevk a logikai Token Ring gyrn vannak, s akr master, akr slave zemmdban mkdhetnek (4.33. bra).

137

4.33. bra. Multi-master hozzfrsi md Master/Slave sszestkeretnek nevezzk a master-slave eljrs specilis formjt: a gyrstruktra s az sszestkeret sszekapcsolst. Az eddig ismertetett eljrsoknl mindig a vev kapott zenetet, amelyre zenettel vlaszolt. Az sszestkeret klnlegessge, hogy az sszes zenetet egyetlen zenetben foglalja ssze. Ezltal az eljrs jelentsen hatkonyabb, mint a kln-kln zenetekkel dolgoz eljrsok. Az eljrs menete a kvetkez: a master kikldi az zenett (1. fzis), azaz elkldi az els kszlkre a gyrn, majd addig tolja tovbb, amg az zenet fejrsze a gyr mentn vissza nem rkezik hozz (2. fzis). Most minden zenet ott van a kvnt vevknl. A slave-ek kiolvassk az adatokat, berjk az j zeneteket (3. fzis), majd a master ismt addig tolja az zenetkeretet, amg az j zenet teljes egsze meg nem rkezik (4. fzis). A mkdst a 4.34. bra szemllteti [8].

4.34. bra. Master-slave sszestkeret


4.3.3. Hlzati architektrk

A hlzati architektra meghatrozza azokat a szabvnyokat (protokollokat, zenetformtumokat), amelyek rvn a hlzati sszefrhetsg, azaz kompatibilits biztosthat. A hlzati architektrk fbb kzs jellemzi [12]:

sszekapcsolhatsg, amely biztostja, hogy sokfle hardver s szoftvertermk kapcsoldhasson egy egysgestett hlzati rendszerbe, modularits, amely lehetv teszi a gyrtmnyok (hardver s szoftver) hasznlatt a klnfle hlzati eszkzkben, egyszer bevezethetsg; egyszer hasznlhatsg; megbzhatsg, amely hibafelismersi s javtsi lehetsget biztost;

138

egyszer mdosthatsg; rtegszemllet.


A rtegszemllet a hlzati architektra feladatainak csoportostsa. Egy adott rteg felels egy specifikus funkcihalmazrt. A hlzati architektrt gy definilhatjuk, hogy az a rtegek kztti felletek, ill. interfszek ltal nyjtott szolgltatsok sszessge. Egy hlzati architektra rtegek kztti kapcsolatait a 4.35. brn mutatjuk be. E szerint az interfszek kt tpust klnbztetjk meg. Az egyik tpus az adott llomson bell ltezik a rtegek kztt. Az ilyen tpus interfszt az brn fggleges nyilak jelzik. Ha egy llomson adatfeldolgozsi folyamat zajlik, akkor az adat az egyik rtegbl fel vagy le a msik rtegbe jut. Amennyiben egy hlzati eszkz zenetkldst kezdemnyez, az zenet a legmagasabb rtegbl indul, s lefel haladva jut el a legalacsonyabb rtegig, ahol azutn a fizikai tviteli kzeg rvn tovbbtdik. zenet fogadsakor a fizikai rtegtl jut el felfel a legmagasabb rtegig. Klnfle protokollok s adatformtumok definiljk azt a folyamatot, amelyet minden rteg vgrehajt. Az interfszek msik tpusa a klnbz csompontok ugyanazon rtegei kztt ltezik, amelyek a 4.35. brn vzszintes vonalakkal vannak jellve [12].

4.35. bra. A hlzati architektra interfszfunkcii a rtegek kztt A rtegszemllet elnyei: az egyszer mdosthatsg s a sokflesg, azaz a szles kr felhasznlhatsg. A klnbz gyrtk, ill. nemzetkzi szervezetek szmos szabvnyos hlzati architektrt definiltak, mint pldul az OSI-, az IBM cg SNA-, vagy IEE 802 modellje. Az ISO nemzetkzi szervezet dolgozta ki a nylt rendszerek sszekapcsolsnak referenciamodelljt (Open Systems Interconnection, OSI). Az OSI modell a rendszerek kztti sszekttets megteremtsre vonatkozik, a rtegszemlleten alapul, s az elvgzend funkcikat ht rtegben valstja meg (4.36. bra) [12]. .

139

4.36. bra. ISO-OSI htrteg referenciamodellje 1. A fizikai vagy bittviteli rteg (Physical Layer) definilja a fizikai csatol mechanikai, elektromos s funkcionlis paramtereit (tviteli sebessg, karakterhossz, feszltsgszint, kzeg stb.). 2. Az adatkapcsolati rteg (Data Link Layer) nem csak az tvitelt biztostja, hanem meghatrozza a telegramszerkezetet, a hozzfrsi eljrst, a rsztvevk cmzst s az adatramls vezrlst is. Ez a rteg foglalkozik az adatkeretek hibamentes tvitelvel. 3. A hlzati rteg (Network Layer) kezeli az tvlasztst (routing), a hlzati kapcsolatok multiplexelst s az adatfolyam kezelst, valamint felels a kt felhasznl kztti hlzati sszekttetsrt, annak fenntartsrt s megszntetsrt. 4. A szlltsi (tovbbtsi vagy tviteli) rteg (Transport Layer) biztostja a hibafelismerst s -javtst, valamint az zenetismtls eljrsait, az adatok tmrtst, valamint felels a kt felhasznl kztt az elre egyeztetett minsg adattvitelrt. 5. Az egyttmkdsi rteg (Session Layer) definilja a kapcsolat felptsnek s lebontsnak eszkzeit. Itt trtnik a prbeszdvezrls s az adatcsere-irnyts. E rteg elsdleges feladata, hogy a vltott ads- s vtelkapcsolatnak megfelelen vezrelje a felhasznlk adsvtelt. Ezrt nmely irodalomban kommunikcivezrl rtegnek nevezik. 6. A megjelentsi rteg (Presentation Layer) definilja a konvertlsi s formtumillesztsi szablyokat, amelyek lehetv teszik az adatok helyes rtelmezst. Ez jelenthet karakterkd-fordtst, adattalaktst, adatzsugortst vagy -nyjtst. 7. Az alkalmazi rteg (Application Layer) csatolfellet a felhasznl s a hlzat kztt. Ebben definiljk a hlzati szolgltatsokat, pl. a fjltvitelt.
4.3.4. A hlzatok sszekapcsolsnak elemei

Az egyes hlzatokat tbbflekppen ssze lehet kapcsolni, melyek rvn bonyolult rendszerek alakthatk ki. Az sszekapcsolshoz zavartalan adatforgalmat megvalst elemekre, eszkzkre van szksg. Ilyenek: a jelismtl, hlzati hd, tvlaszt (forgalomirnyt) s az tjr. Az sszekts mdszereit annak alapjn klnbztetjk meg, hogy az OSI-modell rtegprotokolljai azonosak-e az sszekapcsolt hlzatokban (4.2. tblzat).

140 4.2. tblzat. Hlzati sszekt tpusai s az OSI-rtegek kapcsolata Fizikai rteg Ugyanaz Klnbz Klnbz Klnbz Protokollok Adatkapcsolati rteg Ugyanaz Ugyanaz Klnbz Klnbz Hlzati vagy magasabb rteg Ugyanaz Ugyanaz Ugyanaz Klnbz

Jelismtl Hd tvlaszt tjr

A jelismtlket (Repeater) nem eltr hlzatok sszektsre, hanem hlzati szegmensek sszekapcsolshoz hasznljk nagyobb (tbb llomst kezel) hlzatok ltrehozsa cljbl. A jelismtl feladata, hogy az zenetet fogadja, majd az eredeti szinten helyrelltva, regenerlva jraadja. A hlzati specifikciban a tvolsgtl s az llomsok szmtl fggen rjk el repeater-ek hasznlatt, de az egyms utn kttt jelismtlk szmt is korltozzk. Jelismtlket fknt a busztopolgij hlzatokhoz hasznlnak. Gyrtopolgij hlzat mkdse sorn minden lloms jelismtl is, ezrt kln repeater-ekre nincs szksg. Jelismtlk alkalmazsnl mindkt hlzati szegmensnek ugyanolyan tpusnak kell lennie. Minden rtegszinten ugyanazon protokollokat kell hasznlni. A hlzati hd (Bridge) kzbeiktatsval fizikailag eltr hlzatokat is ssze lehet kapcsolni. Ez az sszekttets-tpus az zeneteket egy kis idre a hlzati hdban trolja, s utna tkldi a msik hlzatba. A hd az OSI-modellen, az adatkapcsolati szinten mkdik (4.37. bra) [12]. Lthat, hogy hlzati hdkapcsolat esetn az sszekttetsnl a hlzatok fizikai rtegszinten klnbz protokollokat alkalmaznak, de az adatkapcsolati rtegen ugyanazt. Pldul a CSMA/CD szles sv, koaxilis kbelen keresztli tviteli hlzatot ssze lehet kapcsolni hlzati hddal egy CSMA/CD alapsv, sodrott rpros tviteli hlzattal.

4.37. bra. Hlzati hdkapcsolat OSI-modellel

141 A hlzati hd funkcijt rendszerint megfelelen konfigurlt s szoftverrel elltott szmtgp ltja el. Az tvonalvlaszt (forgalomirnyt) (Router) a hddal szemben sajt cmmel rendelkezik, funkcijban a hlzati hdhoz hasonlt. Az tvonalvlaszt funkciit az OSI-modellen a hlzati rteg mkdteti. Hlzati tjrk (zsilipek - gateway) biztostjk a legnagyobb rugalmassgot a hlzati sszekttetsben, mivel kt teljesen eltr hlzat sszekapcsolsra alkalmasak. Eltr hlzati architektrk esetn a protokollok klnbzhetnek brmely vagy valamennyi rtegen. Az tjr feladata a kt architektra kztti sszes talakts elvgzse: zenetformtum, cm- s protokolltalakts.
4.3.5. Irnytstechnikai hlzatok

Az irnytstechnikban bizonyos rendszerbonyolultsg felett a hromszint informatikai rendszer terjedt el. Ez a hrmas tagozds a feldolgozsi ignyekhez igazodik. Az als szinten trtnik az rzkelk jelnek vals idej feldolgozsa, ill. a beavatkozk jelnek kiadsa. Ezzel a funkcival kapcsolatos informcik tvitelre az rzkel, beavatkoz terepi buszokat hasznljk (eszkzszint, device level). Kzps szinten (automatizlsi szint, control level), a PLC-k, CNC-k, digitlis szablyozk stb. kztti kommunikci zajlik, szintn terepi buszrendszeren. A fels szinten a termelssel, gyrtssal kapcsolatos informcifeldolgozs folyik, amihez a munkallomsok, szmtgpek, stb. kztti nagy mennyisg informci gyors s nagy tvolsg tvitelre alkalmas ETHERNET-hlzatot hasznlnak (informcis szint). Ezen a szinten a vals idej feldolgozs ignye nem merl fel. Az elbbieket szemlltetik a Siemens, ill. Allen Bradley megoldsai. Fels szint: Kzps szint: Als szint: Siemens ETHERNET PROFIBUS ASI Allen Bradley ETHERNET CONTROLNET DEVICENET

4.4. ETHERNET-hlzat
Az ETHERNET a legjobban elterjedt irodai hlzat, amelyet ma mr az irnytstechnikai rendszerekben is hasznlnak a hierarchia legmagasabb fokn a nagy adatmennyisgek, mint pl. PLC-programok, receptrk tvitelre, ill. tvdiagnosztikai feladatok megoldsra. Amg korbban a csatolst kln szmtgppel oldottk meg, ma mr a PLC-be csatlakoztathat ETHERNET-interfszt forgalmaznak. Az ETHERNET az IEE 802.3 szabvnyban definilt CSMA/CD buszhozzfrsen alapul, de az adatkapcsolati rteg feletti szoftverrteget megbzrtegnek (Client Layer) nevezik. Az ETHERNET-architektra referenciamodelljt a 4.38. bra szemllteti [12]. A megbzrteg csomagoknak nevezett adatblokkal mkdik. A megbzrteg a hlzaton val tvitel rdekben a csomagokat tadja az adatkapcsolati rtegnek.

142

4.38. bra. Az ETHERNET referenciamodellje Az adatkapcsolati rteg a kvetkez funkcikat ltja el: adatok begyazsa/feltrsa, kapcsolat szervezse, adatkdols, dekdols, csatorna-hozzfrs. Az adatkapcsolati rteg ltal vgrehajtott adatbegyazsi s -feltrsi funkcik megfelel formtum keretet lltanak el a hlzaton. Az ETHERNET tviteli keretformtuma (4.39. bra) s funkcii: eltag: 7 bjt SFD (keretkezdet-kijell): 1 bjt cmmez: clcm 6 bjt, forrscm 6 bjt; tpusmez: 2 bjt (a hasznos adatok hossza); adatmez: min. 72 bjt, max. 1526 bjt; keretellenrz: 4 bjt (CRC ciklikus hibaellenrzs).

4.39. bra. Az ETHERNET keretformtuma

143 Az ETHERNET Manchester-kdol s dekdol eljrst hasznl (lsd a 4.1. alfejezetet). Ezt a kdolsi mdszert a CSMA/CD szabvny definilja. E kdolsnl minden biten van jeltmenet: 1-es rtk bitet 0 1 tmenet, 0-s rtk bitet 1 0 tmenet jelenti. A jeltmenet szinkronizlja az adattvitelt. Az adatkdol funkci lteti be az eltagot a keretbe. A dekdol az eltagot eltvoltja, mg mieltt a keret tkerlne az adatkapcsolati rteghez. Az ETHERNET-specifikciban az adatkapcsolati s fizikai rtegkdol/dekdol funkciit vezrlkrtyn integrljk, amit a hlzati eszkzbe (PC, PLC) ptenek. Ez a krtya ad-vev egysggel s specilis kbellel kapcsoldik a koaxilis kbelcsatlakozhoz (4.40. bra) [16]. Az ad-vev rendszerint n. vmprcsatlakozval kapcsoldik a koaxilis kbelhez. A koaxilis kbel hossza n. vastag ETHERNET esetn max. 500 m s 100 rsztvev csatlakozhat a kbelre jelismtl nlkl. A vkony ETHERNET-kbel hossza 250 m lehet.

4.40. bra. Tipikus ETHERNET-implementci A legelterjedtebb ETHERNET-implementci 10 Mbps adatsebessg alapsv adattvitelt hasznl koaxilis kbellel, de sodrott rpr s fnykbeles is lehet. A PLC rendszer ETHERNET-hlzatnak alkalmazsi pldi: tvprogramozs s monitorozs: a 4.41. bra [16] szerint a rendszer valamennyi PLC-jnek tvprogramozsa s monitorozsa megoldhat;

4.41. bra. PLC-k tvprogramozsa ETHERNET-hlzattal mail-szolgltats: a 4.42. bra [16] szerint a PLC e-mail zenetet kld hiba detektlsakor vagy idegysgenknt;

144

4.42. bra. Mail szolgltats PLC-PLC kztti zenetvlts (4.43. bra) [16];

4.43. bra. PLC-PLC kztti zenetvlts ETHERNET-hlzattal fjltvitel (4.44. bra) [16] valsthat meg a PLC-memria s a PC memrija kztt.

4.44. bra. Fjltvitel PLC s PC kztt ETHERNET-hlzattal

4.5. Terepi buszrendszerek


A folyamatirnyt rendszerek feladataibl addan az informcitovbbtsi kvetelmnyek eltrnek az gyviteli, irodai cl hlzatoktl. Az ilyen rendszerekben n. terepi buszrendszereket (field buses), magyarul: terepbuszokat alkalmaznak. A terepi buszrendszerek kvetelmnyei: pontosan kiszmthat, viszonylag rvid vlaszidkre van szksg a vals idej mkds cljbl, ezrt a vletlenszer buszhozzfrsi mdok nem hasznlhatk; nagyfok zavarvdettsget kell biztostani mostoha ipari krlmnyek kztt is, amit specilis kbelezssel s alacsonyabb adattviteli sebessggel rnek el; az adatforgalomat kzepes, ill. rvid adatblokkok tvitelre kell optimlizlni. Kezdetben n. gyrtspecifikus terepi buszok terjedtek el. A szabvnyosts els lpst a Bit Bus rendszer jelentette (Intel), amelyet sok cg tvett. 1985-ben kezddtt meg a folyamatirnytsi, gyrtsautomatizlsi cl LAN szabvnyok kidolgozsa. 1991-ben az MMS- (Manufacturing Message Specification) szabvny mind a szakaszos, mind a folyamatos gyrtsi folyamatokra a berendezsek s a szmtstechnikai eszkzk kztti hlzati kommunikci ISO/OSI htrteg modelljnek kereteit szabja meg.

145 A korszer folyamatirnyt loklis hlzatok szabvnyai biztostjk az MMS valamely rszhalmazval a kompatibilitst, pl. a korszer DCS-rendszerek kommunikcis szabvnya is MMS. A klnbz rdekek s teljestmnykategrik miatt tbb szabvny szletett. A szabvnyostsi munka az idk sorn szmos buszrendszert eredmnyezett. A felhasznlsi clt illeten a terepi buszok kt jl elklnl funkcij csoportja alakult ki. Az egyik a nagyobb adatmennyisgek mozgatsra alkalmas s rendszerint az irnyteszkzk (PLC-k, szmtgpek, CNC-k, stb.) kztti kommunikcit biztost buszrendszerek, mint pl. PROFIBUS, FOUNDATION FIELDBUS, CONTROL NET stb. A terepi buszok msik csoportjt az rzkelk s beavatkozk kztti, nhny bjtnyi adatforgalmat biztost hlzatok alkotjk, amelyek a hagyomnyos PLC struktrt is megvltoztatjk. Ezek kz tartozik az ASI, az INTERBUS, a DEVICE NET stb. (lsd a 4.6. alfejezetben). Az zenetek azonostsi mdszere alapjn a terepi buszok forrs/cl jelleg hlzatok (Source/Destination Networks) s elllt/felhasznl jelleg hlzatok (Producer/Costumer Networks) csoportjba sorolhatk. A forrs/cl jelleg hlzatok az zeneteket a cmk, mg az elllt/felhasznl szerintiek a tartalmuk alapjn azonostjk.
Forrs/cl jelleg hlzatok jellemzse

Elnyei: a diagnosztika, az explicit s I/O zenetek tovbbtsa s az tviteli teljestmny. Diagnosztika: a hlzatok knyelmes lehetsget biztostanak az eszkzk diagnosztizlsra. Eszkz-hibakeress, a hibakd kiolvassa, adattbla-feljts mind lnyeges feladatok, amelyeket gy kell elvgezni, hogy ne befolysoljk a vals idej I/O vezrladatokat, amelyeket ms eszkzk cserlnek ki egyms kztt. Az explicit s I/O zenetek nagyon sokrtek, adatmezk protokollinformcival s utastssal az elvgzend szolgltatshoz. Explicit zenetek szolglnak programok letltsre s kiolvassra, eszkzkonfigurcik mdostsra, adatgyjtsre, trendre s diagnosztikai funkcikra. Ezen zenettpusok nagyon vltozk mind mretben, mind frekvenciban. Az I/O zenetek implicit termszetek. Az adatmez csupn vals idej I/O vezrladatot tartalmaz. Az adat jelentse elre meghatrozott, gy az eszkz adatfeldolgozsi ideje minimlis. A forrs/cl tpus hlzatoknl klnll hlzatokat hasznlnak a kt zenettpus nagyon klnbz kvetelmnyeinek kielgtsre. Az Allen-Bradley DH + /RIO s a Siemens Profibus FMS s a Profibus DP a pldk ezen szitucik megoldsra. tviteli teljestmny: vgs soron az alkalmazs megkvetelt tviteli teljestmnye hatrozza meg, hogy milyen hlzati modell szksges. Az tviteli teljestmny azt a sebessget jelenti, amivel a bemeneti adat eljut minden olyan legazshoz, ahol szksg van r, s a kapott kimeneti adat eljut a megfelel eszkzkhz. A pontos meghatrozshoz szksges az tviteli sebessg, a protokollhatkonysg s a hlzati modell vagy az tvitel mdja. A Baud sebessget hasznljk leggyakrabban a teljestkpessg mrsre, de a mai hlzatoknl ez a legmegtvesztbb, s a legkevsb fontos a hrom jellemz kzl. A csomagban lv adatbjtok arnya az sszes bjthoz viszonytva adja a protokoll hatkonysgt. Ez nem annyira fontos, mint a hasznlt tviteli md (hlzati modell).

146 Ma szmos fejleszts hlzat ll rendelkezsre: Data Highway Plus, Remote I/O, Profibus FMS, Profibus DP, Interbus-S, ASI, Modbus Plus, GeniusLan, Lonworks. Ezen hlzati opcik mindegyike forrs/cl tpus hlzati modell (4.45. bra) [21]. Ezt a modellt hasznljk, pl. a Master/Slave s Peer to Peer (egyenrang) hlzatok is.

4.45. bra. Forrs/cl tpus hlzati adatmodell A Master/Slave modell megvalstsban a forrsmez ltalban hinyzik, hiszen a rendszerben egy mester van, gy a forrs, s minden vlasz hozz fut be. Ez a modell egyszerre kizrlag kt eszkz kztti kommunikcit kpes biztostani. Tipikus alkalmazsi terlete a vals idej vezrladatok cserje (I/O messaging). A kzvetlen (Peer to Peer) hlzat tbb rugalmassgot nyjt a felhasznlknak, hiszen a legtbb ilyen hlzat explicit zeneteket hasznl. A PC alap programozs, a vezrlk s az MMI (MMI, Man-Machine Interface) ember-gp interfszeszkzk konfigurlsa is explicit zeneteket ignyelnek. Lthat, hogy a forrs/cl tpus hlzatok tbbszr kldik el ugyanazt az informcit, ha tbb eszkznek is arra van szksge. gy hossz ideig ugyanannak az adatnak a tovbbtsval terhelik a hlzatot. Olyan hlzatok esetn, ahol nagy az adatforgalom s tbb eszkz kapcsoldik ssze, ez a modell nem elnys. Ezrt dolgoztk ki az elllt/felhasznl tpus hlzatot, amelyben az zeneteket a tartalmuk azonostja (4.46. bra) [21]. Ha az eszkz adatot ignyel, az felismeri annak azonostjt s elveszi magnak.

4.46. bra. Elllt/felhasznl tpus hlzati adatmodell


Elllt/felhasznl tpus hlzatok jellemzse

Ez a modell az azonos idej felhasznls lehetsgt nyjtja a felhasznlknak. A tartalmval azonostott egyetlen forrsbl szrmaz adatot tbb eszkz egyidejleg felhasznlhatja. Az eszkzk pontosabban szinkronizlhatk, hatsosabb a svszlessg felhasznlsa. Az adatforrsnak csak egyszer kell az informcit ellltania. j eszkzk csatlakoztathatk a hlzathoz anlkl, hogy nvelnk a forgalmat a vezetken. Az egyidej felhasznls nem lehetsges a forrs/cl tpus hlzatokban. Producer/Costumer (elllt/felhasznl) tpus multicast rendszer (azonos idej felhasznls) lthat a 4.47. brn.

4.47. bra. Elllt/felhasznl tpus multicast rendszer (azonos idej felhasznls)

147 Az elllt/felhasznl modell kt j hatsos I/O triggerelst tesz lehetv a hagyomnyos lekrdezs mellett. Az I/O adatokat egyidejleg olvassa mindkt vezrl s a HMI eszkz is. Az 1. vezrlsebessg bellt informcija eljut mindhrom hajtshoz s a HMI eszkzkhz is. llapotvltozsos (esemnybzis) adat-elllts. A csompontok csak akkor hoznak ltre adatot, ha az adat vltozik. Nincs hlzatlekrdezsi ciklusksleltets: az adat egyidejleg tovbbtdik minden felhasznlhoz, ha az vltozik. Ciklikusan egy mkdsjel ll el, hogy a felhasznl meg tudja klnbztetni azt az eszkzt, amely nem vltoztatta llapott. Az llapotvltozs jelzse nagymrtkben cskkenti a hlzat forgalmt s a csompontok terhelst. Ciklikus (idalap) adat-elllts. A ciklikus adat-ellltst azon eszkzk vgzik, amelyek a felhasznl ltal definilt ismtldsi sebessggel lltanak el adatokat. Az adatfrissts az eszkznek s az alkalmazsnak megfelel sebessg. Pldul egy rzkel jelnek mintavtelezse s adatszolgltatsa pontos idintervallumonknt trtnhet, ami jobban megfelel a PID-szablyozsnak. Az elzek alapjn belthatk az elllt/felhasznl hlzati modell elnyei. Ezt a modellt alkalmazzk a CONTROLNET s DEVICENET elnevezs Allen-Bradley hlzatok, tovbb a Foundation Fieldbus s a CAN bus is.
4.5.1. Gyrtspecifikus buszrendszerek

A 80-as vekben a gyrtk arra trekedtek, hogy PLC-jket sajt hlzattal lssk el. Nhny gyrt buszrendszert a 4.3. tblzatban adtuk meg. 4.3.tblzat. Gyrtspecifikus PLC-hlzatok Gyrt Mitsubishi Omron Toshiba Siemens Hlzat Melsec-NET Sysmac Toshline - F10 Toshline - 30 Sinec H1 (Ethernet) Sinec L2 (Profibus) Sinec S1 (ASI bus) Data Highway (plus) Starnet Coronet Modbus GE NET Factory LAN Combi SY/NET TIWAY Suco-NET

Allen Bradley GEC Industrial Controls Gould Electronics General Electric Satt Control Square D Texas Instruments Klckner Moeller

Elnyk: a PLC-vel val szoros integrltsg. Ha egy modulris rendszerbe tovbbi buszcsatlakozkat csatlakoztatunk, akkor ezek a ciklusok tbbnyire nincsenek szinkronban. Htrnyuk: a rendszer zrtsga, hiszen ms gyrt termke csak nagy nehzsgek rn illeszthet a rendszerbe.

148 A gyrtspecifikus buszrendszerekre pldaknt a Klckner Moeller cg SUCOnet K tpus terepi busznak mszaki adatait a 4.4. tblzatban foglaltuk ssze. SUCOnet K Buszhozzfrsi eljrs Master/slave Adattviteli sebessg, kBaud 187,5/375 187,5 Topolgia Busz Telegramszerkezet vltoz hosszsg lland hosszsg Buszhossz 600 m 187,5 kBaud esetn 300 m 600 m (jelismtl nlkl) 375 kBaud esetn (jelismtl nlkl) Buszrsztvevk szma max. 31 jelismtl nlkl (a master PLC-tl fggen) tviteli md RS 485 tviteli kzeg rnykolt, sodrott rpr tvitelihiba-vdelem CRC kombinlt parits A terepibusz-tpusok kztt tallhatk gyrtspecifikus tpusok, de napjainkban tbb gyrt is hasznlja, s szabvnyostsa folyamatban van.
4.5.2. MODBUS

4.4.tblzat. A SUCOnet K/K1 mszaki adatai SUCOnet K1

A MODBUS protokollt eredetileg a MODICON PLC-k kommunikcijnak biztostshoz fejlesztettk ki. Egyszersge s megbzhatsga miatt szmos PLC-gyrt, st mszergyrt cg alkalmazza. A MODBUS protokoll a master-slave elv alapjn mkdik. A kapcsolat kezdemnyezsnek joga a master-t illeti meg. A master egy krds- vagy egy parancscsomagot kld a slave szmra. A cmzettet egy cmbjt tartalma jelli ki. Ez azt jelenti, hogy elmletileg 256 berendezs (0255) azonostsra van lehetsg egy MODBUS hlzaton. A 0 cmnek kitntetett szerepe van. Amennyiben a master valamennyi slave szmra zenetet akar kldeni (n. krzvnyzenet), pl. a dtum s az id szinkronizlsa rdekben, akkor ezen specilis cm megadsval elegend egyetlen csomagot a vonalra helyezni. Azt mindegyik slave rtelmezi, s vgrehajtja a csomag parancst. A master ltal kiadott csomag kvetkez logikai rsze egy 1 bjt terjedelm parancskd, azaz elmletileg 256 klnbz parancskd rtelmezsre nylik lehetsg. A MODBUS-protokoll szerint a parancskd legmagasabb helyrtk bitjeinek kitntetett szerepe van (lsd ksbb), ezrt a parancskdok csak a 0127 tartomnyban vltozhatnak. A definilt parancskdok egy rsze a MODICON PLC programfejlesztsnek van fenntartva. Vannak olyan parancsok, amelyek a technolgiai llapotot tkrz, ill. befolysol objektumok llapotnak olvassra s rsra szolglnak. A MODICON PLC-k (gy a MODBUS is) ngyfle objektumcsoportot klnbztet meg: ktllapot (bites) bemenetek, ktllapot (bites) kimenetek, regiszterbemenetek s regiszterkimenetek A ktllapot (bites) bemenetek a PLC fizikai bemeneteire kapcsolt jelzseket jelentik. Egy-egy jelzs (bit rtke) azt tkrzi, hogy egy technolgiai objektum kt lehetsges llapota kzl melyik az aktulis (pl. egy kemenceajt zrt vagy nyitott). Ezeket az objektumokat a master csak olvashatja. A ktllapot (bites) kimenetek rszben a PLC fizikai kimeneteinek, rszben a PLC memrijban lekpezett bites vltozinak (merkerek) llapott tkrzik. Ezt az objektumcsoportot a master rhatja s olvashatja.

149 Regiszterbemenetek. Egy-egy regiszter tartalma egy 16 bites szm. rtkk, pl. egy analgcsatorna A/D konverzijnak eredmnytl, vagy pl. egy hardveres szmll tartalmtl fgg. ltalban egy-egy regiszter a PLC egy-egy hardveregysghez (A/D csatorna, szmll stb.) rendeldik. Ezen regiszterek rtelemszeren csak olvashatk a master szmra. Regiszterkimenetek. A 16 bites regiszterek tartalmt a master rhatja s olvashatja is. Egy-egy regiszter tartalma megszabhatja, pl. egy analg kimenet nagysgt (a PLC egyik D/A konverternek felhasznlsval), de a PLC rtelmezheti a regiszterben lv szmot, pl. mint egy idzts nagysgt, vagy egy analg jel maximumnak rtkeknt is. Ms-ms funkcikd rendeldik a bites be- s kimenet olvasshoz, a bites kimenet rshoz, ill. a regisztervltozk kezelshez. Minden egyes objektumcsoportban egy-egy konkrt bemenetre/kimenetre egy-egy 16 bites szmmal hivatkozhatunk az els elemre a 0, a msodik elemre az 1 stb. szmokkal. A master kommunikcis csomagja a funkcikdot kveten az olvasni/rni kvnt objektum kezd cmt tartalmazza kt bjton. Vannak olyan funkcik, ahol csak egyetlen objektum olvasst vagy rst krjk, de ltalban az elzleg megadott kezdcmtl a megadott kt bjt objektumot kvnjuk olvasni vagy rni. Ezrt a csomag kvetkez kt bjtja ltalban (bizonyos funkciknl) ezt a darabszmot tartalmazza. Az egyidejleg olvasand/rand objektumok darabszma korltos, mivel az adatokat tartalmaz csomagterlet hossza legfeljebb 255 bjt. Ha a master olvasst kezdemnyez, akkor logikailag minden adott a csomagban a parancs vgrehajtshoz, gy a csomag ellenrz szmmal kiegsztve elkldhet a slave-nek. Ha a master rst kezdemnyez, akkor a csomagba mg az adatok terletnek bjtban mrt hossza kerl megadsra egy bjton, s ezt kvetik az adatok. Bites vltozk rsakor egyetlen adatbjt nyolc objektum rtkt tartalmazza. Pldul 16 bites objektum egyttes rsakor a szksges adatterlet 2 bjt. Ha az rand bites objektumok darabszma nem oszthat 8-cal, akkor az utols adatbjt nincs teljesen kihasznlva. 12 bites objektum rsakor az els adatbjtot teljesen, mg a msodik adatbjt als helyrtk ngy bitjt kell feltlteni. Egy regisztervltoz tartalmt kt bjton (alacsony, magas helyrtk sorrendben) kell megadni. Az rscsomagot is a csomagellenrz szm zrja le. A master olvasst kezdemnyez csomagjnak szerkezete: slave-cm 1 bjt; funkcikd 1 bjt; objektumkezdcm 2 bjt (magas, alacsony helyirtk sorrendben); olvasand objektumok darabszma 2 bjt (magas, alacsony helyirtk sorrendben); csomagellenrz szm. Az rst kezdemnyez csomag szerkezete: slave-cm 1 bjt; funkcikd 1 bjt; objektumkezdcm 2 bjt (magas, alacsony helyrtk sorrendben); rand objektumok darabszma 2 bjt (magas, alacsony helyirtk sorrendben); az adatok hossza bjt-ban 1 bjt; az adatok; csomagellenrz szm. Ez a csomag ASCII vagy RTU formban kerlhet a soros vonalra.

150 Az ASCII protokoll azt jelenti, hogy a csomag minden egyes bjtja kt hexadecimlis ASCII kdra konvertldik, s ez kerl a vonalra. Ebben az esetben a csomag kezdett egy kettspont mutatja, a CR (Carriage Return, kocsi vissza) s az LF (Line Feed, soremels) karakterek hatrozzk meg. Ebben az esetben a csomagellenrz szmot LRC-nek (longitudinlis redundanciakd) nevezik, terjedelme 1 bjt, s a csomagot alkot bjtok tartalmnak szszegbl kpezhet. A csomag vtelekor ellenrizni kell, hogy (a csomagkezd s -terminl karaktereken kvl) a vett karakterek csak hexadecimlis (09 s AF) karakterek lehetnek, ill. a vett karakterekbl kpzett LRC-nek egyeznie kell a vett LRC-vel. Az RTU (Remote Terminal Unit) protokoll kdfggetlen tvitelt jelent. A csomag bjtjai minden konverzi nlkl kiadsra kerlnek a soros vonalon. Sem csomagkezd, sem csomagterminl karakter nincs. A vonalon mindenfle (0255) tartalm bjt lehet. Ahhoz, hogy az ASCII protokollal kzel azonos valsznsggel felismerjk az tviteli hibkat, egy jval bonyolultabb algoritmussal kpezett 2 bjt terjedelm CRC-nek (ciklikus redundanciakd) az alkalmazsa szksges csomagellenrz szmknt, mivel a csomag karaktereinek elszrse nem lehetsges. A vett csomag helyessgnek ellenrzse csak a CRC egyezsge alapjn lehetsges. Az albbi C nyelv szubrutin az LRC, ill. a CRC kpzst mutatja be. /****** * CRC (RTU), vagy LRC (ASCII) kpzse * tadott paramterek: * adat: a tovbbtand vagy vett csomag binris tartalma * lng : a csomag hossza (bjtban) * visszatrsi rtk: * CRC (16 biten) ha az tvitel RTU, ill. LRC (8 biten) ha az tvitel ASCII ******/ static int crc(adat,lng) char* adat; int lng; { int i,j,jelzo; unsigned int check; unsigned char *ch; if(modbus_mod = = MODBUS_RTU){ /* crc kpzs */ check=0xffff; ch=(unsigned char*)&check; for(i=0; i < lng; i++){ *ch=*ch ^ *(adat+i); for(j=0; j < 8; j++){ jelzo=check & 0x0001; check=check >> 1; if(jelzo){ check=check ^ 0xa001; } } } return(check); } else if(modbus_mod == MODBUS_ASCII){ /* lrc kpzse */

151 check=0; for(i=0; i < lng; i++){ check=check+*(adat+i); } check=-check & 0x00ff; return(check); } } A master kezdemnyez csomagjra a cmzett slave-nek reaglnia kell, s egy timeout idn bell vlaszcsomagot kell kldenie. A time-out id rtke MODICON PLC-k esetn a konfigurlskor paramterezhet, nhny tz ms nagysg ltalban. Olvassi parancsra a vlaszcsomag szerkezete: a slave cme 1 bjt; a vett funkcikd visszatkrzse 1 bjt (amennyiben az olvassi funkci nem hajthat vgre, mert az olvasand cmtartomny illeglis) a visszatkrztt funkcikd legmagasabb bitje 1 rtk (s ilyenkor nincs adat); a csomagban lv adatbjtok darabszma 1 bjt; adatok; CRC vagy LRC. rsi parancsra a slave ltal adott vlaszcsomag szerkezete: a slave cme 1 bjt; a vett funkcikd visszatkrzse 1 bjt (amennyiben az rsi funkci nem hajthat vgre, mert pl. az rand cmtartomny illeglis, a visszatkrztt funkcikd legmagasabb bitje 1 rtk); a kezdcm 2 bjt (magas, alacsony helyrtk sorrendben); az rt objektumok darabszma 2 bjt (magas, alacsony helyrtk sorrendben); CRC vagy LRC. A MODBUS kommunikci RS 232C (pont-pont kapcsolat) vagy RS 485 (busz) soros vonaln zajlik. A hlzat jellemzje, hogy idben egyetlen lland master van a rendszerben. Ez tipikusan egy PC, amely sorban egyms utn krdezi a felfztt slave-ket (PLCberendezseket). Ha az alkalmazott PLC-k nagy teljestmnyek, akkor rendszertechnikailag mr nehezen tarthat az egy master-es kialakts. Ekkor a MODBUS PLUS kommunikci szksges, ami nagy sebessg soros vonalon zsetonadogatsos (token passing) technikval lehetv teszi a hlzatban tbb master jelenltt. A MODBUS PLUS kommunikci csak hardveres tmogatssal (MODBUS PLUS csatolkrtya) kpzelhet el. Az alkalmazott PLC-k teljestmnye is minimlis rtket kell meghaladjon. Ez MODICON esetn a MODICON 145 tpust jelenti. A protokoll kzponti rsze a korbbiakban lertakkal azonos. A MODBUS protokoll nem kizrlag a MODICON PLC-k esetn alkalmazhat. Szmos ms PLC-gyrt lekpezte ezt a kommunikcis protokollt. Tipikusan az intelligens mreszkzk tbbsgvel (pl. gzelemzk, hozamszmt mvek stb.) a MODBUS protokoll alapjn lehet kommuniklni.
4.5.3. PROFIBUS

A PROcess FIeld BUS, rviden PROFIBUS az els szabvnyostott terepi buszok egyike, amely 1987-ben nmet ipari szabvnyknt indult s 1996-ban vlt nemzetkzi szabvnny. A

152 PROFIBUS a forrs/cl tpus hlzatok csoportjba tartozik s hibrid (token passing, master-slave, multimaster) tpus buszhozzfrsi eljrst hasznl. A PROFIBUS PC-s munkallomsokat, PLC-ket, folyamatirnyt szmtgpeket, adatgyjtket sszefoglal hlzatknt hasznlatos az RS 485-nek megfelel kialaktsban. A PROFIBUS-hlzat busztopolgij, maximlisan ngy szegmensbl llhat, amelyeket jelismtlk kapcsolnak egymshoz. A szegmensek maximlis hossza fgg a vlasztott tviteli sebessgtl. Egy-egy szegmensbe legfeljebb 32 kszlk csatlakoztathat, belertve a repeater-eket is. A ngy szegmensbl ll PROFIBUS-hlzat gy 127 kszlket tartalmazhat. A PROFIBUS-hlzatra legfeljebb 32 master kapcsolhat. A hlzati adatforgalom kezdemnyezje mindig a master. Tbb master esetn egymst vltva tltik be a hlzati adatforgalmat kezdemnyez szerepet. A hlzat zembe helyezsekor kell meghatrozni, hogy milyen sorrendben s mennyi ideig tlti be egy-egy master a kezdemnyez szerepet. A PROFIBUS-hlzatnak hrom klnbz cl vltozata terjedt el. Ezek: PROFIBUS DP, PROFIBUS PA s PROFIBUS FMS. Mindhrom vltozat protokollja az ISO/OSI modell 1es, ill. 2-es rteghez illeszkedik, a 3...7 rtegeket nem hasznlja (kivve FMS). A 2-es Data Link rteghez hrom specilis PROFIBUS-rteg csatlakozik, amely biztostja a felhasznlval a kapcsolatot. A PROFIBUS DP (PROFIBUS for Distributed Processing) a nagy adatmennyisgek tvitelre s a terepi kszlkekkel val gyors adatcserre optimalizlt terepi busz szabvnya, amely az ISO/OSI modell 1-es s 2-es rtege szerint van fejlesztve. A fizikai rteg az RS 485 szabvny szerinti kialakts. A tovbbi hrom rtege megegyezik a PA-vltozattal. A PROFIBUS PA (PROFIBUS for Process Automation) szabvnyt a veszlyes ipari hlzatok kialaktsra dolgoztk ki s fknt a vegyiparban, energiaiparban terjedt el. Fontos jellemzje, hogy kter kbelt hasznlnak mind a tpelltsra, mind a jeltvitelre az IEC 1158-2 szabvny szerint (4.48. bra) [18].

4.48. bra. PROFIBUS PA busz kialaktsa A PROFIBUS PA Manchester-kdolst alkalmaz. Az 1-es, 2-es rteg az IEC interfsz miatt nem azonos a DP, ill. FMS vltozatval. Az IEC-szabvny ngyfle kbelt ajnl a PAhoz: rnykolt csavart rpr, egy vagy tbb csavart rpr rnykolssal, rnykolatlan csavart rpr s rnykolt nem csavart rpr. A PROFIBUS FMS (PROFIBUS for Fieldbus Message Specification) tipikusan a gyrtskzi zenetek vltsra kidolgozott szabvny, amely rugalmas adatmdostst tesz lehetv s kzepes mennyisg adatok hatkony kezelst biztostja ciklikusan vagy esemnyvezrelt mdon. Az FMS-vltozat az ISO/OSI modell 1-es, 2-es s 7-es rtege szerint van tervezve. A DP s az FMS ugyanezt a fizikai rteget (RS 485), ill. adatkapcsolati rteget

153 (Fieldbus Data Link, FDL), valamint ugyanazt az rnykolt sodrott rprbl ll kbelt hasznlhatja. A PROFIBUS buszrendszert rendszerint 9 plus csatlakozval alaktjk ki. Az egyes PROFIBUS-vltozatok f jellemzit a 4.5. tblzatban [18] foglaltuk ssze. 4.5.tblzat. PROFIBUS-vltozatok Tpus PROFIBUS DP PROFIBUS PA PROFIBUS FMS PROFIBUS DP PROFIBUS PA PROFIBUS FMS Nemzetkzi Alkalmazs szabvny EN 50170 nagy sebessg adatkommunikci EN 50170 kommunikci veszlyes ipari krnyezetben EN 50170 rugalmas zenetvltsok Max. adat vlaszid 244 bjt 1 ms (12 Mbps sebessg esetn) 244 bjt 244 bjt 100 ms (31, 25 Kbps sebessg esetn) 60 ms (1,5 Mbps sebessg esetn) Tipikus llomsok llomsok szma

analg s digitlis esz- 127 kzk, tvoli I/O-k tvadk, szelepek, sza- 31 blyozk programozeszkzk, 127 PC-k, PLC-k tvolsg tviteli kzeg max. 90 km rnykolt, sodrott kbel vagy fnykbel 1,9 km/szegmens rnykolt, kteres sodrott kbel max. 90 km rnykolt, sodrott kbel vagy fnykbel

Siemens S7-400 eszkzkbl felptett PROFIBUS-hlzatot mutat be a 4.49. bra. Az informatikai rendszer fels szintjn a mrnki llomsok vannak, amelyek az ipari ETHERNET-re kapcsoldnak. A PROFIBUS DP buszrendszert az S7-400 PLC-ben elhelyezett mastermodul lltja el. A PROFIBUS DP buszra tovbbi PLC-k s ms vezrl-, szablyozeszkzk csatlakozhatnak.

4.49. bra. PROFIBUS-hlzat (Siemens)

154 A 4.49. brn a HART protokoll szerinti csatlakozsi felletet is berajzoltuk. A HART (Highway Addressable Remote Transducer) a PROFIBUS-tl fggetlen szabvny, amelynl egy paramter-belltsra s diagnosztikra hasznlhat digitlis jelet modullnak a 420 mA-es szabvnyos ramjelre. A mrsi adatok tnyleges tvitele analg, a 420 mA-es ramjel formjban. Megfelel HART-multiplexereket s kapukat (gateway) hasznlva lehetsg van egy magasabb szint buszrendszerhez val csatlakozsra. A PROFIBUS-rendszer specilis csatolelemei: DP/PA tjr: a 45,45 Kbps sebessg DP s a 31,25 Kbps sebessg PA vltozat kztti tjr; OBT: optikai buszterminl; OLM: optikai vonalcsatol modul. A PROFIBUS-hlzat kialakthat tisztn elektromos kbelezssel, tisztn optikai kbelezssel vagy vegyes (optikai/elektromos) kbelezssel. Ez utbbi clt szolgljk az OBT, ill. OLM csatolmodulok. Siemens eszkzkkel felptett vegyes kbelezs PROFIBUSrendszert szemlltet a 4.50. bra [14], amely szerint az egyes llomsok elektromos kbelezssel kapcsoldnak az OLM modulokra. Az optikai kbellel az sszekttetst biztostjk. Vegyes kbelezssel busz-, ill. csillagtopolgia egyarnt kialakthat.

4.50. bra. Vegyes kbelezs PROFIBUS hlzat


4.5.3.1. PROFIBUS keretformtuma

A referenciamodell 2-es rtege szerint definilt keretformtumokat a 4.51. bra [18] mutatja. tvitel sorn a kvetkez hibkat lehet detektlni: karakterformtum-hiba (paritshiba, kerethiba stb.); protokollhiba; start- s vghatrol-hiba; keretellenrz bjthiba; telegramhosszhiba. Hibs telegramdetektlskor a vtel automatikusan megismtldik.

155

4.51. bra. PROFIBUS telegramformtumok Jellsek: L SC SD1 s SD4 LE DA SA FC Data Unit FCS ED informci hossza (Length of the Information Field) nyugtzkarakter (Single Character) startbjt (Start Delimiter) informcis mez bjtjainak szma (Length Bjt) clhelycmbjt (Destination Address) forrscmbjt (Source Address) vezrlbjt az zenet felhasznlshoz (Frame Control) adattest keretellenrz bjt (Frame Check Sequence) zrbjt (End Delimiter)

4.5.3.2. PROFIBUS kommunikcis kapcsolata

Egy PROFIBUS-hlzat esetn mr a konfigurlskor meg kell adni, hogy mely rsztvevk fognak egymssal adatot cserlni. Ezt kommunikcis kapcsolatokkal (sszekttetsekkel) lehet definilni, amelyek bekerlnek minden egyes rsztvev kommunikcis kapcsolati tbljba (KLB). Alapveten megklnbztetnk kapcsolatfelptses s kapcsolatfelpts nlkli kommunikcit. A minden rsztvevnek (broadcast) s a rsztvevk egy csoportjnak (multicast) szl zenetek jelentik a kapcsolatfelpts nlkli kommunikcit, amelynl az zenet kldje nem kap nyugtzst arrl, hogy zenete a vevhz megrkezett-e. A kt rsztvev kztti kommunikci mindig kapcsolatfelptses, ilyenkor az adatcsere eltt fel kell pteni a kapcsolatot. A kapcsolat kt partnere lehet kt master (aktv rsztvev) vagy egy master s egy slave (passzv rsztvev). Az adatforgalom lehet ciklikus vagy aciklikus kezdemnyezs (4.52. bra).

156

4.52. bra. PROFIBUS kapcsolatfelptses s kapcsolatfelpts nlkli kommunikcija A 4.52. bra szerint kapcsolatfelptses kommunikci esetn master-master kapcsolat nem ciklikusan, hanem esemnyvezrelten jn ltre, mg a master-slave kztti kapcsolatfelvtel ciklikus s nem ciklikus is lehet. A kapcsolatfelptses kommunikci mindig azonos: kapcsolat felptse, adatcsere s a kapcsolat felbontsa. A folyamat megvalstshoz a kommunikci kapcsolati tbljban definilni kell pl. a megszltand rsztvev cmt vagy a kapcsolat tpust.
4.5.3.3. Kommunikcis objektumok s szolgltatsok

A PROFIBUS-nl a kommunikcis objektumok az adatcsere alapjai. Mindegyik rsztvev egy objektumlistt vezet kommunikcis objektumairl. Ez a lista tartalmazza minden egyes objektum tpust, cmt s hosszt, az adattpust, a jelszt s a hozzfrsi jogokat. Az objektumlistt a kommunikcis partner lekrdezheti. gy egy rsztvev a sajt meglv objektumlistjn kvl kap minden egyes kommunikcis partnertl egy tvoli objektumlistt. A PROFIBUS a kommunikcihoz szolgltatsokat bocst rendelkezsre; ezeket a felhasznl kommunikcis feladatainak elvgzshez elindthatja. A szolgltatsoknak kt tpusa van: felhasznli szolgltatsok s kezelsi szolgltatsok. Tipikus felhasznli szolgltats a Read szolgltats, amellyel ki lehet olvasni egy partner valamelyik vltozjt. Kezelsi szolgltatsra plda a Get-OV szolgltats, amivel le lehet krdezni egy kommunikcis partner objektumlistjt.
4.5.3.4. A PROFIBUS konfigurlsa

A kommunikci indtshoz elszr is konfigurljuk a PROFIBUS-rsztvevket a COM PROFIBUS-szoftver segtsgvel. Ennek sorn meghatrozzuk, milyen adatokat kell kicserlni, ki cserl adatot kivel, hogyan trtnik az adatcsere s milyen hozzfrsek engedlyezettek, ill. tiltottak.

157 A konfigurlban kell megadni az olyan buszparamtereket, mint pl. az tviteli sebessg, a cmek vagy a token ciklusideje. Sok paramter automatikusan is ltrehozhat. A konfigurls befejezse utn kvetkezik a program bevitele. A szoftver igen knyelmess teszi a kommunikcit kt PROFIBUS rsztvevje kztt. A knyelmetlen s idignyes programozs helyett egyszeren a szoftvermodult kell paramterezni. Ezekkel az eszkzkkel viszonylag egyszeren lehet konfigurlni egy komplex hlzatot. A nem PROFIBUS kompatbilis eszkzk buszhoz illesztsre szmos specilis csip ll rendelkezsre. A hlzat konfigurlshoz a Siemens cg a NETPRO grafikus konfigurtort fejlesztette ki.
4.5.4. Foundation Fieldbus

A Foundation Fieldbus [19] mintegy 150 irnytstechnikai vllalat 1996. vi alaptvnya ltal definilt elllt/felhasznl tpus terepi buszt jelenti. Kifejlesztse sorn alapvet szempont volt egy olyan egysges rendszer definilsa, amelyben problmamentesen sszekapcsolhatk a klnbz gyrtk termkei. A Foundation Fieldbus szles kr tmogatottsgt garantlja, hogy a kialaktsban rszt vllal tbb mint 120 cg a vilg folyamatirnytsi piacnak 80 %-t mondhatja magnak. A rsztvev vllalatok maguk alaktjk ki a sajt termkk illesztshez szksges interfszeket. A Foundation Fieldbus esetn minden eszkz magban hordozza a vele kapcsolatos adatfeldolgozsi mveletekhez szksges processzorteljestmnyt s memrit, gy a rendszer bvtse egyszeren az j eszkz csatlakoztatsbl ll. A kzponti egysgek feladata csak az emberi kezelfellet biztostsa, s a magas szint folyamatirnyts (pl. kltsgoptimalizl szablyozs vagy receptrakezels) koordinlsa. Kevesebb feladat kevesebb helyet ignyel, gy eltnik a kzponti mszerterem. A Foundation Fieldbus intelligens egysgekre pl, ahol a vezrlsi, szablyozsi algoritmusok elosztottan kzvetlenl az I/O eszkzkn folynak s a kzponti egysgek feladata az osztottan foly feldolgozs irnytsa s szervezse. A Foundation Fieldbus a msodik genercis DCS rendszerek kialaktst tmogatja.
4.5.4.1. A Foundation Fieldbus H1 technikja

A busz adattviteli kzege: rnykolt, sodrott rpr. Az eszkzk kzvetlenl a fieldbus-tl kapjk a feszltsget. Az egyenram tpfeszltsg rtke 9-tl 32 V-ig terjedhet. A H1 fieldbus-nl lehetsg van sztgazs (fa) s legazs (T) kiptsre. (A fkbel mindkt vgn RC-tagbl ll lezrsok vannak.) A kbelezs sszes hossza nem haladhatja meg az 1900 mtert. A vezetk hosszt gy kapjuk meg, hogy sszeadjuk a gerincvezetk hosszt s az sszes legazs hosszt. Amenynyiben a legazs hosszrl dnteni lehet, akkor annl jobb, minl rvidebb. A legazs hoszszt korltozza a legazsok szma s a legazsokhoz kapcsold eszkzk szma. A 4.6. tblzat sszefoglalja a legazs maximlis megengedett hosszt a szegmensben lv legazsok szmnak fggvnyben. 4.6. tblzat. A legazsok maximlis hossza az FF Bus esetn Eszkzk szma Legazs maximlis hossza 25-32 1m 19-24 30 m 15-18 60 m 13-14 90 m 1-12 120 m

158
4.5.4.2. Jeltovbbts a H1 Fieldbus-on

Az adatkapcsolati rteg (Data Link Layer, DLL) szablyozza az zenettovbbtst a fieldbuson. A DLL determinisztikus, centralizlt busztemezvel (aktv kapcsolattemez, Link Active Scheduler, LAS) irnytja a hozzfrst a fieldbus-hoz. A DLL specifikci hrom eszkztpust hatroz meg: alapeszkzk, amelyek nem lehetnek aktv kapcsolattemezk (LAS), kapcsolatvezrl (Link Master) eszkzk, amelyek aktv kapcsolattemezv (LAS) vlhatnak s hidak (bridges), amelyekkel tbb fieldbusszegmens sszekapcsolhat, nagyobb hlzatok hozhatk ltre. temezett kommunikci: az aktv kapcsolattemez osztja szt az adattovbbtsi idt, gy a ciklikusan leolvassra kerl valamennyi eszkz adatpufferjnek tartalma tovbbtdik. Amikor elrkezik az az idpont, hogy egy eszkz puffertrnak tartalmt tovbbtani kell, a LAS kiad egy adatkr (Compel Data, CD) zenetet az eszkz fel. A CD vtelekor az eszkz a puffertrban lv adatokat kiadja vagy kzli a fieldbusra csatlakoz sszes eszkz fel. Azokat az eszkzket, amelyek konfigurlsuk alapjn fogadjk az adatokat, elfizetknek nevezik. Az temezett adattovbbtst jellemzen arra hasznljuk, hogy a fieldbus-ra csatlakoz eszkzk kztt rendszeresen s ciklikusan tovbbtsuk az adatokat. Nem temezett kommunikci: a fieldbus-ra csatlakoz sszes eszkznek lehetsge van arra, hogy az temezett zenetek tovbbtsai kztti idben nem temezett zeneteket kldjenek. A LAS egy vezrjel-tovbbtsi (pass token, PT) zenet kiadsval engedlyezi azt, hogy egy eszkz hasznlja a fieldbus-t. Amikor az eszkz megkapja a vezrjelet, addig tovbbthatja az zeneteit, amg be nem fejezte, vagy amg le nem telik a maximlis vezrjeltartsi id. zenet kldhet egyetlen pontnak vagy tbb pontnak (multicast).
4.5.4.3. Az aktv kapcsolattemez mkdse

Az aktv kapcsolattemez (LAS) mkdse az l lista karbantartsbl, a CD-temezsbl (adatkrs-temezs, lsd elbb), a vezrjel-tovbbtsbl s a LAS-redundancia kezelsbl ll. l lista karbantartsa A vezrjelre (PT) megfelelen reagl valamennyi eszkz listjt nevezik l listnak. A fieldbus-ra brmikor j eszkzket csatlakoztathatnak az j eszkz listba vtelhez. A LAS idnknt kikld keresjeleket (Probe Note, PN) azokra a cmekre, amelyek nem szerepelnek az l listban. Amennyiben az eszkz jelen van az adott cmen, s veszi a keresjelet, akkor azonnal vlaszol egy vlaszjellel (Probe Response, PR). Ha az eszkz vlaszjelet kld, akkor a LAS hozzrja az eszkzt az l listhoz, s ezt azzal jelzi, hogy az eszkzknek kikld egy eszkzbelltsi (Node Activation, NA) zenetet. Amikor az l listban szerepl valamennyi eszkznek kikldte a vezrjelet, a ciklus befejezse utn a LAS-nak legalbb egy cmet meg kell nznie. Az eszkz addig az l listban marad, amg megfelelen reagl a LAS ltal kikldtt vezrjelekre. A LAS akkor tvolt el egy eszkzt az l listbl, ha az eszkz egyms utn hromszor vagy nem hasznlja a vezrjelet, vagy azonnal visszakldi azt a LAS-nak. Amikor az l listba bekerl vagy onnan kikerl egy eszkz, akkor a LAS az sszes eszkzt rtesti az l listban bekvetkezett vltozsokrl. Ezzel minden eszkznek lesz az aktulis l listrl msolata.

159 Adatkapcsolati idszinkronizls: a LAS idnknt kikld egy idszinkronizl (Time Distribution, TD) zenetet a fieldbus-ra, hogy valamennyi eszkzben azonos legyen a rendszerid. Ez azrt fontos, mert mind a fieldbus-on vgbemen temezett kommunikcik, mind a felhasznli alkalmazsban a funkciblokkok temezett vgrehajtsnak ez az alapja. Vezrjel-tovbbts: a LAS az l listban szerepl valamennyi eszkznek kikld egy vezrjel-tovbbt (Pass Token, PT) zenetet. Az eszkz akkor tovbbthat nem temezett zeneteket, amikor megkapja ezt a vezrjelet. LAS-redundancia: egy fieldbus-nak tbb kapcsolatvezrlje (LAS) is lehet. Amennyiben az aktulis LAS meghibsodik, akkor a kvetkez kapcsolatvezrl lesz a LAS, s a fieldbus tovbb mkdik. A fieldbus a LAS meghibsods esetn is zemkpes marad.
4.5.4.4. Virtual Communication Relationships

A Virtual Communication Relationships (VCR) a gyorstrcszshoz hasonl, memrival rendelkez telefonkszlk. Egy nemzetkzi hvs lebonyoltsnl sok szmjegyet kell trcszni, a nemzetkzi hvs kdjt, az orszg kdjt, a vros kdjt, valamint a telefonszmot. Ezt az informcit csak egyszer kell berni, majd hozz kell rendelni a gyorstrcsz szmot. Ezutn mr csak ezt a szmot kell betni, s a kszlk vgrehajtja a hvst. Hasonl konfigurls utn csak a VCR-szmra van szksg ahhoz, hogy az eszkz a msik eszkzzel kommuniklni tudjon a fieldbus-on. A VCR-eknek az albbi tpusai vannak. Kliens/szerver VCR Amikor egy eszkz vezrjelet (PT) kap a LAS-tl, zenetkrst kldhet a fieldbus-ra csatlakoz valamelyik msik eszkznek. Az zenetkr eszkzt kliensnek, a krst fogad eszkzt szervernek nevezik. A szerver akkor kldi el a vlaszt, amikor a LAS-tl megkapja a vezrjelet (PT). A kliens/szerver VCR tpust hasznljk a kezel ltal kezdemnyezett krsek tovbbtsra (alaprtk-vltoztats, hangolsi paramterek elrse s megvltoztatsa, alarmnyugtzs, eszkzinformcik feltltse s letltse). Jelentsterjeszt VCR Amikor egy esemnyjelentssel vagy trendjelentssel rendelkez eszkz a LAS-tl megkapja a vezrjelet (PT), akkor zenett elkldi a virtulis kommunikcis kapcsolathoz (VCR) rendelt csoportcmre. A jelentst minden olyan eszkz megkapja, amelyet gy konfigurltak, hogy figyeljen az adott VCR-re. A jelentsterjeszt VCR tpust a fieldbus-ra csatlakoz eszkzk tipikusan arra hasznljk, hogy alarmjelzseket kldenek a kezelpultoknak. Kzl/elfizet VCR A kzl/elfizet VCR tpust egy eszkz fell tbb eszkz fel irnyul pufferelt kommunikcira hasznljuk. Amikor egy eszkzhz adatkrs (CD) rkezik, az eszkz kiadja vagy kzli zenett a fieldbus-ra csatlakoz sszes eszkzzel. Elfizetknek nevezzk azokat az eszkzket, amelyek venni kvnjk a kzlt zenetet. A CD a LAS-ban temezhet, de az elfizetk nem temezett mdon is kldhetnek adatkr zenetet. A VCR paramtere hatrozza meg, melyik mdszert hasznljuk. A kzl/elfizet VCR tpus segtsgvel a terepi eszkzk ciklikusan s temezett mdon kzzteszik a felhasznli alkalmazs funkciblokk-bemenett s kimeneteit (pldul technolgiai vltoz, azaz a mrt rtk PV s primer kimenet, OUT a fieldbus-on).

160
4.5.4.5. Felhasznli alkalmazsblokkok

A Foundation Fieldbus a kvetkez szabvnyos felhasznlblokkokat hatrozta meg: erforrsblokk, funkciblokk s jeltalakt blokkok. Erforrsblokk Az erforrsblokk a fieldbus-ra csatlakoz eszkz jellemzit rja le (eszkz neve, gyrtja s gyrtsi szma, stb.). Minden eszkzben csak egy erforrsblokk van. Funkciblokk A funkciblokkok (FB) hatrozzk meg a folyamatirnyt rendszer viselkedst. A funkciblokkok bemeneti s kimeneti paramterei sszekapcsolhatk a fieldbus-on. Az egyes funkciblokkok vgrehajtsa pontosan temezett. Minden fieldbus tbb funkciblokkot tartalmazhat. A Foundation Fieldbus az alapszablyozshoz a 10 szabvnyos funkciblokkot definil:
Funkciblokkok neve Analg bemenet Analg kimenet Ersts Szablyozvlaszt Diszkrt bemenet Diszkrt kimenet Kzi tlt Arnyos/differencil Arnyos/integrl/differencil Arny Jele AI AO B CS DI DO ML PD PID RA

A fejlett szablyozsokhoz tovbbi 19 szablyozsi funkciblokkot definil a szabvny. gy egy teljes szablyozkr felpthet egyetlen tvadval s egy szablyozszeleppel a Foundation Fieldbusra kapcsolva. Jeltalakt blokkok A jeltalakt blokkok levlasztjk a funkciblokkokat az rzkel- vagy a beavatkozhardver leolvasshoz szksges helyi bemeneti/kimeneti funkcikrl. Tartalmazzk az rzkel tpusa, mrstartomnya vagy a kalibrls dtuma adatokat. Rendszerint minden bemeneti vagy kimeneti funkciblokkhoz egy jeltalakt blokk tartozik. A trendelemek (Trend Objects) teszik lehetv a funkciblokk paramtereinek helyi trendkpzst, amihez hozzfrhetnek a kzponti szmtgpek s ms eszkzk. A figyelmeztet elemek (Alert Objects) lehetv teszik az alarmok s esemnyek jelzst a fieldbus-on. A ltvnyelemek (View Objects) a blokkparamterek halmazainak elre meghatrozott csoportjai, amelyek felhasznlhatk az ember/gp kapcsolatban. A funkciblokk-specifikci minden blokktpushoz ngy kpet definil. Funkciblokk-szinkronizls A funkciblokkokat pontosan meghatrozott idkznknt s meghatrozott sorrendben kell vgrehajtani ahhoz, hogy a folyamatirnyt rendszer megfelelen mkdjn. A rendszerkezels szinkronizlja a funkciblokkok vgrehajtst s a funkciblokk-paramterek tovbbtst a fieldbus-on.

161 A rendszerkezels ms fontos rendszerjellemzket is kezel, pl. a rendszerid szinkronizlst az sszes eszkz kztt, az automatikus tkapcsolst egy tartalk idkzlre, az eszkzcmek automatikus felosztst, valamint a fieldbus-on lv paramternevek vagy tervjelek keresst. A Foundation Fieldbus funkcija a rendszerra szinkronizlsa. Ennek kvetkeztben az eszkzk idblyeggel tudjk elltni az adatokat a fieldbus-hlzat valamennyi pontjn. Amennyiben a fieldbus-on van tartalk rendszeridkzl, akkor a tartalkkzl aktivizldik, ha az addig mkd idkzl meghibsodik.
4.5.4.6. A Foundation Fieldbus specilis szolgltatsai

A Foundation Fieldbus specilis szolgltatsai: eszkzcmkioszts, tervjelkeres szolgltats, eszkzlersok, eszkzler tokenizls, kompatibilits. Eszkzcmkioszts A fieldbus-ra csatlakoz valamennyi eszkznek rendelkeznie kell egy megklnbztet hlzatcmmel s egy fizikaieszkz-azonostval ahhoz, hogy a fieldbus megfelelen mkdjn. A rendszerkezelvel automatikasan vgrehajthat a hlzati cmek kiosztsa, gy nincs szksg a cmbell kapcsolkra. Egy j eszkz a kvetkezk szerint kap j hlzati cmet: a konfigurleszkzn keresztl az j eszkz kap egy fizikaieszkz-azonostt, offline mdon a mhelyben, de jellemzen online mdon a fieldbus-on keresztl, alaprtelmezett hlzati cmek alapjn; az alaprtelmezett hlzati cmek hasznlatakor a rendszerkezel bekri az eszkz fizikai azonostjt. A rendszerkezel a fizikaieszkz-azonost alapjn keresi meg az j hlzati cmet egy konfigurcis tblzatban. Majd specilis cmbellt zenetet kld az eszkznek, aminek hatsra az eszkz az j hlzati cmre kerl; ugyanez a folyamat megismtldik minden olyan eszkzre, amely alaprtelmezett cmen lp a hlzatba. Tervjelkeres szolgltats A kzponti rendszerek s hordozhat karbantart eszkzk mkdshez szksges a tervjelkeress, amely az eszkzket vagy vltozkat kutatja. A tervjelkeressre felszlt zenet a fieldbus-ra csatlakoz sszes interfszeszkzhz eljut. Amikor az zenet berkezik, minden interfsz megnzi terepi eszkzei kztt a keresett tervjelet, teljes tvonal-informcit kld, ha a tervjelet megtallja. Az tvonal ismeretben a kzponti rendszer vagy a karbantart eszkz hozzfrhet az adott tervjel adataihoz. Eszkzlersok A fieldbus-ra csatlakoztathat eszkzk fontos kvetelmnye a kompatibilits. Elrshez az eszkzler (Device Description, DD) technikt alkalmazzk. A DD-k a szemlyi szmtgp (PC) meghajtihoz hasonlk, amelyekkel a szmtgp klnbz nyomtatkat s ms eszkzket mkdtet. Brmilyen fieldbus-kompatibilis folyamatirnyt rendszer vagy kzponti szmtgp hasznlni tudja az eszkzt, ha rendelkezik az eszkz DD-jvel.

162 Eszkzler tokenizls A DD megrshoz szabvnyos programozsi nyelvet hasznlhatnak, amelyet eszkzler nyelvnek neveznek (Device Description Language, DDL). A Foundation Fieldbus (FF) az sszes szabvnyos funkciblokkhoz s jeltalakt blokkhoz ad DD-ket. Az eszkzszlltk eszkzeikhez kiegszt DD-t ksztenek, amit specilis jellemzkkel, pl. kalibrlsi s diagnosztikai eljrsokkal egsztenek ki. A Foundation Fieldbus a szabvnyos DD-ket egy CD-ROM-on forgalmazza. A felhasznl a kiegszt DD-t vagy az eszkz szlltjtl, vagy a Foundation Fieldbus-tl szerezheti be. A kiegszt DD-k kzvetlenl kiolvashatk az eszkzbl is a fieldbus-on keresztl, amennyiben az eszkz tmogatja a feltltsi szolgltatsokat. A Foundation Fieldbus egyik ttr alkalmazja a Fisher Rosemount (Delta V rendszer). Kompatibilits Minden gyrt minden eszkzkompatibilitsi tesztjrl jelentst kld a Foundation Fieldbus szmra. A jelentsben szerepelnek az eszkzben lv ltalnos, funkciblokk-, jeltalakt blokk- s gyrtspecifikus paramterek. Az eszkzlers beolvassval brmilyen kzponti rendszer egytt tud mkdni az eszkzben szerepl sszes paramterrel. A Foundation Fieldbus a PROFIBUS-os rendszerekkel szemben egyetlen vltozatban kszl, teht gyrtfggetlen. Ma mr a Foundation Fieldbus illesztje egyetlen csipen integrlt eszkz, amely bepthet a terepi mr- s tvad eszkzkbe. A Foundation Fieldbus els zben valstja meg az elosztott automatizls s az elosztott informcikezels elvt, ezltal megteremti a felttelt a DCS-rendszerek msodik genercijnak kialaktshoz. A Foundation Fieldbus-t a Fisher Roseomunt dolgozta ki elsknt, s erre ptette a PlantWeb architektrt. A PlantWeb architektra Foundation Fieldbus topolgijt a 4.53. bra szemllteti.

4.53. bra. PlantWeb architektra Foundation Fieldbus topolgival A rendszer 36 fieldbus-szegmenset kpes kezelni, szegmensknt max. 16 eszkzzel. A PlantWeb architektra Foundation Fieldbus nyitott irnytrendszer (Open Control System), amelyhez minden gyrt csatlakoztathatja termkt. A Foundation Fieldbus msik fejlesztsi irnya a H1 jel, elssorban terepi mszerek kommunikcijt szolgl busz mellett a nagyobb adattviteli sebessget ignyl alkalmazsokat kiszolgl terepi busz kifejlesztse, amelynek alapja a 100 Mbps sebessg, n. High Speed Ethernet. Az elzekbl lthat, hogy a FF nem kifejezetten a PLC-khez, hanem a DCS-rendszerekhez kifejlesztett terepi buszrendszer.

163

4.6. Terepi szenzorbuszrendszerek


A terepi szenzorbuszrendszerek az rzkelk jeleinek hlzati kezelsre, valamint a beavatkozk jelnek hlzaton trtn kiadsra szolglnak. talaktjk a hagyomnyos PLC-k struktrjt, a nagyszm be/ki modulok helyett egy hlzati krtya kerl a PLC-be, az rzkelk s beavatkozk kzvetlenl a buszra csatlakoznak (4.54. bra). Az rzkel-beavatkoz jelleg buszok elnyei: nagymrtk kbelmegtakarts s a nagyobb zavarvdettsg. Fizikai tviteli kzegknt csavart rpr, koaxilis kbel s optikai kbel hasznlatos. Egyes megoldsokban a tpfeszltsget is a buszkbelen tovbbtjk, st vannak olyan megoldsok is, amelyek a 230 V-os hlzatot hasznljk adattviteli kzegknt. Utbbi csak korltozott frekvenciatartomnyban hasznlatos, ami kis adattviteli sebessget tesz lehetv.

4.54. bra. Hagyomnyos (a), ill. busz jelleg I/O kezels (b) A be/ki jelek kezelse ktfle: a kzponti kezels, ill. az elosztott vezrls. A kzponti kezels esetn a PLC-ben masterkrtya van, amely a be/ki eszkzkrl a buszon rkez jeleket a PLC szmra feldolgozhatv alaktja. A PLC-ben fut vezrls nem ltja, hogy az adatok a buszon kerltek oda. Ilyen feldolgozshoz master-slave s multimaster hlzat egyarnt hasznlatos. Az elosztott feldolgozsi md esetn az adatfeldolgozs vagy annak egy rsze az I/O eszkzkn trtnik. Ily mdon minden egysg elvgzi a maga rszfeladatt, adott esetben beavatkozik a folyamatba, s a hlzaton csak azokat az adatokat kldi tovbb, amelyek ms rszfeladathoz is szksgesek. Az rzkel/beavatkoz jelleg terepi buszok protokollhatkonysga szerint kzleked adatokat kt nagy csoportba lehet sorolni: be- s kimeneti adatok, ill. paramterek. A bes kimeneti adatforgalom jellemzi: 1-2 bit-tl nhny bjtnyi adatmennyisg s elrt frekvencij mintavtelezs. A paramterbelltsokkal kapcsolatos adatforgalom rendszerint az intelligens egysgek belltsra szolgl (pl. hatrrtkek), s tbbnyire 10100 bjtnyi adatforgalmat jelent. Ezen adatok tvitele nem idkritikus. Az rzkel/beavatkoz tpus terepi buszrendszerek megfelel protokolljnak kivlasztsa fontos szempont a rszben ellenttes ignyek miatt. A kt leggyakrabban hasznlt tviteli protokoll a kvetkez.

164 Az zenettviteli protokollt leginkbb a master-slave buszhozzfrsnl hasznljk. A 4.55. bra szemlletesen mutatja a master ltal kiadott lekrdezsek s az erre adott slave vlaszok, valamint a master ltal kldtt parancsok s az erre adott slave nyugtzsok idbeni lefolyst egy ciklusidn bell.

4.55. bra. zenetorientlt tvitel idviszonyai Fggetlenl az tvitt hasznos adat mennyisgtl (1 bit 10 Kbjt), a kommunikci lebonyoltshoz szksges adatokat (cm, CRC stb.) mindig elkldik. A nagymret, paramter jelleg adatok tvitele is egyetlen ciklus alatt trtnik. Belthat, hogy a slave egysgek szmnak nvelsvel n a ciklusid. Annak rdekben, hogy az zenetorientlt protokollal biztostani lehessen a szksges tviteli sebessget, meg kell nvelni az rajel frekvencijt, ami jabb problmkat vethet fel, pldul n a zavarrzkenysg. Az sszegzkeret tpus protokoll a hlzatba kttt sszes elem adatt egyetlen nagy keretbe egyesti. A protokollhoz tartoz n. fejlc-informci minden ciklusban csak egyszer kld el. Az adattvitel hatsfoka kzel fggetlen a modulok szmnak nvekedstl, ezrt optimlis megolds a nagyszm, de igen rvid zenetekkel dolgoz hlzaton. A ciklusid knnyen szmthat s tarthat (4.56. bra). A mdszer htrnya, hogy a nagyobb adatblokkot ignyl paramterek tovbbtsra nem elnys.

4.56. bra. sszegzkeret-protokoll idviszonyai

165
4.6.1. INTERBUS

Az INTERBUS rendszert a Phoenix Contact cg fejlesztette ki, s 1993-ban DIN 12258 szmon megjelent az INTERBUS S nemzetkzi szabvny [22]. Az INTERBUS S fbb mszaki adatai a 4.7. tblzatban tallhatk. 4.7. tblzat. INTERBUS S mszaki adatai Buszhozzfrsi eljrs Adattviteli sebessg Topolgia Telegramszerkezet Buszhossz Buszrsztvevk szma tviteli md tviteli kzeg tviteli hibavdelem master-slave 500 kBaud gyr sszestkeret Loop-Back sz, Data Unit, hibaellenrz kd, vezrlkd max. 12,8 km max. 200 m kt buszkapocs kztt max. 256 RS 422 (ill. 2 x RS 485) rnykolt, sodrott rpr, fnykbel CRC

Az INTERBUS gyrtopolgij hlzat, de a hlzaton bell algyrket is ltre lehet hozni a terminlmodulokkal. Brmely kt modul kztt szabadon lehet vlasztani az tviteli kzeget. Az INTERBUS erssge az sszegzkeret tpus protokollbl kvetkez nagy adattviteli hatkonysg s a kiszmthat ciklusid. Az INTERBUS hlzat gyrknt van kialaktva s az zenet minden egyes rsztvevn thalad. A hlzat nagymret lptetregiszterknt kpzelhet el gy, hogy a regiszter egyik fele a masterben, msik fele elosztva a slave-ekben van. A slave-enknti regiszterek mrete az eszkz funkcijtl fgg. A master s az egyes elemek regisztereinek sszekapcsolsbl ll ssze a gyrstruktra. A masterregiszter (memria) ignye megegyezik az sszes modul adatainak sszegvel. A bemeneti, ill. kimeneti adatok a modulok (slave-k) fizikai sorrendjben kvetik egymst (4.57. bra).

4.57. bra. INTERBUS lptetregiszter Minden ciklus a fejlccel kezddik (Loop Back Word, LBW) s a master folyamatosan lpteti az adatokat egyik modultl a kvetkezig, amg a fejlc vissza nem rkezik a master-be. Ekkor a kimeneti adatok a megfelel slave-eknl vannak, s azok beolvassk. Ugyanakkor a slave-ok berjk bemeneti adataikat az sszestkeretbe, majd a master ismt

166 addig tolja krbe az sszestkeretet, amg a Loop Back sz alapjn fel nem ismeri, hogy a teljes INTERBUS telegramot ttolta a tolregiszter megfelel rszbe. Ezutn a PLCfeldolgozsi mvelete kvetkezik, amelynek vgn a master belltja a kimeneti rtkeket, s a ciklus kezddik ellrl. Az INTERBUS telegram felptse a 4.58a bra szerinti. A nagyobb adatmennyisget ignyl paramtertvitel jelentsen nveli a telegram hosszt. A paramterblokk tvitele nem idkritikus, ezrt tvitele tbb ciklus alatt is megtrtnhet. Ezt szemllteti a 4.58b bra. Ily mdon a ciklusid nem nvekszik s nagyobb adatblokkok tvitele is lehetsges viszonylag alacsony rajel-frekvencia mellett, ami zavarvdelmi szempontbl elnys.

4.58. bra. INTERBUS keretformtuma Az INTERBUS S konfigurlsakor elszr meg kell nevezni a csatlakoztatott rsztvevket. A szabvnyosts ellenre az InterBus S kezelse egyszer, mert szinte minden buszparamter rgztett, s a tiszta I/O adatcserhez nincs szksg szolgltatsokra, szemben pl. a PROFIBUS-szal. Egy tipikus INTERBUS-kialaktst szemlltet a 4.59. bra (Phoenix Contact).

4.59. bra. Jellegzetes INTERBUS-kialaktsa

167 Az INTERBUS masteregysge lehet egy PC, vagy egy Phoenix Contact modul, de lehet szinte valamennyi PLC-gyrt cg INTERBUS csatolmodulja. Az INTERBUS nem kifejezetten rzkel-beavatkoz busz, hiszen intelligens (smart) modulokat is tartalmaz, amelyek az elosztott adatfeldolgozst is lehetv teszik. Az INTERBUS-nak sajt rzkel-beavatkoz busza van (Sensor Loop). Az rzkelhurok egyszer buszkapocs segtsgvel pthet be egy meglv INTERBUS rendszerbe kln master nlkl sodrott rprral. A Sensor Loop esetn a telegramban egy tovbbi buszkapocs jelenik meg, s az rzkelk hasznos adatai a telegramban lesznek. Az rzkelhurok elemeinek informcija az sszestkeretet egy rsztvevvel nveli. A 4.59. bra szemlletesen mutatja a gyrtopolgij INTERBUS-hlzatot s a Sensor Loop kialaktst. Az INTERBUS alap vezrls s kommunikci programozst a PC-n futtathat PC WORX szoftver tmogatja, amely hrom f funkcit lt el: INTERBUS-konfigurls; IEC 1131 szabvny programozs; folyamatvizualizls.
4.6.2. ASI-busz

Az ASI-busz tipikus beavatkoz-rzkel (Actuator Sensor Interface) busz, amely kteres vezetken biztostja a jelek ktirny tovbbtst, st ezen a vezetken trtnik a tpfeszltsg-ellts is. Az ASI-busz 60, fknt PLC-ket gyrt cg ltal tmogatott szabvny. Az ASIbusz technolgijnak filozfiai alapja az, hogy a PLC-PLC sszekttetsek szintjrl az rzkel- s beavatkozelemek fizikai szintjre hozza le a kommunikcit. Ehhez egyrszt a buszra csatlakoztathat rzkelk s beavatkozk szksgesek, msrszt a PLC-be kell bepteni azokat a funkcikat, amelyek a buszra csatlakoz elemek kezelst vgzik. Ez teht egy master-slave rendszer, ahol a PLC-be ptett (ill. programozott) master lekrdezi a slave-ket. 31 slave csatlakoztathat egyetlen master-re, amit 5 ms alatt lekrdez a rendszer.
4.6.2.1. Az ASI-csip

Dr. Madarsz Lszl megjelent cikksorozata [1] alapjn a rendszer jellemzi a kvetkezk. Az ASI-busz fizikai kzege specilis kteres kbel. Klnlegessge az aszimmetrikus profil (csak egy alkalmasan kikpzett csatlakozba helyezhet), a nagy rugalmassg, ill. a specilis szigetelanyag. A vmprcsatlakoz felhelyezse utn is megrzi IP67-es vdettsgt, st a csatlakoz eltvoltsa utn is visszanyeri ezt a vdettsget. A kteres kbel szlltja a tpfeszltsget s levlaszt ramkr segtsgvel ez adja a PLC-kben lv master-nek az informcit. Az ASI-busz fizikailag egy integrlt csip (4.60. bra). Egyelre az ASI-busz a ktllapot jelek kezelsre alkalmas, az analg interfszeket kezel csipek fejlesztse folyik.

168

4.60. bra. ASI-csip felptse Az ASI kbelen lv feszltsgbl az ASI-csip tpegysg ramkre lltja el a szenzorok, aktutorok mkdshez szksges 24 V-os feszltsget (a tnyleges tartomny 19...26 V), amelynek legnagyobb terhelse 35 mA. A kiegszt 5 V-os tpfeszltsg a sajt bels elektronika mkdshez szksges. Az ASI-csip 4 bitet fogadhat a master-tl s 4 bitet tud visszakldeni. Informcit kldhet a master szmra a bels llapotrl s belltparamtereket fogadhat a master-tl. A csipben egy tpellts-hiba-logika gondoskodik a hibamentes informcikezelsrl. A vd ramkr a termikus s tlramvdelmen kvl n. Jabber-Inhibit (fecsegst kizr) kapcsolsi rszletet is tartalmaz. Ez a slave-et levlasztja az ASI rendszerrl, ha valamirt folyamatos ads alakul ki, a meghibsodott egysg nem zavarja a rendszer mkdst. Az ASI-csipben lv vezrlegysg dekdolja a master felhvst s generlja a slave vlaszt, ami a buszvezetkre kerl. Ha adatfelhvs zajlik, a masterbl rkezett adatokat rvnyesti, mint kimen adatokat, s a slave ltal rzkelt bemen adatokat a slave vlaszba szerkesztve kldi azokat a masterhez. Az ASI-csip ngy adatpontjt a csatolmodul, ill. a szenzor, aktutor gyrtsakor konfigurljk bemenetknt vagy kimenetknt. Az ASI rendszerben 16 bemenet/kimenet konfigurcit definiltak, csak ezek valamelyike hasznlhat. A 16 lehetsget a 4.8. tblzat mutatja be. 4.8. tblzat. Az ASI-csip bemenet/kimenet konfigurlsa Be-/kimeneti kd 0 1 2 3 4 5 6 D0 Bemenet Bemenet Bemenet Bemenet Bemenet Bemenet Bemenet Az adatpontok szerepe D1 D2 Bemenet Bemenet Bemenet Bemenet Bemenet Bemenet Bemenet Kimenet Bemenet Ktirny Kimenet Kimenet Ktirny Ktirny D3 Bemenet Kimenet Ktirny Kimenet Ktirny Kimenet Ktirny

169 7 8 9 A B C D E F Ktirny Kimenet Kimenet Kimenet Kimenet Kimenet Kimenet Kimenet Hromllapot Ktirny Kimenet Kimenet Kimenet Kimenet Kimenet Bemenet Ktirny Hromllapot Ktirny Kimenet Kimenet Kimenet Bemenet Ktirny Bemenet Ktirny Hromllapot Ktirny Kimenet Bemenet Ktirny Bemenet Ktirny Bemenet Ktirny Hromllapot

A tblzatbl gy tnhet, hogy egyes konfigurcik tbbszr is elfordulnak, gy pl. a 3 s a B jel bemenet/kimenet kd egyarnt kt bemenetet s kt kimenetet jelent. Mgsem azonos rtk ez a kt eset, ugyanis a D0 jellege dnt az egysg minstsben, ez adja meg a slave funkcijt. Ha a D0 bemenet, a slave zkel, ha pedig a D0 kimenet, a slave beavatkoz. Az F kdols azt jelenti, hogy nincs megkttt konfigurci. A lehetsges ASIegysgeket profiljelzsek formjban jellemzik az ASI rendszer kialakti. A profiljelzs clja, hogy egysges, gyrtfggetlen kszlkazonostsi rendszer jjjn ltre. A profiljelzs a slave-ben rgztett adat, a master-be a bekapcsolsa utn lefut zembevteli fzis sorn kldi a slave. Az ASI-csip paramterek belltst is lehetv teszi (ngy biten). A paramterek standard rtkt a master nem felejt trolban rzi, a rendszer jraindtsakor az rtkeket tlti be a slave egysgeibe. A paramterkezels rvn lehetsges pl. a szenzor kapcsoljelnl polaritst vltani, mrsi tartomnyt mdostani, kapcsolsi tulajdonsgokat vltoztatni. A paramterezs az ASI rendszerben jabb lnyeges elnykkel jr: egyazon kszlk (pl. szenzor) nagyszm klnfle paramterezssel hasznlhat, a kszlk ltalnos cl lehet, amit a paramterezssel lehet speciliss tenni; az ASI rendszer zeme kzben, ha szksges, brmikor vlthat az egysgek paramterezse. Ez pldul rzkelcsernl elnys. Minden slave cmezhet, hogy a master egyedileg tudjon velk kommuniklni. A cmzsi tartomny: 1...31. Egy ASI-csatolmodul, egy intelligens szenzor mindig nll cmmel rendelkezik. Az ASI-csiphez kapcsold EEPROM-ban rzdik a cm, gy tpfeszltsg nlkl sem vsz el, de szksg esetn tcmezhet. A cm az ASI rendszerbe szablyosan bekttt eszkzzel bellthat a cmzfelhvssal. Az ASI-kbelre csatlakoztats eltt is bellthat a cm. Kifejezetten erre a clra gyrtjk az ASI cmzkszlkt. Ehhez kzvetlenl csatlakoztatjk a slave-egysget, s a kszlk nyomgombjain lehet billentyzni a kvnt cmet. Tovbbi cmzsi lehetsg a programoz- s szervizkszlk (PRG). A PRG is alkalmas az ASI rendszertl fggetlen cmbelltsra, de az ASI-kbelre csatlakoztatva ez a kszlk kpes a master mkdst szimullni, s gy is cmezhetnek vele (egybknt a kszlk klnfle slave-mkdseket is szimull). Az ASI-busz vilgszabvny, amely minden gyrt szmra nyitott. Az ASIC ramkrn alapul ASI csipet vagy az rzkelkbe vagy a csatlakozba ptik. A rendszer konfigurlsa a PLC fejlesztrendszerrel egyszer: definilni kell a csatlakoztatott elemeket. A tovbbiakban a beptett nteszt ellenrzi a rendszer mkdst. Az ASI-csippel nem rendelkez elemek is csatlakoztathatk a rendszerhez gy, hogy a passzv csatlakozt beptett ASI-csipet tartalmaz aktv csatlakozval kell kivltani, amely illeszti az adott rzkelt az ASI-buszos hlzatra. Ezzel brmely gyrt rzkel- vagy beavatkozelemei alkalmazhatk a hlzathoz. A rendszer fejleszti gondoltak arra is, hogy nem mindig elegend a kisram ignybevtelre mretezett srga kbel alkalmazsa. Mo-

170 torindt relk, mgneskapcsolk esetn a fekete kbelt kell hasznlni. A piros kbel pedig a 230 V-os hlzathoz alkalmas.
4.6.2.2. Az ASI kdolstechnikja

Az ASI rendszerben az informci sorosan kzlekedik, egy idben csak egy irnyban (fl duplex), vagy a master-tl a slave fel, vagy fordtott irnyban. Az adatbiteket Manchesterkdban kezeli a rendszer. E kdols jellegzetessge, hogy az egyes bitek idtartama kttt. A 0 bitet a bitid kzepn kialaktott lefutl reprezentlja, az 1-et a bitid kzepnl lv felfutl. A megolds elnye, hogy minden egyes bit tvitelekor (legalbb) egy jelszintvlts van, az egyms utni azonos bitek is jl felismerhetk. A kdot fogad egysgnl az idztsekre kell csak gyelni, ismerni kell a bitidk idpontjt. A 4.61a brn egy adatbitsorozat s Manchaster-kdja lthat. Az informciad a Manchester-kd 1 szintjnl jelents ramot vesz fel a tpegysgbl, a 0 szintnl kikapcsol, az ad tprama jelenti meg a kdot. Az ASI-vezetken a tpegysg kialaktsnak ksznheten pozitv s negatv feszltsgimpulzusok formjban jelenik meg a kd (4.61b bra) [1].

4.61. bra. ASI kdolstechnika (a) s jelalakok (b)

171 A buszvezetkek jelsorozatt alternatv impulzusmodulcinak (Alternative PulseModulation, APM) hvjk. A vevben kompartorok lltjk vissza a Manchester-kdot, amit logikai ramkrk rtkelnek, s vgl gy ll el az ad ltal kikldtt eredeti bitsorozat. Az adnl a tpram kt szintje 0 mA, ill. 60 mA (a tnyleges ramrtk 55...68 mA kztti lehet), a feszltsgimpulzusok amplitdja kb. 2 V. A bitid kttt: 6 s. A bitid kzepn alakul ki a vev bemenetn a pozitv vagy negatv feszltsgimpulzus (az informcis bit rtknek megfelelen). Ha kt azonos rtk bit kveti egymst, a bithatron is megjelenik egy tovbbi (ellenkez eljel) impulzus. Az ASI rendszer jelkbelvgeit nem kell specilis lezrelemekkel lezrni, a hierarchitl fggen a rendszerben nagyszm szabad kbelvg is lehet. A rendszerben a csatolsmentestst a tpegysgben lv RL-tagok valstjk meg. A rendszer klnbz pontjainak vevbemenetein klnbz rtk lesz a feszltsgimpulzus, de az ASI-egysgek erre fel vannak ksztve, ilyen krlmnyek kztt is hibtlanul mkdnek. A hibamentes, zavarrzketlen mkds alapfelttele a teljes szimmetria. A hinyz rnykols miatt a vezetkbe becsatold zavarok a szimmetria miatt azonos fzis zavarknt jelennek meg, gy nem befolysoljk a mkdst. Az ASI-egysgek fejlesztse s gyrtsa sorn klnsen nagy hangslyt kell fektetni a tkletes szimmetria biztostsra. A termkek vizsglata sorn csak azok az egysgek kapjk meg az ASI-termk jelzsviselsnek jogt, amelyek az igen szigor szimmetriakvetelmnyeket is kielgtik az egyb paramterek teljestse mellett.
4.6.2.3. ASI zenetformtuma s kommunikcija

Az ASI zenetformtumt a 4.62. bra mutatja [2].

4.62. bra. ASI zenetformtum Jellsek: ST SB A0...A4 I0...I4 PB EB startbit, 0 rtkkel a masterfelhvs kezdett jelzi vezrlbit, 0 rtke esetn adattvitel (adatfelhvs) vagy paramtertvitel (paramterfelhvs) indul, 1 rtke parancstvitelt (parancsfelhvst) jell cm (5 bit), a megszltott slave kivlasztsra szolgl 00h: null cm, a gyri j, belltatlan ASI slave kijellsre 01 h...1 Fh: az ASI slave cm (1...31d) informci (5 bit) paritsbit, ha az 1-ek szma pros (a START- s ENDE-bit nlkl), ez a bit 0, egybknt 1 rtk ENDE-bit, a masterfelhvs vgt jelzi (0 rtk). A slave vlaszbitjeinek szerepe megegyezik a masterfelhvsban szerepl azonos jel bitekvel, a vlaszban nincs cm, nincs vezrlbit s az informci mrete is kisebb (4 bit, 10...13).

A 14 bites masterfelhvs tartalmazza a cmet, ami a slave-eszkzt kivlasztja. A megcmzett slave vlasza 18...30 s sznet utn rkezik s 7 bitbl ll.

172 Az ASI rendszerben definilt legfontosabb masterfelhvsok: cmzfelhvs, parancsfelhvs, paramterfelhvs s adatfelhvs. A gyri j slave a 00h cmre reagl. A cmzfelhvs szolgl az ASI-kbelre csatlakoztatott j egysg zemi cmnek belltsra (a cm bellthat az ASI rendszeren kvl is a cmzkszlkekkel vagy a programoz- s szervizkszlkekkel, PSG). Ha mr minden slave rendelkezik az zemi cmvel, az ASI-kommunikci zemszerv vlik. Jellemzje, hogy ciklikus. A Master minden ciklusban, sorban megszltja (egyegy adatfelhvssal, ennek felptst lttuk a 4.62. brn) a rendszerben szerepl valamennyi slave-egysget, s fogadja azok vlaszt. A ciklus vgn egy paramterfelhvs s egy diagnzisfelhvs kvetkezik, s ezek utn a ciklus jraindul (4.63. bra) [2]. Komplett kipts esetn a teljes ciklus idignye 5 ms.

4.63. bra. A master-slave kztti ciklikus kommunikci temezse A master-slave kztti kommunikci idviszonyait a 4.64. bra [1] szemllteti.

4.64. bra. ASI kommunikci idztse A master trolt tblzatbl ismeri a rendszerben szerepl slave-ek cmt s jellegt. Ha egy adatfelhvs vlasz nlkl marad, a master ezt felismeri, s megismtli a felhvst. Ha msodszorra sem rkezik vlasz, a master tovbblp a ciklusban, de megjegyzi az esemnye-

173 ket. Mg tovbbi kt ciklusban fog a vlaszt nem kld slave-vel prblkozni a master (ktszer-ktszer), gy teht sszesen hatszor hvja fel a hibs egysget. Ha hatszor vlasz nlkl marad a felhvs, az adott slave adatait a master trli a tblzatbl. Az adatkommunikci biztonsga a kdolsi eljrs kvetkeztben igen j, az impulzusok jelalakja, idztse szmos olyan ktttsget tartalmaz, amit a vev ellenrizni tud. A hamis jeleket ki lehet szrni, a protokoll pedig paritsbitet is lehetv tesz. Ha mindezen intzkedsek ellenre hibs informci rkezik, a vev a hibt felismeri, s az informcit nem hasznlja fel. Ilyen esetben az adattvitel ismtlse krhet. Vgl a rendszer marad hibja igen alacsony rtkre szorthat. Az ASI a DIN 19244 szabvny szerint a legnagyobb adatintegritsi osztlyba tartozik. Az ASI-kommunikci az zembe helyezsi fzissal indul, ami a master bekapcsolsakor automatikusan megkezddik. A master az sszes lehetsges ASI-cmet sorban lekrdezi, s vlaszra vr. Ha egy megcmzett slave vlaszol, a paramtereit a master behelyezi egy bels tblzatba. A slave a profiladat bekldsvel vlaszol, a tblzatban teht a felismert cmek s a slave jellegt megmutat profiladatok vannak. A slave profilja szmrtk, ami a slave jellegt rja le. Ha a tblzat elkszlt, a master ttr az zemszer ciklusokra. Az induls eltt a felhasznl egy tervezett slave-tblzatot is elhelyezhet a masterben. Az zembe helyezsi fzis sorn ekkor is felpti a valdi helyzetnek megfelel tblzatot a master, majd a kt tblt sszehasonltja. Ha eltrst tall, indulsi hibajelzst kld a felsbb szint vezrlegysgnek. Ciklusonknt egy paramterfelhvsra van lehetsg, egy slave cmre. A paramterfelhvssal, pl. a mkdsi tartomny bellthat, a kapcsolsi kszbrtk vltoztathat. A diagnzisfelhvsban olyan cmeket krdez le a master, amelyek nem szerepelnek a bels tblzatban. Ha az ASI rendszerhez mkds kzben csatlakoztatnak egy slave-elemet (amelynek belltott cme van), e ciklusok egyikre reaglni fog. Mivel a ciklus idtartama legfeljebb 5 ms, legksbb 150 ms (azaz 30 ciklus) mlva a master mr berhatja az j eszkzt a tblzatba.
4.6.2.4. ASI kbele s tpegysge

A rendszer csatlakozit gy alaktottk ki, hogy a kt rszbl ll csatlakoz csak az ASIbusz specilis profil kbelt tudja fogadni (4.65. bra) [1].

4.65. bra. ASI-kbel

174 Az elektromos csatlakozst a klnleges rintkezk adjk, amelyek tszrva a kbel szigetelkpenyt tikkel stabil elektromos kontaktust teremtenek, mikzben megvjk a rendszer vdettsgt. A csatlakozt eltvoltva a kbel jra visszanyeri vdettsgt. Az ASI-kbel jellemzi: nem rnykolt, nem sodrott kteres lapos kbel; gyors csatlakoztats, vmprcsatlakozk; aszimmetrikus profil a polaritscsere meggtolshoz; vezetk-keresztmetszet: 21,5 mm2; ajnlott hossz: 20, 50 s 100 mter; srga kbel: adattvitel; 24 V tplls az rzkelknek s a beavatkozknak; fekete kbel: kln 24 V DC tpfeszltsg; piros kbel: 230 V kiegszt tpfeszltsg. Az ASI-busz szimmetrikus egyenfeszltsg tpegysgnek vzlata a 4.66. brn lthat [1].

4.66. bra. ASI tpegysg A tpegysg vdvezetkt a technolgiai mszerezs vdfldelsvel kell sszektni, minimum 1,5 mm2 keresztmetszet vezetkkel. A kiegszt tpfeszltsg (a fekete kbelen t) 24 V egyenfeszltsg. A gyrtk klnfle terhelhetsg ASI tpegysgeket knlnak (a maximlis terhelram rtke 2,2 A...7 A kztti). Az ASI tpegysg bemeneti oldala lehet 24 V egyenfeszltsg vagy 230 V hlzati feszltsg. Az ASI tpegysg a hlzat brmely pontjn becsatlakoztathat, de az a legmegfelelbb, ha a legnagyobb fogyaszts eszkz kzelben kapcsoljk az ASI-kbelre.
4.6.2.5. Az ASI rendszer elemei

Az ASI rendszer fbb elemei: ASI-master, amely vgzi a kommunikcit a slave-k s a master kztt, s egyidejleg kldi az informcit a vezrlegysg vagy magasabb rend buszrendszerek fel (PROFIBUS, Interbus stb.); ASI tpegysgmodul, amely a buszfeszltsget lltja el s a slave-k adatlevlasztsrl is gondoskodik; ASI-slave-ek, intelligens szenzorok (pl. induktv s kapacitv kzeltskapcsolk, otptoelektronikai rzkelk, ramlsrzkelk stb.), bemeneti-kimeneti egysgek (slave-ek). Az ASI rendszer n. egymaster-es rendszer (Single-Master System), azaz ASI rprokon csak egyetlen master szerep eszkz lehet. Ez hvja meg a ciklikus mkds sorn egyms utn az egyes slave-egysgeket, s fogadja azok vlaszt. A master az sszes csatlakoztatott slave-elemet kpes 5 ms alatt lekrdezni, azaz a master, ill. slave oldali adatok 5 msonknt aktualizldnak a mkds sorn. A master felgyeli az ASI-kbelen a feszltsgeket s az tvitt adatokat. Felismeri az tviteli hibkat ppgy, mint egy slave-kiesst, ezeket az

175 esemnyeket kpes jelenteni a flrendelt irnytsi szint eszkzknek. Norml zem kzben megengedett egy slave eltvoltsa vagy cserje, j slave-egysg beiktatsa. A vltozsokat a master automatikusan kveti s a pillanatnyi rendszerkonfigurcinak megfelelen mkdik. Az ASI-master kt f rsze a processzormodul s az analg egysg. A gyrtk klnfle megoldsokat ajnlanak a master felptsre, gy a felhasznl minden esetben az ignynek leginkbb megfelel mastervltozatot integrlhatja a rendszerbe. A processzormodulban ltalban egy hatkony, ltalnos cl mikrovezrl a CPU (tbbnyire a 8051 mikrovezrl csald valamelyik tagja). A processzoregysg feladata, hogy ltrehozza az ASI rendszer s a flrendelt irnytsi szint kztti illesztst. Nhny proceszszormodulban klnll, gyors mikrovezrlre bzzk az adatok prhuzamos-soros konverzijt, pl. egy Microcsip PIC 16C5x mikrovezrlre. Az analg egysg vgzi el az ASI-vezetk s a processzormodul kztti illesztsi feladatokat. Vtelkor a kttt bitid, adatfelpts, szabvnyos protokoll s a nagy biztonsgot ad kdols kihasznlsval az analg egysg igen megbzhatan dekdolja a vett jeleket, s logikai jelekk alaktja azokat. Adskor a processzormodul digitlis jeleibl az analg egysg lltja el az ASI-kbelre kijut jeleket. Az ASI-master leggyakoribb kialaktsi lehetsgeit a 4.67. bra foglalja ssze.

4.67. bra. ASI-master kialaktsai Lehetsges master kialaktsok: PLC kiegszt egysgknt kialaktott master; magasabb irnytsi szintet alkot buszrendszerhez illesztegysgknt kialaktott master (pl. Profibus, Interbus, VME bus lehet a flrendelt szinten); szemlyi szmtgpbe helyezhet, bvtkrtyaknt kialaktott master; RS 232C szabvnyos soros vonalhoz illesztegysgknt kialaktott master.
4.6.2.6. Az ASI rendszer ptsi vltozatai

Az alapelrendezsben minden slave prhuzamosan rkapcsoldik kt csatlakozpontjval az ASI-kbel kt erre. Ez az alapptsi forma is vltoztathat. A szoksos kialaktsokat a 4.68. bra szemllteti. A leggyakoribb topolgik a csillag, a vonal s a fa.

176

4.68. bra. ASI-slave felptsi topolgii Az alapelrendezs jellemzje, hogy minden ASI-rsztvev + pontja galvanikusan szsze van ktve egymssal, hasonlkppen az sszes rsztvev pontja is. Lthat, hogy a master, a tpegysg s a slave-elemek a kbelrendszer brmely pontjn csatlakoztathatk. Az alapelrendezs egyetlen komoly megktse: az ASI-kbel sszes hossza nem haladhatja meg a 100 m-t. Kiterjedtebb rendszer esetn ismtl- (repeater-) egysget kell beiktatni az ASI rendszerbe (4.69. bra).

4.69. bra. ASI-hlzat kialaktsa repeaterrel Az ismtl kpes arra, hogy sszekapcsoljon kt ASI kbelrendszert. Az egyik kbelen megjelen adatokat rzkeli, felersti s a msik kbelrendszerre kiadja. Logikai rtelemben az ismtlvel sszekapcsolt kbelrendszerek egyetlen ASI rendszerknt mkdnek, ramkrileg azonban az egyes ASI-szegmensek galvanikusan fggetlenek egymstl. Ez a magyarzata annak, hogy minden nll ASI kbelszegmensre sajt ASI tpegysget kell telepteni (master tovbbra is csak egyetlen lehet a teljes rendszerben). Egy ASI rendszerben akr tbb ismtl is lehet, de a master s brmely slave kztt legfeljebb kt ismtlegysg szerepelhet. Az ismtlkkel erstett rendszerben az ASI-kbel teljes hosszsga 300 m lehet.

177 Lehetsg van a slave-egysgek sszevonsval digitalizlt analg rtk tvitelre is az ASI-slave-k sszekapcsolsval. Az ASI-busz leginkbb a szerelsautomatizls s a mechatronika tern terjedt el. Az ASI-busz nyitott rendszer, amelyhez mig 60 orszg csatlakozott. Az egyik vezet vllalat a Groupe Schneider, amely j rzkelit, kapcsoleszkzeit mr az ASI-buszos technolgihoz gyrtja. Az ASI-busz nagy alkalmazja a Siemens, amely a hromszint informatikai rendszernek als szintjn hasznlja az ASI-buszt. A PROFIBUS DP-hez DP/ASI csatolval illesztett Siemens ASI rendszert mutat a 4.70. bra, amelyen lthatunk pldt az ASI csip nlkli s a csipes megoldsra is. Az ASI modulok vdettsge IP 67.

4.70. bra. Ktszint Siemens (PROFIBUS, ASI) rendszer felptse


4.6.3. CAN Bus

A CAN a Control Area Network rvidtse, kifejlesztse a Bosch s Intel cgek egyttmkdsnek eredmnye [8, 13, 25]. A CAN Bus kidolgozsnl az autipari alkalmazsbl ereden az albbi clkitzseket fogalmaztk meg: az adattviteli sebessg 5 Kbps1 Mbps kztti legyen, a rendszer legyen kpes rendkvl zembiztos s hibamentes tvitelre, az zenettvitel adattartalma legyen optimlis arra a minimlis adatmennyisgre, amely az autipari rzkelk, beavatkozk kezelsre elegend (08 bjt), a rendszer knnyen felpthet s kezelhet legyen, az zenetprotokollt kialakt elektronikai modulok tmeggyrtsra alkalmasak legyenek, a buszkipts, az llomsok csatlakoztatsa egyszer legyen. Az adattviteli sebessg meghatrozshoz a hathengeres motor 6000 n/min fordulata elgyjtsi szgnek kt gyjtszikra kztti idejt (~ 3,3 ms) vettk a vals idej mkdtets alapvet kvetelmnynek. Az eredetileg auts felhasznlsra tervezett CAN egyre jobban terjed ipari alkalmazsokban. A CAN-lapkkat a jrmvekben igen nagy szmban hasznljk, ezrt a lapkar alacsony. A CAN Bus fbb mszaki adatait a 4.9. tblzat [8] tartalmazza.

178 4.9. tblzat. A CAN busz mszaki adatai Buszhozzfrsi eljrs Adattviteli sebessg Topolgia Telegramszerkezet Buszhossz Buszrsztvevk szma tviteli md tviteli kzeg tviteli hibavdelem CSMA/CA 5 Kbps...1 Mbps busz Azonost, vezrlkd, Data Unit, hibaellenrz kd, vgkd 40 m (tlagos, kbeltl s tviteli sebessgtl fgg) 30 (a kiviteltl fgg) ISO 11898 szerinti (NRZ) rnykolt vagy rnykolatlan sodrott rpr CRC

A CAN nem rsztvev-orientltan (azaz cmekkel), hanem zenetorientltan mkdik, vagyis az elllt/felhasznl tpus buszok csoportjba tartozik. Ha egy rsztvev adatokat akar kldeni, sszelltja s azonostval ltja el a telegramot. Az azonost csak egyszer ltezik, ezrt ha egy rsztvev felismeri azt a buszon, egyrtelmen tudja, hogy mely adatok kvetkeznek. gy a busz minden rsztvevje az azonost alapjn el tudja dnteni, hogy akarja-e venni az zenetet, fggetlenl attl, hogy ki kldi. CAN rendszerben teht az adatok az azonost rvn tartalom szerinti cmzssel jutnak el a megfelel vevhz. Minden vevnek kell intelligencival kell rendelkeznie az adatok azonostshoz. A CAN rendszerben az informci kdolsa NRZ (Non Return to Zero) mdszer, teht az egyms utn kvetkez 1-es bitrtkek kztt a feszltsgszint nem esik vissza nullra. Ennek elnye, hogy egy bit tvitelhez egy bitid szksges, viszont a bitszinkronizci nehzkesebb, mint a minden bit tvitelnl tmenetet biztost kdok esetn. zenetorientltsga s a hozzfrsi eljrs kvetkeztben a CAN klnsen hasznos esemnyvezrelt alkalmazsokban. A CAN 2.0.B szabvny szerinti zenetkeretei: norml zenetkeret (4.71a bra); kibvtett zenetkeret (4.71b bra); krses zenetkeret (4.71c bra); hibazenetkeret (4.71d bra); tlterheltsg-zenetkeret (4.71e bra).

179

4.71. bra. zenetkeretek bitfunkcii a CAN rendszerben

180
4.6.3.1. A CAN zenetkeretek bit- s bjtfunkcii

A CAN zenetkeretek bitmezinek felosztsa: SOF (1 bit), arbitrcis mez (12 vagy 32 bit), ellenrz mez (6 bit), adatmez (08 bjt), CRC-mez (16 bit), nyugtzmez (2 bit), EOF mez (7 bit). START-bit (SOF, Start of Frame) A START-bit szerepe egyrszt a CAN rsztvevk sajt rajeleinek szinkronizlsa, msrszt az zenet indtsa az els dominns (0) bit rvn. A buszvonal alaphelyzetben magas (1) szinten van s amennyiben valamelyik rsztvev hasznlni kvnja, azzal kezdi az adst, hogy a vonalat alacsony, azaz 0 szintre hzza. Ez a feszltsgszint-vltozs (1 0 tmenet) azt eredmnyezi, hogy a tbbi rsztvev sajt rajelt szinkronizlja az ppen ad egysghez. Erre szksg van, mert az tviteli sebessghez szksges rajelet minden rsztvev sajt kvarcoszcilltora lltja el, gy ezeket szinkronizlni kell. Ahny jeltmenet van az zenetben, annyiszor trtnik meg a rsztvevk rajelnek szinkronizlsa. A mindenkori ad ltal a buszvonalra ltetett zenet (bitfolyam) leolvasshoz alapkvetelmny, hogy a vev a sajt rajelbl pontosan akkor kpezze az olvasimpulzust, amikor az egysgnyi bitrtk a bemenetn megjelenik (4.72. bra).

4.72. bra. NRZ kd mintavtelezse A szinkronizlst a CAN-csip BTL (Bus Timing Logic) idztlogikja vgzi gy, hogy akr egyetlen bitidn bell kpes az olvassi id belltsra. Az n. kemny szinkronizls a START-bit lefutlre indul s zenetolvass kzben is folyamatos utszinkronizls trtnik, figyelemmel a vevket sszekthet kbel jelterjedsi idejre. Az NRZ-kd az egyms utni azonos bitek esetn nem nyjt jeltmenetet, ezrt a szinkronizls biztostsra vezettk be a bitbeltetsi szablyt. A START-bit feladata a dominns bit ellltsa. Ha a buszvonal szintje 1-es (recesszv), akkor mindig trhat 0-ra, de fordtva nem. Ennek az elvnek a felhasznlsval valsthatk meg a klnfle jelzsek (pl. adsigny, tviteli hiba) a rendszerben, hiszen elg a buszvonalat brmikor egy vagy tbb temnyi idre trni 0-ra. Az trst fizikailag a buszvonalat recesszv szinten tart ellenllsosztra kapcsold tranzisztorok vgzik (4.73. bra).

181

4.73. bra. A buszvonal ramkri kialaktsa ARBITRATION (dntsi) bitmez CAN rendszerben a buszhasznlat jogosultsgnak eldntsre s az adatok azonostsra szolgl a START-bitet kvet arbitrcis bitmez. E mezben tallhat 11 + 1 bit (CAN 2.0.A) vagy 11 + 2 + 18 + 1 (CAN 2.0.B). Mindenkori 2-es szmrendszerbeni rtke dnti el a buszhozzfrst gy, hogy minl kisebb ez a szmrtk, annl nagyobb a buszhozzfrsi priorits. A tnyleges arbitrcis bitek szma CAN 2.0. A esetn 11, CAN 2.0.B esetn 29. A CAN rendszerben nincsenek elsbbsget lvez rsztvevk, hanem az zenetek tartalma kztt van priorits, gy mindig a magasabb priorits zenet kerl a vonalra. Mivel a dominns jel a 0, ezrt a legmagasabb zenet arbitrcis bitsorozatnak szmszer rtke lesz a legkisebb a 2-es szmrendszerben. gy az ilyen zenetet ad rsztvev fogja alacsony szintre hzni a buszvonalat. Ehhez az zenethez rendelt arbitrcis mez ugyanis a tbbi buszhozzfrst biztost kezdemnyezvel sszehasonltva a legtbb dominns bitet tartalmazza a legmagasabb helyirtkeken. Az zenetek prioritsi sorrendjt a rendszerterveznek kell meghatroznia s ennek megfelelen programoznia. Egy jabb lloms rendszerbe iktatsnl a prioritsi sorrendet t kell programozni, kivve amikor ez adja a legalacsonyabb priorits zenetet vagy csak vevknt fog zemelni. Az zenetek fontossgi sorrendjnek sszelltst s az arbitrcis kd meghatrozst mutatja a 4.10. tblzat egy autipari pldn [25]. Arbitrcis bitek sorszma Mrhelyzenetek fontossgi sorrendje 1. Motor 2. Hajtslnc 3. Fkrendszer 4. Hts 5. Vilgts 6. Ajtk 7. Szlvdmoss/trls 8. Mszerfal 4.10. tblzat. Arbitrcis mez prioritsnak meghatrozsa 11 10 9 8 7 6 5 4 3 2 1

0 0 0 0 1 1 1 1

0 0 1 1 0 0 1 1

0 1 0 1 0 1 0 1

X X X X X X X X

X X X X X X X X

X X X X X X X X

X X X X X X X X

X X X X X X X X

X X X X X X X X

X X X X X X X X

X X X X X X X X

A tblzat bitkiosztsa szerint a magasabb helyirtk (itt sorszm) bitek kzl minl tbb dominns, annl fontosabb az zenet, gy annl magasabb prioritst lvez. Az X tetsz-

182 leges bitrtkre utal. A tbbi buszvonalat hasznlni igyekv ad is folyamatosan sszehasonltja a busz pillanatnyi feszltsgszintjt s a sajt adst. Az els tbitnyi idben mindhrom lloms ad jelet a vonalra. Amint valamelyik azt rzkeli, hogy az ltala kiadott arbitrcis kd alacsonyabb priorits, mint a buszon mg adsjogrt versenyzk brmelyiknek kdja (azaz 1-est igyekezett a vonalra felvinni s mgis 0-t rzkel), akkor visszalp s a tovbbiakban mr csak mint vev figyel, htha neki (is) szl az zenet. Az tkzst elkerl (CSMA/CA) buszhozzfrsi eljrs biztostja azt az alapfelttelt, hogy csak egyetlen zenet lehet egy idben a buszvonalon. A buszhozzfrsi jogosultsg eldntsnek folyamatt hrom adni kvn lloms esetben a 4.74. bra szemllteti.

4.74. bra. tkztets szemlltetse a CAN-buszon A 4.74. brn megfigyelhet, hogy a klnbz arbitrcis kdok esetn a legmagasabb priorits zenet (3. lloms) jelenik meg a buszvonalon. Az bra szemlletesen mutatja, hogy a busz recesszv bitje mindig trhat dominnsra, de fordtva ez nem lehet. Elsknt a 2. lloms vlt t adsrl vtelre az 5. bitidben, majd az 1. a 2. bit idejn. A vevk minden zenetet vesznek, de csak a berkezett zenet nyugtzsa utn kezdik megvizsglni, hogy nekik szl-e az zenet az arbitrcis mez alapjn. Ehhez a vevknek kell rendelkeznik az ehhez a dntshez szksges intelligencival, amit gy rnek el, hogy az egyes vevk memrijba a rendszertervez beprogramozza azon zenetek vagy zenetcsoportok kdjt, amelyet a vevnek el kell fogadnia. gy a cmzs indirekt formj. Egyetlen esetben trtnhetne snkonfliktus kt, a buszt hasznlni igyekv rsztvev kztt, ha mindketten ugyanazt az arbitrcis bitsorozatot ltetik a vonalra. Ez a hatreset azrt fordulhat el, mert a rendszerben nem csak adatokat lehet egy zenetben kldeni, hanem adatkrst (Remote Frame) is vgre lehet hajtani. Az adatkr zenetkeret (4.71c bra) bitfunkciibl kiderl, hogy az adatmez hinyzik, hiszen adatkrsrl van sz. Ha az egyik rsztvev ppen megkezdi azon adatok adst, amelyet egy msik rsztvev egyidejleg kr, akkor az arbitrcis mezk azonossga miatt nem dnthet el, hogy ki jogosult a busz hasznlatra. A dntst az arbitrcis mezt lezr RTR-bit (Remote Transmission Request, adattviteli krelem) hozza meg, hiszen ads esetn ez dominns (0), mg a krelem esetn recesszv (1) rtk. Teht az adatkld lesz a buszhasznlati jog, gy snkonfliktus nem lp fel. A CAN 2.0.A rendszer norml zenetkeretnek (4.71a bra) 11 arbitrcis bitje sszesen 2048 azonostkdot (identifier) tesz lehetv, de ebbl csak 2032 realizlhat, teht enynyifle zenet kapcsoldhat a buszvonalra. Nagyobb rendszer kialaktst biztostja a CAN 2.0.B szabvny zenetkerete, amelynl az arbitrcis bitek szma 29, ami elvileg 536 870 912 klnfle zenet tovbbtst biztostja. Ilyen nagyszm zenet esetn fennll annak a veszlye, hogy az alacsony prioritsak csak igen ritkn (szls esetben sohasem) kapnnak buszhasznlati jogot, ami a rendszert lebnthatja.

183 A kibvtett zenetkeret az els 11 azonostbit utn SRR (Substitute Remote Request, adatkrsptl) bitet tartalmaz, amely a norml zenetkeret RTR bitjt helyettesti, de rtke mindig recesszv (1). A kvetkez IDE bit (Identifier Extension, kibvtsazonost) rtke egyrtelmen mutatja, hogy norml (IDE dominns), vagy kibvtett (IDE recesszv) zenetformtumrl van sz. A kibvtett arbitrcis mez a norml keretben hasonlan itt is RTR bittel zrul. Az SRR bit jelentsge, hogy segtsgvel egy kzs buszvonalon mindkt zenetfajta (norml, ill. kibvtett) egyidejleg hasznlhat megfelel hardver- s szoftverfelttelek mellett. Az SRR bit csak akkor rhat t dominnsra, ha a buszvonalrt verseng hasznl az els 11 arbitrcis bit alapjn a legmagasabb priorits, radsul ppen adatkzl zenetet akar kldeni. A verseny ebben a pillanatban eldl, hiszen az SSR bit dominns rtket vesz fel s ezzel gy az alap-, mint a kibvtett mdot hasznl tovbbi rsztvevk kiesnek a buszvonal megszerzsrt folytatott kzdelembl, vagyis erre az zenetre mr csak vevk lehetnek. Amennyiben a fentiek szerint ltszatra adsjogot nyert lloms csupn adatkr zenetet szeretne kldeni, akkor a buszvonal hasznlatrt foly verseny mg nem dlt el, mert az SRR bit marad recesszv, a kvetkez IDE bit rtke pedig megmutatja, hogy az arbitrcis mez s ezzel egytt a verseny folytatdik-e a CAN 2.0.B kibvtett formtumt hasznl llomsok kztt. Ha a kibvtett formtumot hasznl rsztvev versenyben maradt, mert els 11 azonostbitje megegyezett a norml rendszerbeli versenytrsval, mg mindig nem biztos, hogy az adsjogot is megszerezte. Csak akkor nyeri el a buszhasznlati jogot, ha adatokat akar kldeni (RTR dominns), de ha adatkrst ksrel meg (RTR recesszv), akkor az alaprendszer adatkrse a gyztes. A lertakbl kiderl, hogy a ketts zemmdban mindig az alapvltozat (CAN 2.0.A) zenetei lveznek felttlen prioritst a bvtett vltozattal szemben. CONTROL (ellenrz) bitmez A CONTROL bitmezben elhelyezked 6 bit tartalmazza a rendszerkdot, valamint azt az sszegkdot, amelyet az zenetben soron kvetkez adatmezben tallhat adatbjtok kpeznek. Mivel a CAN rendszerben 0-8 bjt kztt vltozhat az adatmez kitltttsge, ezrt az adathossz elzetes megadsra 4 bit elegend. A maradk 2 bit kzl az els (IDE) dominns 0 rtke azt tudatja a rsztvevkkel, hogy a CAN 2.0.A rendszerben hasznlt 11 bites arbitrcis formrl van sz, mg ennek recesszv rtke (1) a kibvtett 29 bites CAN 2.0.B rendszer zenetre utal. A msodik bit (r0) fenntartott (rezervlt) bit, amely a tovbbfejlesztseknl jelentsek, rtkk dominns, azaz 0. A CONTROL-mez a hibafelismersben is fontos szerepet jtszik, hiszen kzli a vevvel, hogy hny bjtot tartalmaz az zenet. Ha a vett zenet adatbjtszma eltr a CONTROL-mezben megadott rtktl, akkor az tvitel kzben hiba trtnt. DATA (adat-) bitmez Az zenet kvetkez mezeje az adatmez. Ebben bjtokban ssszefogott adatbitek tallhatk gy, hogy a bjtok szma 0-tl 8-ig rugalmasan vltozhat. A vltoz hosszsg adatmez az egsz zenet hosszt is megvltoztatja, hiszen az adatkrs 0 adatbjtot tartalmaz, gy az ilyen zenet lnyegesen (ppen 64 bitidvel) rvidebb, mint a teljes, 8 adatbjtot kihasznl adattovbbts. Az zenetek tvitelhez szksges id vltozik az adatmez hossznak fggvnyben. CRC (hibafelfed) bitmez A kvetkez 15 + 1 bitet tartalmaz mez a ciklikus redundancia vizsglathoz szksges kdot (CRC) tartalmazza. A CRC-kd kpzsrl a 4.1.6.4. szakaszban volt sz. A CAN rendszerben alkalmazott CRC segtsgvel H = 6 Hamming tvolsg rhet el. Szmtsok szerint a maradk hiba valsznsge hv = 2-15, azaz ~310-5. Gyakorlatilag ez azt

184 jelenti, hogy tlagos tviteli sebessg s zenethossz esetn egy gpjrm teljes zemi lettartama alatt az adott hibavalsznsg mellett kb. 300 a fel nem ismert hibs zenetek szma, holott ennyi id alatt megkzelthetleg 10 000 000 zenet tvitelre kerl sor. CAN-busz esetn a hibavizsglat a kvetkez: az ad a buszra kijuttatott zenetbl, mg a vevk a kapott zenetbl szigoran azonos szablyok alapjn 15 bitbl ll CRC-kdot kpeznek. Amennyiben a kldtt s a vett rtkekbl szmtott CRC-kd megegyezik, a vtel hibtlan volt, ha nem, akkor hibajelzst kell generlni. ACKNOWLEDGEMENT (nyugtz) bitmez A nyugtzmez 1 + 1 bitbl ll s arra szolgl, hogy a vett zenet hibs vagy hibtlan voltt visszajelezze az zenetet kldnek. A kt, eredetileg recesszv bit kzl jelzfunkcija csak az elsnek van. Mivel az ad ltal kikldtt zenetet minden vev figyeli s ha brmelyik hibtlannak tallja, akkor ezt az els (ACK, Slot) bitet 1-es rtkrl trja 0-ra, azaz dominnsra. gy az ad figyeli sajt adst, azonnal szleli, hogy legalbb egy vev hibtlannak itlte az adott zenetet. Hibs zenet esetn a vev recesszv llapotban hagyja az ACK, Slot bitet, amirl az ad felismeri, hogy egyetlen vevhz sem jutott el kifogstalan zenet, gy azt meg kell ismtelni. A msodik bit mindig recesszv s csupn a nyugtzmez vgt jelzi. EOF (End of Frame, keret zenet/vge) bitmez A CAN rendszerbeli zenet keretformtuma egy zenet vge mezvel zrdik, ami 7 recesszv bitet (1) tartalmaz. Errl ismeri fel a vev, hogy az zenet vget rt. A kvetkez zenet megkezdsig az elz zenetet vev egysgeknek egy megadott id szksges a feldolgozshoz, de legalbbis az adatok eltrolshoz. A minimlisan szksges id hrom bitid, amit egy kzbens (Interframe Space) mez biztost. A kvetkez zenet kezdetig lnyegesen tbb id is eltelhet, hiszen ez a mindenkori rsztvevk zenetkldsi aktivitstl fgg. Az EOF-mezben a bitbeltetsi szablyt nem rvnyestik. A bitbeltetsi szably az egymst kvet, azonos rtk bitek maximlt szmval fgg ssze. A CAN rendszer tervezi kiktttk, hogy egy adatkr vagy adatkld zenetben a START-bit s a CRC-mez vge kztti bitsorozatban egyms utn legfeljebb t azonos bitrtk fordulhat el. Ez az igny elssorban azrt fogalmazdott meg, mert az NRZ bitkdols miatt az egyms utn kvetkez azonos bitrtkek esetn nincs meredek feszltsgvltozs, ezrt nincs a bittvitel kzben szinkronizls, gy elbb-utbb hiba lphet fel az zenet beolvassakor a vevnek az adhoz viszonytott rajel elcsszsa miatt. A bitbeltetsi szably alapjn, ha az zenet t egymst kvet recesszv (1), vagy dominns (0) bitet tartalmaz fggetlenl attl, hogy folytatsknt milyen bit kvetkezne, az ad egy ellenttes bitrtket iktat be. Ezt a beiktatott bitet a vevk rtkelskor kidobjk a bitsorozatbl, de errl a beiktatott bitrl tudjk, hogy a kt zenetfajta (adatkzl vagy adatkr) valamelyike van a buszvonalon. A bitbeltetsi szably fennhatsga a kt eddigi zenetfajtnl a START-bittl kezdden a CRC-mez zrbitjig terjed.
4.6.3.2. CAN-zenetek

ERROR (hiba-) zenet

A hibazenet (4.71d bra) az egsz rendszer mkdsre hat. Amennyiben legalbb egy vev menetkzben felismeri a tovbbtott zenet hibs voltt, akkor leadja a hibazenetet. Az zenet hibajelzssel kezddik (Error Flag), ami hat dominns bit buszra jutst jelenti. Minden vev azonnal felismeri, hogy az n. bitbeltetsi szably srlt, hiszen legfeljebb t azonos bit kvetheti egymst a szigor elrsok szerint.

185 Amennyiben ms vevk is egyetrtenek a hibajelzssel, akkor beltetnek mg egy hibajelzst az zenetbe, ezrt a hibazenet minimum hat s maximum 12 dominns bitet tartalmazhat, majd nyolc recesszv bittel zrul. Ez a hibazenet vge, ami szintn kivtel a bitbeltetsi szably all. A hibajelzs (6-12 dominns bit) megjelensekor az zenetet minden vev automatikusan elveti, azaz rvnytelen zenetknt kezeli. Clszer megjegyezni, hogy az zenetet ad is kldhet hibazenetet, hiszen az ads alatt sajt kiadott bitsorozatt is folyamatosan hasonltja ssze a buszon lvvel (monitoring zem), s eltrs esetn azonnal hibazenetet kld. OVERLOAD (tlterheltsg-) zenet Ez az zenet (4.71e bra) sszesen kt bitmezbl ll: a tlterheltsg-jelz s az zenet vge bitsorozatbl. Ilyen zenet kt esetben jelenhet meg a buszon, ha valamelyik vev feldolgozprocesszora mg egy kis idt kr a korbban kapott adatok kezelshez, vagyis nincs mg ksz j zenet vtelre, vagy ha az zenetkeretek kztti sznetidt (Interframe Space) biztost hrom recesszv bit valamelyike helyett dominns bitet szlel. A tlterheltsg-zenet a kvetkez zenet buszra helyezst gtolja. A tlterheltsget jelz zenet a hibajelzshez hasonlan hat dominns bitet juttat a buszra, majd ezt az zenetet is nyolc recesszv bit zrja. A tlterheltsg-zenetet az Interframe Space els recesszv bitjnek trsval kezdi az egyik rsztvev, mire a tbbi, rzkelve a sznetidben fellp dominns bitet, leadja sajt tlterheltsg-zenett is, ami gy sszesen ht dominns bitre nvekszik, majd az zenet vgt jelz nyolc recesszv bit jelenik meg, amelyekre nem rvnyes a bitbeltetsi szably. A tlterheltsg-zenet dominns bitjei nem rjk t az zenet maradk rszt, gy nem is teszik azt hasznlhatatlann, hiszen a tlterheltsgjelzs csak az zenetek kztt fenntartott pihensi idben indthat. A CAN rendszer kivl hibafelismer kpessggel rendelkezik, jllehet olyan alkalmazsokra szntk, ahol rendkvl magas zavarszintek is elfordulnak. Ttelezzk fel, hogy a CAN-buszon 500 Kbit/s a bittviteli sebessg. Olyan a krnyezet (rendkvl ersen zavart), hogy 0,7 msodpercknt fellp egyedi bithiba. Amennyiben a rendszer napi nyolc rt dolgozna s mindezt vente 365 napon keresztl tenn, akkor kereken 1000 vig tart zemid alatt lphetne fel egyetlen olyan hiba, amit a rendszer nem ismerne fel. A hibakezels megoldsa olyan, hogy a hibs zenetek nem vezethetnek hamistshoz, hiszen nem is kerlnek feldolgozsra [25].
4.6.3.3. Hibk felismerse a CAN rendszerben

Bithiba felismerse A bithiba felismersi hatkre a Start-bitre, majd a Control-mez kezdbitjtl a CRC-mez zrbitjig terjed ki. Az arbitrcis mez azrt kivtel, mert itt ppen a felismert bithiba tudatja az adni szndkozval, hogy magasabb priorits zenet kerl a buszra, mint az v, de ezrt nem kell hibazenetet leadni. A rendszer mkdsekor az sszes rsztvev figyeli a buszon lv adst, mg az ppen zenetet ad is, teht azonnal felismeri, ha az ltala adni kvnt bitrtk helyett annak ellenkezje jelenik meg. Ekkor megszaktja adst s vgrehajtja a szksges hibakezel rutint. Bitbeltetsi hiba felismerse Amennyiben brmelyik rsztvev ezen szably srlst szleli, azonnal tudja, hogy adattviteli hiba trtnt, teht a felismers pillanatban hibakezel rutinra vlt.

186 CRC-hiba felismerse A CRC-hiba felismersi hatkre a Start-bittl az adatmez zrbitjig tart. Amennyiben a CRC-mezben elkldtt ellenrz sszeg s az zenetvev ltal szmtott vizsglsszeg nem egyezik, a vev hibakezel rutinra vlt. ACK-hiba felismerse Amikor az zenetad azt tapasztalja, hogy a recesszv bitknt kldtt ACK-Slot bitet egyetlen vev sem rta t dominnsra, vagyis minden vev hibsnak tlte, akkor tvlt a hibakezel rutinra. Formai hiba felismerse A rendszerben kldhet zenetek szigor formai elrsoknak tesznek eleget. gy pldul a CRC- s az ACK-mezk zrbitje s brmelyik zenet vgt jelz mez bitsorozata mindig recesszv. Ha akr csak egyetlen dominns bit is szlelhet, az egyrtelmen tviteli hibt jelez. A formai hiba felismerse a hibakezel rutint kivltja. Amennyiben a hibazenet egyetlen vev rszrl folyamatosan ismtldik, ami automatikusan kivltja az ad lland zenetismtlst is (a szablyok szerint a hibazenet megjelense utn 31 bitidvel ksbb), akkor ez a vev statisztikai elemzs alapjn sajt hibazenett letiltja (error passive), mert meghibsodsa valsznsthet, de a helyesnek tlt zeneteket tovbbra is visszaigazolja, vagyis a rendszer tbbi eleme normlisan mkdhet tovbb. Amennyiben a meghibsods slyosabb, akkor a srlt lloms kpes nmagt lekapcsolni a buszrl s teljes passzivitsra (bus passive) vltani.
4.6.3.4. Mkdsi kritriumok, alkalmazsi megfontolsok

A CAN-szabvny ktfle sebessg vltozata terjedt el: kis sebessg CAN rendszer 5125 Kbps; nagy sebessg CAN rendszer 0,51 Mbps. Az els CAN integrlt ramkrt (AN 82526) az Intel cg fejlesztette ki. A CAN integrlt ramkrk funkci szerint kt csoportra oszthatk, CAN controller-ek s CAN transceiver-ek. A CAN controller a CAN protokollal kapcsolatos mveleteket vgzi a berkez s a kikldtt adatokon. A CAN transceiver a controller adatait alaktja a buszhoz s viszont. A CAN controller az albbi feladatokat ltja el. Adatklds A mikrovezrlktl kapott adatbjtok, arbitrcis mez, kontrollmez s az RTR bit mint elengedhetetlenl szksges alapadatok ismeretben megtrtnik a CRC-mez adatainak kiszmtsa, az zenet keretformba rendezse, a buszvonal megszerzse, az adatok elkldse, a monitoringzem elltsa s a hibk kezelse s szksg esetn az zenet ismtlse stb. zenetvtel zenetvtelnl a kvetkez mveletek hajtdnak vgre: a szinkronizlsi folyamat fenntartsa, az akceptanciavizsglat (BasicCAN vagy FullCAN vltozattl fggen a mikrovezrlt jobban vagy kevsb terhelve) elvgzse, a kontrollvizsglat lefolytatsa, a CRC-vizsglat s ellenrzs elvgzse, a vett adatok tovbbtsa a mikrovezrlhz stb. A ktvezetkes CAN-busz egy CAN H s CAN L vezetkbl ll. A kt vezetk rendszerint bifilris, azaz ellensodrott rnykolatlan vagy rnykolt kivitel. A CAN-jelek zavarvdettsgt az ellenfzis jelek, a bifilris kbelezs nveli. A CAN IC vev bemenete diffe-

187 rencilersts kialakts, teht mkdtetshez szimmetrikus (ellenfzis) jelet ignyel. A CAN H s CAN L vezetkek feszltsgviszonyait a 4.75. bra szemllteti.

4.75. bra. CAN buszvonal feszltsgszintjei A CAN H s CAN L buszvonalak kztti feszltsgklnbsg rtke: recesszv (1) bit tvitelekor 0V (max. 0,5 V), mivel mindkt vonal 2,5 V-on van, dominns (0) bit tvitelekor 2 V (min. 0,9), mivel a H vonal 3,5 V, az L vonal 1,5 Von van. A lertak alapjn a CAN transceiver feladatai: vtelkor a buszvonalakon rkez zenetbiteket kell ttennie a controller ltal rtelmezhet TTL szintre (0+ 5 V), adskor a controller TTL szint kimenjeleit kell talaktani a 4.75. bra szerinti CAN H s CAN L szintekre. Ezt szemllteti a 4.76. bra, ahol d a dominns, r a recesszv bitre utal.

4.76. bra. CAN transceiver funkcija A vonali feszltsgrtkek tipikusak, de nem ktelezen elrtak. Pldul a 24 V-os tplls haszonjrmveknl magas jelszint transceiver-eket alkalmaznak, amelyeknl a vonalak kztti feszltsgklnbsg az 1-es szint esetn 9 V, mg a 0 bitrtk esetn 24 V. A magas jelszint kialakts alacsonyabb bitsebessget tesz lehetv a jelfelfuts okozta elektromgneses zajok miatt, ugyanakkor nagyobb zajvdettsget biztost. A transceiver-ek dnt tbbsge akkor is mkdkpes marad, ha egy msik egysg kimenete vagy akr sajt vonalmeghajt tranzisztorainak valamelyike zrlatoss vlik. A CAN-szabvny igen szigor elrsokat tartalmaz a buszkbelre. A maximlis kbelhossz megadsnl nagyon fontos a jelterjedsi id. Az ad ltal kiadott dominns s recesszv bit a jelterjedsi idt figyelembe vve klnbz ksssel rkezik az egyes alllomsokhoz. Fontos, hogy az gy kialakul legnagyobb idkss nem rheti el a mindenkori bitid felt, hiszen az zenet visszaigazolsa ugyanazzal az idksssel jelenik meg a vevtl az adhoz. A

188 CAN-hlzat kialaktsnl a buszvonalhossz mellett a legazshossz is fontos. A legazsok reflexis pontok, amelyek tovbbi csillaptsokat s jelterjedsi idnvekedst okoznak. Ezrt a legazkbelek hosszsgt is maximljk (4.77. bra). Pldul 2 Kbps adattviteli sebessg mellett a legazkbelek egyenknti hossza legfeljebb 2 m lehet, de ennl nagyobb sebessgeknl mr csak 0,3 m. A legazsok teljes hossza egyik esetben sem haladhatja meg a 30 mtert [25].

4.77. bra. Legazsos llomscsatlakoztats CAN-busz esetn A CAN-processzorok kzl a Microchip MCP 2510 CAN vezrl felptst mutatjuk be vzlatosan. Az ramkr blokkvzlata a 4.78. bra szerinti [13]. Az eszkz f rszei: a CAN protokollvezrl (protocol engine), a vezrlrsz s az SPI protokoll-interfszblokk.

4.78. bra. MCP 2510 CAN vezrl vzlata A CAN protokollvezrl kezeli a CAN-busz hozzfrsi folyamatt, valamint az zenetek vtelt s adst. Ennek a rsze a CSMA/CA tpus buszhozzfrs-vezrllogika. A vezrlegysg fknt a megszaktskezelst biztostja, mg az SPI interfsz (soros perifriailleszt) rvn tart kapcsolatot a klvilggal. Tbb mikrovezrlbl ll CAN rendszer lthat a 4.79. brn [13].

189

4.79. bra. MCP 2510 CAN rendszer felptse Az brbl kitnik, hogy a CAN-busz fknt mikrovezrlvel kombinlt egysgeket kpes kezelni. A jvben a CAN-busz nagy mrv elterjedse vrhat. Erre utal a CANprotokolltl eltr, 1995-ben elfogadott CAN-open szabvny.

4.7. Rb-s terek hlzatai


A robbansveszlyes (Rb-s vagy Ex-es) krnyezetben a villamos berendezsek csak klnleges vdettsg mellett zemeltethetk. Az Rb-s terek mszerezse specilis ismereteket s eszkzket ignyel. A megfelel robbans elleni vdelmet klnleges szerkezeti megoldssal (pl. tlnyomsos szellzs, tlnyoms alatti vdelem, nyomsll tokozs, stb.), msrszt gyjtszikramentes vdelemmel oldjk meg. A gyjtszikramentes vdelem alkalmazsa vdi az ramkrt a robbanst elidz energia keletkezsvel szemben. A villamos ramkr akkor gyjtszikramentes, ha a krnyezetben lv robbanskpes elegy gyjtst sem norml, sem zemzavari llapotban az ramkrbl szrmaz kislssel (szikrakpzds), vagy az ramkr elemeinek melegedsvel elidzni nem tudja. Az irnytstechnika, mrstechnika terletn a leggyakrabban a Zener-gtas vdelmet hasznljk, amely biztostja, hogy az ramkrben az energiaszint zemszeren mindig a gyjtszikramentes hatr alatt maradjon. Javthat a vdelem a tpegysg galvanikus levlasztsval. A gyjtszikramentes vdelem kvetelmnyeinek a villamos kszlkek vezetkezsnek is meg kell felelni. Ezrt is jelentsek az Rb-s terek terepi buszai. A hlzaton megengedett teljestmny fgg a terepi eszkzktl s a kbelezstl. A FISCO (Fieldbus Intrinsically Safe Concept) a kvetkez hatradatokat adja meg: a II. B. csoportra a II. C. csoportra Uo = 15 V-nl, Uo = 15 V-nl, Io < 280 mA; Io < 128 mA.

Ezen energiakorlt miatt egy-egy buszszegmensre 48 (max. 10) rsztvev (rzkel/beavatkoz) csatlakozhat. Emiatt a redundns rendszer kialaktsa nehzkes.

190 Kifejlesztettk a robbansveszlyes trben alkalmazhat Remote I/O egysgeket, amelyek az Rb-s buszra csatlakoznak s az Rb-s trben mkdhetnek. Ily mdon max. 128 eszkz csatlakoztathat (pl. TURK Excom). Rb-s terek esetn a kvetkez terepi buszok hasznlatosak: a PROFIBUS PA, specilis terepi buszok s a FOUNDATION FIELDBUS. A Siemens PCS 7 rendszer alkalmazst mutatja a 4.80. bra Rb-s terekben. A rendszer hagyomnyos, ill. a PROFIBUS PA buszra alapozott megoldst, st Rb-s terekben hasznlhat opertorpanelt is tartalmaz.

4.80. bra. Rb-s terek mszerezse PROFIBUS PA hlzattal A Foundation Fieldbus H1 a gyjtszikramentessget is tmogatja. Ilyenkor egy szikragtat kell elhelyezni a biztonsgos terleten lv tpegysg s a veszlyznban lv gyjrszikramentes eszkzk kz. A jelforrs 10 mA-es ramlksjelet ad 31,25 kbit/s sebessgen, 50 ohm-os terhellezrsra, ami cscstl cscsig 1 V-nak felel meg a fogadnl. Rb-s terleteken alkalmazhat a Sensoplex rendszer, ami a TURK cg sajt buszrendszere, amelyet az autiparral egyttmkdve fejlesztettek ki fknt hegeszt robotrendszerek szmra. A Sensoplex 2 rendszert ajnljk az Rb-s terletek szmra. Az llomsokat (bus-stop) kiegszt biztonsgi intzkedsek nlkl lehet felszerelni a veszlyeztetett kls terleteken. ramelltsra s kommunikcira koaxilis kbel szolgl. Ily mdon maximlisan 256 rzkel s beavatkoz rhet el 5 ms-os ciklusidvel a masterllomsrl. A Sensoplex rendszer PLC-hez illesztse a megfelel master-stationon keresztl trtnik a 4.81. bra szerint.

191

4.81. bra. TURK master-station csatlakoztatsa PLC-hez, ill. a be/ki modulokhoz Nhny PLC, amelyekhez rendelkezsre ll a megfelel master-station: Siemens, Allen Bradley, Klckner-Moeller, GEC, MODICON, PROFIBUS DP, Device NET. Foundation Fieldbus rendszer konfigurcit szemlltet a 4.82. bra TURK bus-station elemekkel [24].

4.82. bra. Foundation Fieldbus rendszerkonfigurci TURK-elemekkel A rendszer elemei: 4 port FF Hub, 6 port FF Hub, 1 mteres kbel a vezrltl a Hubhoz, 1 mteres kbel a Hub-ok kztt, vglezr ellenlls. Sensoplex 2 rendszer kialaktsa lthat a 4.83. brn Rb-s trbeni hlzathoz.

192 A rendszer elemei: DeviceNet s Sensoplex 2 kztti tjr (gateway), Sensoplex 2 gyjtszikramentes egysg, 8 bemenet gyjtszikramentes bemeneti egysg, 8 bemenet gyjtszikramentes kimeneti egysg, gyjtszikramentes lezregysg.

4.83. bra. DeviceNet Sensoplex elemekkel kialaktott hlzat Rb-s terek mszerezshez

193 Irodalomjegyzk [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22] [23] [24] [25] Madarsz L.: Az ASI egy ktvezetkes, aktutorszenzor BUSZ rendszer (1-4 rsz). ELEKTROnet, 1996/6...1997/1. Kmves G.: Az ASI busz technolgija. ELEKTROnet, 1998/5. COBRA CONTROL Kft.: Szmtgpes ipari mrs s vezrls a FieldPointTM rendszerrel. ELEKTROnet, 1998/4. Darvas I.: pletinstallci Siemens instabus EIB-rendszerrel. ELEKTROnet, 1996/3. Ian G. Warnock: Programmable Controllers Operation and Application. Prentice Hall International, 1988. K. Clements, J. W. Jeffcoat: The PLC Workbook. Newness, 1996. Demmel L., Molnr T., Trk B., Vgvlgyi G.: Programozhat logikj vezrlsek. Budapest, Mrnktovbbkpz Intzet, 1989. KLCKNER MOELLER: Automatizlsi rendszerek hlzatba kapcsolsa. Budapest, 1996. Ivanyos L.: Loklis hlzatok a folyamatirnytsban. ELEKTROnet, 1995/1. Telkes Z.: Az irnytsok genercis tulajdonsgai (3. rsz). ELEKTROnet, 1997/1. Dnyi D.: Hlzati szablyozs. Mrs s automatika, 1989/4. J. Martin, K. Chapman: Loklis hlzatok. Budapest, Novotrade Kiad, 1992. MICROCHIP: MCP 2510 Data Sheet, 1999. SIEMENS: S7 PLC Data Book, 1996. SAIA: Process Control Devices PCD2 Series, 1993. OMRON: CPM PLC Felhasznli kziknyv, 1999. PHOENIX CONTACT Catalog, 1997. J. Weigmann, G. Kilian: Decentralization with PROFIBUS-DP. SIEMENS, 2000. Fle S.: Foundation Fieldbus. Magyar Elektronika, 1998/12. Nagy .: Terepi buszok kialakulsa s alkalmazsa. Magyar Elektronika, 1999/1-2. Bakos S., Kuklis Z.: A hlzati modellek j nemzedke. Magyar Elektronika, 1999/1-2. Szkely K.: Interbus S - a nyitott buszrendszer. Magyar Elektronika, 1999/11. J. Labrosse: Embedded System Building Blocks. McGraw-Hill Companies, 1992. TURK katalgus, 1995. Csri Gy.: Intelligens, decentralizlt adatkommunikcis rendszer alkalmazsa gpjrmvekben. Autszaki fzetek (Elektronika).

194

5. JABB HARDVER- S SZOFTVERMEGOLDSOK


A mai programozhat vezrlk a CPU-n, a memrikon s a ktllapot be/kimeneteken kvl szmos tovbbi hardver- s szoftverelemet tartalmaznak, amivel sszetettebb feladatokat oldanak meg. A PLC-k modulris s kompakt kivitelben kszlnek. A modulris felpts vezrlkben az azonos funkcij hardvereket egy-egy cserlhet krtyn helyezik el s ezeket a htlapon lev prhuzamos buszrendszerre csatlakoztatjk (5.1. bra). A be/kivezetkek csatlakoztatsa rendszerint a homloklapon trtnik. Valamennyi modulris PLC tartalmaz kzponti feldolgoz- (CPU-) modult, digitlis bemeneti, digitlis kimeneti modult, kommunikcis modult s tpegysget. A kompakt PLC-k ezeket a hardvereket rendszerint egy lapra szerelten, nehezen cserlhet mdon tartalmazzk.

5.1. bra. Modulris (rack) rendszer PLC felptse

5.1. Analg be/kimenetek kezelse


Az analg jelek feldolgozsn a jel digitalizlst, beolvasst, feldolgozst s analg jell trtn visszaalaktst rtjk. Ma mr mindez alapvet elvrs a programozhat vezrlkkel szemben.

195 5.1.1. Analg bemeneti modulok A fizikai paramtereket mr tvadk szabvnyos tartomny (pl. 4...20 mA, 0...20 mA, 0...10 V) jelet lltanak el. Ezen analg jelek megfelel elkszts (ersts, szrs) utn analg/digitlis talaktval (ADC) digitlis jelekk (adatokk) alakthatk. Az ADC-k a jel digitalizlsa sorn kvantlsi (felbontsi) s mintavtelezsi mveletet vgeznek. Legfontosabb mszaki jellemzik a felbonts, pontossg, konvertlsi id. A kvantlsra pldaknt vizsgljuk meg a 0 s + 10 V kztti jel talaktst 8, ill. 10 bites felbonts ADC-vel: 8 bites felbonts esetn: 10 V/256 = 39,1 mV/oszts; 10 bites felbonts esetn: 10 V/1024 = 9,76 mV/oszts. Az analg/digitlis talakts tbbfle mdon vgezhet el. Leggyakrabban az n. ketts integrls, a sorozatos kzelts, ill. a prhuzamos mkds ADC-ket alkalmazzk. A ketts integrls megolds elnye a nagy zavarvdettsg, htrnya a viszonylag hossz konvertlsi id miatt elrhet alacsony mintavtelezsi frekvencia (~ 10-20 konverzi/mp). Az utbbi kt tpust a rvid konvertlsi id (~ s), s a magas mintavtelezsi frekvencia jellemzi. Az ADC-k mkdhetnek folyamatos, ill. indtott zemmdban. A folyamatos zem talakt ciklikusan, rendszerint valamilyen idalaprl vezrelve digitalizlja az analg jelet. Az indtott zem ADC a PLC-tl kapott indtjel (START) hatsra kezdi a konverzit, majd annak befejeztt (READY) visszajelzi. Ekkor a digitalizlt rtk beolvashat a PLC kzponti egysgbe. Az ADC-k rendszerint analg multiplexerrel s tbb analg csatornval vannak elltva. Nem szabvnyos rtk, klnbz jeltartomny analg bemenjelek esetn szksg lehet a jel erstsre programozhat erstvel. Az ADC bemenetn az analg jel mintavtelezsnek idejn gondoskodni kell a jel viszonylagos llandsgrl s szrsrl. Elbbit rendszerint n. mintavtelez-tart ramkrrel (Sample/Hold, S/H), utbbit alultereszt RC-szrvel oldjk meg. Nyolccsatorns analg bemeneti modul lthat az 5.2. brn [12].

5.2. bra. Nyolccsatorns analg bemeneti modul Az 5.2. bra szerinti PLC cmtartomnya rvn kt analg bemeneti modul, azaz 16 csatorna lekezelsre kpes az 5.3. brn lthat rsi s olvassi kdokkal [12].

196

5.3. bra. Analg bemeneti modul rsa (a) s olvassa (b) Az ADC-t kezel programnak a csatorna cmzst, az ADC indtst, majd a konvertlsi id leteltvel (BUSY ) a digitalizlt rtk beolvasst kell tartalmaznia. Az adatokat a program ltal kijellt s e clra fenntartott memriba olvassk be. Az analg bemeneti modul biztonsgos mkdsnek felgyelete, kezelse tovbbi szolgltatsokat ignyel, pl. a bemeneti vonalszakads szlelst, a jeltartomnyvlts lehetsgt, minimum-maximum rtk trolst s figyelst, hatrrtk-tllps jelzst stb, amit rendszerint sajt mikroszmtgppel vezrelt analg modullal oldanak meg. Egy ilyen mikroszmtgppel vezrelt analg bemeneti modul blokkvzlatt mutatja az 5.4. bra [8].

5.4. bra. Mikroszmtgppel vezrelt analg bemeneti modul Az 5.4. bra szerint a 16 bites ADC kimenete optolevlasztval kapcsoldik a bels CPU-ra. A modul feszltsgelltst DC/DC konverter vgzi. Az analg bemeneti modul s a PLC kztti kommunikci a kt CPU kztt prhuzamos snen trtnik. Az analg bemeneti modult egyrszt a rendszer bezemelsekor, msrszt a bekapcsolsakor kell inicializlni. Az ADC hitelestst, az offset- s erstsrtkek belltst a modul ellapjn lev kezelszervekkel vgzik. A szoftver jelleg inicializls a megfelel adatmozgat utastsokkal vagy ltradiagrammal trtnhet.

197 A mikroszmtgpes vezrls analg bemeneti modulokat rendszerint az analg kimeneti modulokkal ptik egybe, gy az analg jelek kezelse sorn a mikroszmtgp funkcii gazdasgosan kihasznlhatk. Az analg jelfeldolgozs szempontjbl a decentralizlt megolds a legelnysebb, mert az analg jel digitalizlsa a keletkezs helyn trtnik, gy az analg jeltovbbts elmarad. 5.1.2. Analg kimeneti jelek kezelse Az analg mkds beavatkozk, regisztrlk mkdtetshez szabvnyos jeltartomny analg jelekre van szksg. Ehhez a PLC-ben feldolgozott adatokat analg jell kell alaktani digitlis/analg talaktval (DAC). A digitlis/analg talaktk a digitlis (rendszerint binris kd) informcit 4...20 mA, 0...20 mA, 0...10 V stb. jeltartomny analg jell alaktjk. A digitlis/analg talaktk legfontosabb mszaki jellemzi az OMRON CPM PLC adatai alapjn: csatornk szma: 1...8; kimeneti analg jeltartomny: 0...20 mA, 4...20 mA, 0...5 V, 0...10 V, 10...10 V; kimeneti impedancia: nagysgrend; bemeneti adat hossza: 8...16 bit; konvertlsi id: s...ms nagysgrend/csatorna. A PLC prhuzamos buszra csatlakoztathat DAC-modul lthat az 5.5. brn [12].

5.5. bra. Analg kimeneti modul blokkvzlata Az 5.5. brn vzolt DAC-modul ngycsatorns, a binris adatokat kzvetlenl a PLC prhuzamos buszrl, mg a vezrl- s cminformcit interfszen keresztl kapja. A kimen analg jel tpusnak kivlasztsa hardveresen n. jumperekkel llthat be. Az egyes csatornkat a 0...3 cmbitek cmzik. A konverzi indtsa szoftveres, a megfelel bit 1-be lltsval (5.6. bra) [12]. A DAC kiviteli mvelet kt lpse: a DAC-csatorna (max. 2 x 4) cmnek, majd az analg jelet reprezentl 8 bites adat kiadsa.

198

5.6. bra. A DAC-modul cmzse Az 5.6. bra szerinti DAC-modul adatai: analg jeltartomny (vlaszthat): binris adathossz: D/A konvertlsi id: terhelimpedancia: 0...10 V, 0...20 mA, 4...20 mA, 8 bit, < 5 s, 0...10 V esetn 3 k , 0...20 mA esetn 0...500 , 4...20 mA esetn 0...500 .

Az analg kimeneti modul szoftvernek kezelse az talaktand adatbjt (sz) megfelel kimeneti cmre kldst s a D/A konverzi vezrlbitjnek az aktivlst ignyli. Az analg kimeneti modul mkdse, felgyelete s kezelse sorn az elzekben lert minimlis ignyeken tli szolgltatsokra is szksg van, pldul a kimeneti jeltartomny vltsa, az ersts s offset szoftveres belltsa, mkdsi s adattviteli hibk figyelse, diagnosztizlsa stb. Az ignyek kielgtsre az analg kimeneti modult sajt mikroszmtgppel ltjk el. Egy ilyen modul igen hasonlt az 5.4. brn vzolt analg modulhoz a konverter rtelemszer cserjvel. A kt modul hasonl felptse indokolja a kt egysg sszevonst. Az analg kimenetek kezelse terepi buszon trtn hlzati adattvitellel is megvalsthat, ahol az analg jeltovbbts elmarad, a D/A konverzi a beavatkoznl trtnik.
5.1.3. Analg be/ki modulok

A sajt mikroszmtgp ltal vezrelt s felgyelt ADC- ill. DAC-egysgek mkdse sokkal megbzhatbb s a kt funkci kzs modulon trtn kialaktsa mszaki s gazdasgi szempontbl elnysebb. Az OMRON CPM tpus PLC-k analg be/kimeneti moduljnak adatait az 5.1. tblzat tartalmazza [8]. 5.1. tblzat. Analg be/kimeneti modulok adatai Feszltsg be/kimenet ram be/ kimenet Bemenetek szma 2 Bemeneti jeltartomny 0 - 10 VDC / 1 - 5 VDC 4 - 20 mA Maximlis nvleges bemeneti jel 15 V 30 mA Bemeneti impedancia Min. 1 M 250 Felbonts 1/256 Pontossg A teljes skla 1,0 %-a A/D konverzis adat 8 bit, binris Kimenetek szma 1 Jellemz

Analg bemenetek

199 Kimenetek szma Kimeneti jeltartomny Maximlis kimeneti ram Felbonts Pontossg A/D konverzis adat Konverzis id Levlaszts 1 0 5mA 10 VDC / - 10 - + 10 VDC 4 - -- -20 mA 1/256 (- 10 - + 10 VDC belltsa esetn 1/512) A teljes skla 1,0 %-a 8 bit, binris Max. 10 ms egysgenknt Optikai levlaszts az I/O sorkapcsok s a PLC-jelek kztt. Nincs levlaszts az egyes analg jelek kztt

A klnbz bemeneti analg jeltartomnyok s a hozzjuk kapcsold digitlis rtkek kapcsolatt az 5.7. bra szemllteti [8].

5.7. bra. Bemeneti analg s digitlis rtkek sszerendelse A kimeneti digitlis s analg rtkek sszerendels az 5.8. brn lthat [8].

200

5.8. bra. Kimeneti digitlis (a) s analg (b) rtkek sszerendelse Az analg be/kimeneti egysgek rsa s olvassa MOV utastsokkal trtnik a forrscmek, ill. clhelycmek megfelel hozzrendelsvel ltradiagrammal. Egy ilyen ADC-, ill. DAC-kezel ltradiagramos programot szemlltet az 5.9. bra [8]. Az ADC-t a mrs eltt inicializlni kell, a tartomnykd kikldsvel. A tartomnykd az analg jeltartomnyt kdolja. Az FF04 pldul a 0...10 V-os jeltartomny kdja.

201

5.9. bra. Analg jelkezelst vgz ltradiagram Az analg be/kimenetek kbelezst rnykolt sodrott rprral vgzik az 5.10. bra szerint [8].

202

5.10. bra. Analg be/kimenetek kbelezse

5.2. A PLC szablyozsi funkcija


Az elz pontban lert analg bemeneti s kimeneti modullal s megfelel szoftverrel a PLC szablyozsi feladat elltsra kpes az 5.11. brn vzoltak szerint.

203

5.11. bra. Szablyozsi kr kialaktsa PLC-vel A legtbb programozhat vezrlben a PID-szablyoz algoritmus utastst definiljk. A PID szablyoz az (5-1) szerinti egyenlet alapjn kpezi a Y(t) kimenjelet. t de (5-1) +K Y (t ) = K c * e + K i edt + Y kezd d dt o

ahol Y(t) a kimenjel idfggvnye, Kc ersts, e hibajel (az angol irodalomban az alapjelet SP-vel, Setpoint, az ellenrz jelet PV-vel, Process Variable jellik, gy a hibajel e = SP PV), Ki az integrltag erstsi tnyezje, Ykezd a kimenjel kezdeti rtke, Kd a differenciltag erstsi tnyezje. A szablyozs mintavteles jellege miatt az (5-1) egyenlet az (5-2) szerint alakul.
n Y = K e + K e +Y + K e e i i kezd d n n c n n 1 i

(5-2)

ahol Yn a szablyozsi kr kimenjele az n-edik mintavtelezskor, Kc ersts, en a hibajel rtke az n-edik mintavtelezsnl, Ki az integrltag erststnyezje, Ykezd a kimenjel kezdeti rtke, Kd a differenciltag erstsi tnyezje, en1a hibajel rtke az elz (n1edik) mintavtelezsnl. A Siemens S7 tpus PLC az

Y = YP + YI + YD n n n n
algoritmus szerint kpezi a kimenjelet, ahol:

(5-3)

YP = K SP PV arnyos rsz, n n c n YI = K T / T SP PV + YX integrl rsz, n c s i n n YD = K T / T PV PV differencil rsz. n c d s n 1 n

(5-4) (5-5) (5-6)

204 ahol Kc ersts, Ts a mintavtelezsi id, Ti az integrlsi id, SPn az alapjel rtke az n-edik mintavtelezsnl, PVn az ellenrz jel rtke az n-edik mintavtelezsnl, YX az integrl tag rtke az n1-edik mintavtelezsnl, Td differencilsi id, SPn1 az alapjel rtke az n1-edik mintavtelezsnl, PVn1 az ellenrz jel rtke az elz (n1-edik) mintavtelezskor, Ez a kilenc adat (94 = 36 bjt) szksges a PID-utasts vgrehajtshoz. Az ellenrz jel (PV) s az alapjel (SP) rtke a mrnki egysgekben eltr lehet. Pldul hmrsklet-szablyozs esetn az alapjel rtke 642 oC, az ellenrz jel feszltsg (mV, V) dimenzij. Ilyen esetben a PID-utasts hvsa eltt az SP- s PV-rtkek normalizlsrl gondoskodni kell. A PID-utasts az (5-3) szerinti algoritmust hajtja vgre a 36 bjtos memriban trolt adatokon. A PID-utasts felttelezi, hogy az elz rtkek az n. LOOP-tblban rendelkezsre llnak, pldul az analg bemeneti modulrl beolvasott adat a LOOP-tbla megfelel rekeszeibe kerlt. Fentiek alapjn a PID-szablyoz programjt az albbiak szerint kszthetjk el: a LOOP-tblba betltjk a szksges adatokat; vgrehajtunk egy PID-utastst; az eredmnyt megfelel analg kimeneti egysgre juttatjuk. A programban gondoskodni kell arrl is, hogy a PID funkciblokkot aktivl logikai felttel a szablyozsi mvelet idejn llandan teljesljn, klnben a szablyozsi funkci lell! A PID-utasts biztostja, hogy a vgrehajts logikai felttelnek bekapcsolsa a kimeneten ne okozzon ugrsszer vltozst, ami a szablyozott rendszerben kedveztlen hatsokat vltana ki. Az S7-200 PLC-ben a PID-utasts egyszeri vgrehajtsi ideje 750...1000 s.

5.3. Impulzuskimeneti funkcik


A PLC-k impulzuskimeneti funkcii a frekvenciabemenet eszkzk (pldul a lptetmotor) vezrlsre szolglnak. Az impulzuskimeneti funkci hardverfelttele ltalban a ktllapot tranzisztoros kimenet, szoftverfelttele pedig a kiadand frekvencia ellltst biztost utastsokbl felptett program. Az impulzuskimeneteken tbbfle mkdsi md llthat be, pldul: egyfzis impulzuskimenet azonos frekvencival; impulzuskimenet a frekvencia fel- s lefutsi meredeksgnek megadsval; vltoztathat kitltsi tnyezj impulzuskimenet (PWM, impulzusszlessgmodulci). Az impulzuskimenetek egyedi s folyamatos zemmdban hasznlhatk. Egyedi mdban a PLC a programban meghatrozott szm s frekvencij impulzust kld. A kimenetre kldend impulzusok szma megadhat abszolt s relatv rtkknt. Lptetmotoros pozcionls esetn az abszolt rtk megadsakor a koordinta-rendszer 0 rtkhez viszonytott, mg a relatv rtk megadsakor a pillanatnyi helyzethez viszonytott kvnt elmozdulsnak megfelel impulzusszmot adjuk meg. Kifejezetten sokoldal impulzuskimeneti funkcikat megvalst utastsokkal rendelkeznek az OMRON PLC-k. Egyfzis impulzuskimenet felfutsi s lefutsi meredeksg meg-

205 adsa nlkli hasznlatt segtik a PULSE (65), SPED (64), INI (61), PRV (62) utastsok (lsd a 3.7. tblzatot). Ezen utastsokkal az albbi funkcik teljeslnek: a kimeneti impulzusok szmnak megadsa (PULS); a kimeneti frekvencia megadsa, ill. megvltoztatsa (SPED); az impulzuskimenet pillanatrtknek megvltoztatsa (INI); az impulzuskimenet lelltsa (INI); az impulzuskimenet rtknek beolvassa (PRV). Az impulzuskimenetek mkdst szemllteti a kvetkez kt plda. Az els pldban a PLC 005 bemenetre rkez indtjel hatsra a 200-as impulzuskimenet ltal vezrelt lptetmotorra 100 impulzust juttat 60 Hz frekvencival (5.12. bra) [8].

5.12. bra. Lptetmotoros pozcionls diagramja A szksges belltsok az utasts memriaterletn: DM 6629 DM 6642 DM 0000 DM 0001 0 0 0 0 1 0 0 0 0 Relatv koordinta-rendszer 0 Brmilyen impulzus szinkronizcitl eltr belltsa 0 Impulzusok szma: 100 0

A lptetmotor vezrlprogramja az 5.13. bra szerinti [8].

5.13. bra. Lptetmotoros pozcionls ltradiagramja

206 A msodik pldban a ktirny lptetmotoros pozcionls diagramjt mutatjuk be (5.14. bra) [8].

5.14. bra. Ktirny lptetmotoros pozcionls Az elz pldkban a lptetmotor sebessge lland volt az lland frekvencinak (60 Hz) megfelelen. Pozcionlsi feladatoknl a minimlis pozcionlsi id elrshez a sebessg, kzvetve pedig a frekvencia vltoztatsra van szksg. Ezt a korbbi utastsokon tl az ACC-utasts felhasznlsval lehet elrni. A vltoz sebessg lptetmotoros pozcionl diagramjt szemllteti az 5.15. bra. A 005-s bemenetre rkez jel hatsra a PLC 1000 impulzust kld ki az impulzuskimenetre 10 ms-onknti 10 Hz-es fel- s lefutsi meredeksggel, 200 Hz-es indul s vgs frekvencival.

5.15. bra. Pozcionls vltoz frekvencival Szksges belltsok az utastsok memriaterletn: DM 6629 DM 6642 DM 0000 DM 0001 DM 0010 DM 0011 0 1 0 0 0 0 0 0 0 0 0 0 0 5 0 0 Relatv koordinta-rendszer Brmilyen impulzus szinkronizcitl eltr belltsa 0 Impulzusok szma: 1000 1 Meredeksg: 10 Hz/10 ms 0 Clfrekvencia: 500 Hz

207

DM 0022

0 Kezd frekvencia: 200 Hz

A lert feladatot megvalst program ltradiagramja az 5.16. brn lthat [8].

5.16. bra. Az 5.15. bra szerinti feladat ltradiagramja Vltoz kitltsi tnyezj impulzussorozat generlst a PWM-utastssal rhetjk el (lsd a 3.7. tblzatot).

5.4. Szmllsi funkcik


A szmllsi funkci programozst a 3. fejezetben rintlegesen bemutattuk. Tekintettel e funkci igen frekventlt vezrlstechnikai alkalmazsra, a kvetkezkben a szmllssal rszletesen foglalkozunk. A szmllsi funkcit a kvetkez mdon oldjk meg: a) a PLC e cl utastsainak a programba iktatsval; b) megszaktsbemenetre kapcsolt impulzusok esetn a kiszolglrutin vgrehajtsval; c) a PLC e clra kialaktott sajtos hardvere s utastsa rvn; d) a PLC-tl fggetlen, de azzal kommunikcis kapcsolatban lv hardverszmllmodul felhasznlsval. Az a) megolds semmilyen jrulkos hardvert nem ignyel. Htrnya, hogy ily mdon csak a PLC letapogatsi frekvencijnl alacsonyabb frekvencij impulzusok szmllsa vgezhet el hibamentesen. Ez gyakorlatilag nhny Hz (~ < 100) frekvencit jelent. A b) megolds csak az interrupt funkcival elltott PLC-k esetn hasznlhat, viszont a letapogatsi frekvencinl magasabb frekvencij impulzusok szmllst is lehetv teszi. A c) vltozat egy-egy PLC-nl alkalmazott, rendszerint megszaktssal, jrulkos hardverrel s a kapcsold utastssal kombinlt megolds. A legmagasabb frekvencij impulzusok szmllst a d) szerinti megoldsban valsthatjuk meg, klnsen, ha tbb csatorna impulzusainak szmllsa a feladat. Ktirny szmllst vgz modul lthat az 5.17. brn. A szmllmodult leggyakrabban a PLCsnhez illesztik.

208

5.17. bra. Elre-htra szmll modul illesztse PLC-hez A szmll a 90o-os fziseltrs impulzusokat az inkrementlis jelad utn lv irnylogiktl kapja. Az brn vzolt modul a ktirny szmllson tl a gpipari pozcionlsi feladatokat is megoldja s az n. lekapcsolkrs pozcionlshoz szksges komparlsi mveleteket is elltja. A szmll kezelse bjt- vagy szszervezs be/kiviteli mveletekkel trtnik. A gyakorlatban az analg be/kimeneti modulokhoz hasonlan a kln szmllmodulokat is mikroszmtgpes felgyelettel s kommunikcival ltjk el, amelyekkel a modul tovbbi funkcikat lthat el. Az OMRON CPM sorozat PLC-k n. gyorsszmll funkcit definilnak, amely az 5.18. bra szerinti ngyfle mdban programozhat [8]. A gyorsszmll az irnyfgg szmllsi mdban az inkrementlis jelad kzvetlen feldolgozsra alkalmas. A gyorsszmll a PLC ltal beolvashat, trlhet, ill. a szmll a programtl fggen (pl. rtktllps) megszaktst kezdemnyezhet.

209

5.18. bra. A gyorsszmll zemmdjai A Siemens S7 sorozat PLC-k hrom blokkot definilnak a program szmllsi mvelethez: CTU, CTD s CTUD, azaz elre, vissza s ktirny szmlls. Ezen mveletek a CU-, ill. CD-bemeneteken megjelen impulzusok tmeneteit szmoljk. Az ettl eltr funkcij szmllsra az n. High Speed Counter (HSC-) utasts ajnlatos. A HSC-utasts a szmllsi mdot definil HDF-utastssal egytt hasznlatos. A szmllsi mdok kzl nhnyat bemutatunk. A hagyomnyos elre/htra szmllst szemllteti az 5.19. bra [9]. A szmll a belltott rtk elrsekor automatikusan irnyt vlt.

210

5.19. bra. Elre/htra szmll: MOD1 Az 5.20. bra szerinti megoldsban a szmllt s belltott rtk megegyezsekor megszaktst kezdemnyez, de tovbb szmol. Az irnyvltst kls vezrls idzi el [9].

5.20. bra. Elre/htra szmll: MOD3 Az inkrementlis jeladrl rkez jelek ktfle feldolgozshoz az 5.21. brn az n. egyszeres felbonts szmllt lthatjuk, mivel szmlls csak az A jel 0 1 (elre), ill. 1 0 (vissza) tmenetekor trtnik [9].

5.21. bra. Ktfzis impulzusok feldolgozsa egyszeres felbontssal

211 Ngyszeres felbonts inkrementlis jelfeldolgozst biztost a 9-es md, mivel a szmll mindkt jelad 01 s 10 tmeneteit szmllja (5.22. bra). E mdszerrel ngyszeres felbonts rhet el, ezrt ez a megolds a gpiparban igen gyakori [9].

5.22. bra. Ktfzis impulzusok irnyfgg feldolgozsa ngyszeres felbontssal

5.5. Ktllapot be-/kimeneti eszkzk csatlakoztatsa PLC-hez


A ktllapot bemeneti, ill. kimeneti eszkzk (rzkelk s beavatkozk) csatlakoztatsa a PLC-hez az optolevlaszts be-, ill. kimeneti fokozaton keresztl trtnik.
5.5.1. Ktllapot jeladk csatlakoztatsa

Az irnytand gpek, berendezsek llapotrl rzkelk informlnak. Az informci lehet analg vagy digitlis. Az rzkelk bemenjele rendszerint valamilyen nem villamos mennyisg (nyoms, hmrsklet, elmozduls, stb.), amit az rzkel valamilyen kzvetett fizikai jellemz megvltoztatsval (induktv, kapacitv, stb.) villamos jell alakt. A vezrlstechnikban tbbnyire a ktllapot jeladk (vgllskapcsolk, nyomskapcsolk stb.) illesztsre van szksg [4]. A ktllapot jeladk lehetnek rintkezs s rintkezmentes kivitelek.
5.5.1.1. rintkezs bemeneti eszkzk

Ebbe a csoportba tartoznak a mikrokapcsolk s a mikrokapcsolval felptett hatrrtk-, ill. vghelyzetkapcsolk (nyoms, hmrsklet, elmozduls stb.). A mikrokapcsolk rugs felpts mechanikus kapcsolk, rendszerint robosztus manyag vagy frccsnttt hzban. A mikrokapcsolkat tbbnyire morze- (vlt-) rintkezvel gyrtjk, a kzs pontot COM felirat jelli. A mikrokapcsolk nagy vdettsggel (IP 66, IP 67) s nagyon sokfle kialaktsi formban kaphatk. A mikrokapcsolk fbb technikai adatai: mechanikai lettartam, engedlyezett hmrskleti tartomny, kapcsolsi gyakorisg, kapcsolsi sebessg, az rintkezk tmeneti s szigetelsi ellenllsa, tmege, vdettsgi osztlya, tsi s vibrcis szilrdsga.

212 Az rintkezkimenet jeladk csatlakoztatsa a PLC optolevlaszts bemenethez az 5.23. bra szerint ajnlatos [4].

5.23. bra. rintkezkimenet jeladk csatlakoztatsa a PLC-hez egyenfeszltsg mkdtetssel Az rintkezkimenet jeladk egyen s vltakoz segdfeszltsgekkel egyarnt alkalmazhatk, de a PLC bemeneti fokozatt ennek megfelelen kell kivlasztani.
5.5.1.2. rintkez nlkli jeladk

Ebbe a csoportba a gpipari automatizlsban igen gyakori kzeltskapcsolk tartoznak, amelyek induktv, kapacitv, ill. ultrahangos talakts elvn mkdnek. Induktv kzeltskapcsolk Ezek az eszkzk mkdskhz fl ferrit fazkmagos tekerccsel kialaktott LC-oszcilltort tartalmaznak, amelynek a mgneses ervonalai a levegn keresztl zrdnak. Ha az rzkel aktv fellett jelent ferrittekercshez fmes trggyal kzeltenek, akkor az megvltoztatja az oszcilltor jellemzjt (rendszerint a rezgs amplitdjt). A vltoz amplitdj jel a megfelel triggerramkrn keresztl kimeneti erstre kerl, amely hiszterzises ktllapot jelet szolgltat (5.24. bra). A hiszterzisre az tvlts megbzhat, zavarmentes megoldsa miatt van szksg.

5.24. bra. Az induktv kzeltskapcsolk tmbvzlata Az egyenfeszltsggel mkdtetett induktv kzeltskapcsolk hrom- s ngyvezetkes formkban, p-n-p s n-p-n tranzisztoros kimenetekkel kszlnek. A vltakoz ram kzeltskapcsolk ktvezetkes kialaktsak.

213 A p-n-p tranzisztoros kimenet induktv kzeltskapcsolk felptst s a PLC bemeneti csatornjhoz csatlakoztatst szemllteti az 5.25. bra [4].

5.25. bra. A p-n-p tranzisztoros kimenet kzeltskapcsol kapcsolata a PLC-vel Az n-p-n tranzisztoros kimenet induktv kzeltskapcsol kialaktst s a PLC bemeneti csatornjhoz kapcsolst szemllteti az 5.26. bra [4].

5.26. bra. Az n-p-n tranzisztoros kimenet kzeltskapcsol kapcsolata a PLC-vel Induktv kzeltskapcsolk fbb mszaki adatai: mkdtet tpfeszltsg-tartomny DC esetn: 10...55 V, AC esetn 24...230 V; tpramfelvtel (pl. 24 V DC-nl 15 mA); a DC tpfeszltsg megengedett hullmossga (tipikus rtk): < 15 %; a kzelt trgy anyagai: acl, srgarz, alumnium stb.; max. kapcsolsi frekvencia (tipikus rtk): 2 KHz; nvleges kapcsolsi tvolsg, amely a kzelt fmtrgy anyagtl fgghet; kimeneti logikai feszltsg rtkei (tipikus rtk): 0 V, ill. 24 Vdc; kimeneti ram (tipikus rtk): 130...200 mA; engedlyezett krnyezeti hmrsklet-tartomny (tipikus rtk): 25...70 oC; vdettsg (tipikus): IP 67. A hrom- s ngyvezetkes induktv kzeltskapcsolk szabvnyos jellsei az 5.27. brn lthatk [4], kivezetsei szabvnyos sznkddal vannak elltva.

214

5.27. bra. Hrom- s ngyvezetkes induktv kzeltskapcsolk szabvnyos jellse


a) p-n-p tranzisztoros kimenet fmkzeltsre zr, 3 kivezetssel; b) p-n-p tranzisztoros kimenet fmkzeltsre nyit, 3 kivezetssel; c) p-n-p tranzisztoros kimenet fmkzeltsre nyit/zr, 4 kivezetssel; d) n-p-n tranzisztoros kimenet fmkzeltsre zr/nyit, 3 kivezetssel; e) n-p-n tranzisztoros kimenet fmkzeltsre egyszerre zr/nyit, 4 kivezetssel

Kapacitv elven mkd kzeltskapcsolk A kapacitv kzeltskapcsolkat folykony, porszer s szemcss (granultum) anyaggal tlttt trolk, tartlyok szintrzkelsre alkalmazzk. Az rzkelknl egy trgy (fmes, ill. nemfmes) kapacitsa megvltozik, ha az rzkel aktv fellethez kzelt. A kapacitsvltozs egy oszcilltort hoz rezgsbe. Az oszcilltor jele a demodultoron s a triggerramkrn keresztl a kimeneti erstre jut, amelynek a kimenjele hiszterzises ktllapot jel. E kzeltskapcsolk rzkenysge (kapcsolsi tvolsga) potenciomterrel llthat, kialaktsi formi megegyeznek az induktv rzkelkvel. A kapacitv kzeltskapcsolk egyen-, ill. vltakoz feszltsgrl egyarnt mkdtethetk, de dnt tbbsgk vltakoz feszltsgrl zemel. A vltakoz feszltsgrl zemel kapacitv rzkelket ktvezetkes kialaktsi formban valstjk meg, amelyek jellse s sznkdja az 5.28. brn lthat [4].

5.28. bra. Vltakoz feszltsgrl zemel kapacitv jelad felptse

215 Az 5.28a brn vltakoz feszltsgrl zemel, a trgy kzeltsre zr, az 5.28b brn nyit kapacitv kzeltskapcsol lthat. A vltakoz feszltsg ktvezetkes jeladk specilis egyenirnytt tartalmaz PLC-bemenetet ignyelnek (5.29. bra) [4].

5.29. bra. Kapacitv jelad csatlakoztatsa PLC-hez A kapacitv kzeltskapcsolkra rendszerint ugyanazokat az adatokat adjk meg a gyrtk, mint az induktv jeladkra. Fotkapcsolk Kialaktsuk szerint lehetnek direkt, ill. visszaverdses tpusok. A direkt zem fnysorompk kln-kln tglahasb alak hzakban elhelyezett fnyadbl s vevkbl llnak. Napjainkban tlnyomrszt a kevsb zavarrzkeny infravrs sugar fnysorompkat alkalmazzk, amelyek mkdsi elvt az 5.30. bra szemllteti [4].

5.30. bra. Az egyutas fnysoromp mkdsi elve Az adban lv ngyszggenertor az optika fkuszban elhelyezett fnyadt mkdteti. A prhuzamostott infravrs fnysugarak a mozg trgy takarsa nlkl a vev optikai egysgnek gyjtpontjban lev fnyrzkel eszkzre (pl. fnyelem, fotodida, fottranzisztor) kerlnek, amely a fnyt feszltsgg alaktja. Ez a feszltsg triggerramkrn keresztl egy kimeneti fokozatra kerl, amely hiszterzises ktllapot jelet ad ki. A direkt zem fnysorompk leggyakrabban egyenfeszltsggel mkdnek, hrom-, ill. ngyvezetkes kivitelek s p-n-p, ill. n-p-n tranzisztoros kimenettel kszlnek. Az infravrs fnysorompba szrt is elhelyeznek, amely a lthat fnytl az infravrs fnyt levlasztja, ily mdon kizrja az idegen fny ltal bekvetkez hibs kapcsolsokat. A visszaverdses (retroreflex) fotkapcsolk optikai eszkzk, amelyekben az ad s a vev egy tokban van (5.31. bra) [4].

216

5.31. bra. Visszaverdses rendszer fotkapcsol mkdsi elve Az 5.31. brn lthatan a visszaverdses rendszer fotkapcsolknl a ngyszgjellel mkdtetett fnyadt az optikai tengelyen helyezik el. Az rzkelend mozg trgyrl vagy a rgztett reflektorrl visszavert fnysugarakat a gyjtpontba (F) helyezett fnyrzkel alaktja feszltsgg. Ebbl a feszltsgbl a jelad hiszterzises ktllapot kimenjelet llt el. A visszaverdses rendszer fotkapcsolk dnten egyenfeszltsgrl mkdnek hrom-, ill. ngyvezetkes p-n-p, ill. n-p-n tranzisztoros kimenettel. A fotkapcsolk fbb mszaki adatai: tpfeszltsg-tartomny: 10...30 VDC; tpfeszltsg megengedett trstartomnya: 10 %; megengedett max. kimeneti ram (pl. < 200 mA); max. kapcsolsi frekvencia (tipikus rtk): < 200 Hz; megszlalsi id (pl. max. 0,5 s); vdettsg (tipikus): IP 65...IP 67; megengedett krnyezeti hmrsklet-tartomny (tipikus rtk): 25...55 oC; geometriai mretek. A fotkapcsolk szabvnyos jellst s sznkdjait az 5.32. bra mutatja be [4].

5.32. bra. Fotkapcsolk szabvnyos jellse s sznkdja Az a brn p-n-p tranzisztoros kialakts, az rzkelt trgy esetn zr, a b brn n-pn tranzisztoros kialakts, az rzkelt trgy esetn nyit fotkapcsol lthat.

217
5.5.2. Kimeneti eszkzk s illesztsk

A PLC-k diszkrt kimeneti fokozatait kontaktus, tranzisztoros, tirisztoros, ill. triakos vltozatban ksztik s ehhez kapcsoldnak a beavatkozszervek. A leggyakoribbak a mgneskapcsolk, ill. a pneumatikus szelepek.
5.5.2.1. Mgneskapcsolk

A mgneskapcsolk nagy lettartam vezrlstechnikai eszkzk (beavatkozszervek), amelyek f- s segdrintkezket mkdtetnek. A frintkezk rendszerint villamos motorokat mkdtetnek. A mgneskapcsolk tekercsei egyen- s vltakoz feszltsggel mkdtethetk. Vltakoz feszltsg esetn a szabvnyos rkek: 24, 42, 110, 230 s 400 V, mg egyenfeszltsg esetn 24, 48, 60, 110 s 220 V. A mgneskapcsolk frintkezi mindig zrrintkezk, a segdrintkezk megrendelstl fggen zrk s nyitk egyarnt lehetnek. A segdrintkezk az llapot indiklsra s a tartramkr kialaktsra szolglnak. A mgneskapcsol gyrti snre szerelhet tpusokat fejlesztettek ki, mint pl. a Klckner Moeller cg DIL E tpusa. A mgneskapcsolk rajztechnikai jellse az 5.33a brn lthat, mg a PLC kimenethez kapcsolst az 5.33b bra szemllteti. A nagy ramok megszaktsra alkalmas mgneskapcsolkat klnbz szikra-, ill. voltssal ltjk el (pldul az rintkezket olajban helyezik el).

5.33. bra. Mgneskapcsol jellse (a) s illesztse a PLC-hez (b) Triakos kimeneti fokozatot szemlltet az 5.34. bra.

218

5.34. bra. Motorvezrls triakos vltakoz ram mgneskapcsolval


5.5.2.2. Pneumatikus szelepek s munkahengerek

Fleg a gpipari automatizlsban, a mechatronikban s a szerelsautomatikban igen gyakran van szksg pneumatikus mkdtetsre. Ismeretes, hogy tszelepekkel a vezrlsi fggvnyek elllthatk, de bizonyos bonyolultsg esetn a vezrlsi funkcik megvalstst energiatakarkossgi s ellenrizhetsgi clbl clszer a PLC-k-re bzni. PLC-vel mkdtetett 5/2 tszelep s munkahenger sszekapcsolsa lthat az 5.35. brn.

5.35. bra. 5/2-es szelep mkdtetse PLC-hez Eszerint a munkahenger rdja ltal mkdtetett SW1, SW2 kapcsolk a PLC bemenetre kapcsoldnak, majd a megfelel program vgrehajtsa utn a PLC Q1, Q2 kimenete (kontaktusa) rvn mkdteti az 5/2-es szelep (tt s ktllapot) Y1, Y2 tekercseit. Egyen-

219 feszltsg tplls esetn a tekercsek tranzisztoros kimenettel mkdtethetk, de az induktv feszltsglks ellen vd didkrl nem szabad megfeledkezni.

5.6. Az ember-gp kapcsolat eszkzei


Az ember-gp kapcsolat eszkzei (Man-Machine Interface, MMI vagy Human-Machine Interface, HMI) a kezel s a PLC kztti kommunikcit teszik lehetv. Mivel a kapcsolattarts ktirny, ezrt megklnbztetnk beviteli s kiviteli eszkzket.
5.6.1. Adatbeviteli eszkzk s mdok

A numerikus adatok bevitelre rendszerint a peremkerekes dekdkapcsol (PDK), ill. a billentyzet hasznlatos. Adatbevitel peremkerekes dekdkapcsolval Peremkerekes dekdkapcsolval a numerikus adatok bevihetk prhuzamos, ill. multiplex mdon. Prhuzamos bevitel esetn dekdonknt ngy bemeneti vonalra van szksg, gy a nyolcdekdos adat bevitele 84 = 32 bemeneti vonalat ignyel a PLC bemenetn. A prhuzamos bevitelt szemllteti az 5.36. bra ngy dekd esetn.

5.36. bra. Adatbevitel PDK prhuzamos mdon Az brn a kapcsolk ltal leadott kdkombincit is feltntettk. A megolds szerint minden bit kezelse kln-kln inputbitet ignyel. Multiplex md kezels esetn szksg van a dekdcsoportok szmval megegyez szm kimenetre (pl. 3) (5.37. bra) s a kezelszoftverre. Az brbl lthat, hogy 316 = 48 vonal beolvassra multiplex kezels esetn 16 bemeneti vonalra van szksg. A didk a kros visszahatsok kikszblshez szksgesek. A program mintavtelez jelet ad a megfelel kimenetre, majd a bemeneti kdkombincit beolvassa. A bemenetek multiplex kezelst rendszerint ciklusszervezssel oldjk meg.

220

5.37. bra. Adatbevitel PDK-val multiplex mdon Adatbevitel billentyzettel Funkcibillentyzet hardver kialaktsra plda az 5.38. brn lthat.

5.38. bra. Funkcibillentyzet multiplex kezelsnek vzlata Az brbl kitnik, hogy a billentyzetkezels is multiplex. A 44 = 16 billenty kezelshez ngy kimeneti (STROBE) s ngy bemeneti vonal szksges. A billentyzet funkcijnak (funkci, ill. adat) felismerst a PLC szoftver biztostja. Kt funkci (CLR, Clear = trls s ENT, Enter = betlts) s a 10 szmbillentyzet feldolgozsa az 5.39. folyamatbra szerint vgezhet el.

221

5.39. bra. Billentyzetkezels szoftvernek folyamatbrja A billentyzetkezel programot megszaktskrssel clszer kezdemnyezni. A nagy teljestmny PLC-k ember-gp kapcsolatt rendszerint a szmtgpek ASCII klaviatrjval s alfanumerikus vagy grafikus display csatlakoztatsval oldjk meg. Az ily mdon kialaktott MMI soros vonalakon kommunikl a PLC-vel pont-pont (RS 232C) vagy hlzati sszekttetssel (lsd az 5.6.3. pontot).
5.6.2. Adatkiviteli eszkzk s mdok

Numerikus adatok megjelentsre rendszerint htszegmens kijelzket hasznlnak. A kijelzk vezrelhetk prhuzamos, ill. multiplex mdszerrel. A prhuzamos mkdtets az 5.40. brn lthat.

222

5.40. bra. Htszegmens kijelzk prhuzamos vezrlse A ngydekdos kijelz csatlakoztatsa 44 = 16 kimeneti vonalat ignyel a PLC-tl. Az adatok rendszerint az e clra fenntartott memriaterletrl adatmozgat utastssal juttathatk a kijelzre. A multiplex adatkezels a kimenetek vezrlsnl is elnys s gyakran a billentyzet kezelsvel kombinlt. A kijelzkarakter kdjait tblzatkezelssel szoftver ton clszer ellltani (szoftverdekdols).
5.6.3. Interaktv terminlok

Az utbbi idben egyre ltalnosabb, hogy a PLC-k helyi (technolgia kzeli) adatmegjelent s beviteli eszkzei az ipari kivitel terminlok. A nagy PLC-gyrtk mindegyike gyrtja az interaktv terminlokat is, amelyek alapveten az adott PLC-tpussal kpesek egyttmkdni (kommuniklni). Az interaktv terminlok gyrtmnyvlasztka igen szles. Az egyszerbb (olcsbb) tpusoknl, pl. 4 sorban s 20 oszlopban (egyszn) alfanumerikus karaktereket jelenthetnk meg. Az ilyen tpus eszkzk cscsmodellje napjainkban 640480 kppontot tartalmaz sznes kpernyt jelent. Adatbeviteli eszkzknt a vlasztk a nhny gombot tartalmaz ipari billentyzettl az rintsre vagy nyomsra rzkeny kpernyig terjed. A kt vglet kztt szmos kzbens teljestmny eszkz van akr egyetlen gyrt tpusvlasztkban is. Az eszkzk kzs (gyrttl fggetlen) vonsai a kvetkezk. A terminlok kt nagy csoportja klnthet el: az alfanumerikus s a grafikus szimblumok megjelentsre alkalmas csoport. A kpernyn frisstve megjelen informcik (szvegek, szmok, grafikai objektumok szne stb.) a PLC aktulis vltozinak (bites, bjtos, szavas) rtktl fggnek. Az interaktv terminlok soros kommunikcis vonalon (masterknt) sajt hatskrben krdezik ciklikusan a PLC vltozinak az rtkt. Az interaktv terminl adatbeviteli eszkzein (tasztatra, rintsre rzkeny kperny) a kezel adatai soros kommunikcis vonalon keresztl a PLC vltoziba rdnak. Az interaktv terminlok programjnak fejlesztse kls szmtgpen (PC), a terminl gyrtja ltal biztostott fejlesztrendszerrel trtnhet. A terminlhasznlat alapvet jellemzinek bemutatsa az OMRON 2000. vi gyrtmnyismertetje alapjn trtnik. Az 5.41. brn a PLC s az interaktv terminlbl ll rendszer elvi felptse lthat.

223

5.41. bra. A PLC-bl s az interaktv terminlbl kialaktott rendszer elvi felptse A PLC s az interaktv terminl kztti kommunikci RS 232C vagy RS 422/485 soros vonalon zajlik. A kommunikciban a master szerept a terminl jtssza. Amennyiben RS 232C vonalon csatlakozunk (a pont-pont kapcsolat miatt), csak egyetlen terminl csatlakozhat a krdses PLC-hez. Ekkor az n. NT 1:1 tpus kommunikcis protokollt alkalmazza az OMRON rendszer, ami idben lland master jelenltt felttelezi. Ha tbb terminlt szeretnnk csatlakoztatni egy PLC-hez, akkor RS 422, vagy RS 485 kommunikcis vonalat kell kipteni a terminlok felfzshez. Ekkor a protokollnak is alkalmasnak kell lennie tbb master kiszolglsra. Az OMRON rendszerben ezt a protokollt NT 1:N nven nevezik. Ezek a protokollok gyrtmnyspecifikusak, csak az OMRON PLC-ben alkalmazhatk. Nhny interaktv terminl opcionlisan nem csak a gyrt sajt eszkzeihez, hanem ms gyrtk PLC-tpusaihoz is kapcsolhat. Meglehetsen gyakori, hogy a terminlok a MODBUS protokoll alapjn is kpesek kommuniklni, ami azt jelenti, hogy egy ilyen berendezs a MODBUS kommunikcira kpes s valamennyi PLC-tpushoz alkalmazhat (egymasteres rendszer kialaktsra alkalmas). Napjainkban figyelhet meg az a trekvs, hogy egyre tbb gyrt a PROFIBUS protokollt alkalmazza mind a PLC, mind az interaktv terminl kommunikcis kapcsolatnak a biztostsra, ami nmi kompatibilitst jelent a klnbz gyrtk termkei kztt. Az 5.41. bra alapjn lthatjuk, hogy az interaktv terminlhoz klnbz be- s kiviteli eszkzk is csatlakoztathatk (vonalkdolvas, nyomtat stb.). A legfontosabb adatbeviteli eszkz egy tasztatra. Ez az brn azrt nem ltszik, mert az NT631C tpusnl a kperny rzkeny az rintsre, s gy szoftveresen kpezzk le a szmunkra szksges billentyket, gombokat. Ms tpusoknl (pl. NT 11) ipari tasztatra szolgl a kezeli adatbevitelre. Ezek a tasztatrk nhny funkcibillentyt, ill. numerikus vagy

224 alfanumerikus billentyzetet tartalmaznak. A funkcibillentyk megnyomsa vagy nem megnyomsa a PLC egy-egy bites vltozjnak 1 vagy 0 rtkben tkrzdik. Ezek a billentyk ltalban a kpvltsra, ms kezeli kezdemnyezs kzlsre vagy a numerikus (vagy alfanumerikus) billentyk adatok (szmok, szvegek) megadsra szolglnak. Az adatmegadst kveten a terminl programja az elrt adatkonverzit elvgzi (pl. kpez egy ngyjegy BCD szmot a megadott szmkarakterekbl), s az rtket a PLC elrt vltozjba (vltoziba) rja. Adatbevitelre ms eszkz is elkpzelhet. Az 5.41. bra vonalkd-leolvas mint adatbeviteli eszkz csatlakoztatst mutatja. A legfontosabb adatmegjelent eszkz a terminl (LCD) kpernyje. Az alfanumerikus terminlok kpernyjn nhny sorban nhny tz karakter rathat ki. Az NT 11S esetn ngy sorban, soronknt 20 karakter jelenthet meg. Az NT 11S tpus terminl ltszati kpt az 5.42. bra mutatja.

5.42. bra. Az OMRON NT 11S tpus interaktv terminlja Az alfanumerikus kpernyn megjelen objektumok hrom csoportba sorolhatk: fix (idben lland) szvegek; a PLC vltozinak (bites s szavas) rtktl fgg szmok s szvegek; adatbeviteli mezk, ahol a kezel ltal megadott karakterek jelennek meg, ill. konvertls utn berdnak a PLC elre definilt vltoziba. A grafikus terminlok felbontsa, geometriai mrete is a feladatnak megfelelen vlaszthat. Az OMRON csaldon bell a 640480 kppont a jelenleg legnagyobb felbonts. Mind monochrom, mind sznes LCD kpernyk vlaszthatk. rtelemszer, hogy az objektumok a grafikus szimblumok csoportjval bvlnek: fix (idben lland) grafikus kp; a PLC vltozinak (bites, szavas) rtktl fgg grafikus jellemzk megvltoztatsa (pl. egy bites vltoz rtktl fggen kt klnbz sznnel megjelentett objektum vagy egy mrt mennyisg megjelentse oszlopdiagramon).

225 A grafikus szolgltatsok krbe sorolhat, hogy ltalban lehetsg van a mrt menynyisgek idbeli vltozsnak (trendjnek) megjelentsre. Ez azt is jelenti, hogy az interaktv terminlnak el kell trolnia adott gyakorisggal a mrt mennyisgeket. A szolgltats minsgt nagymrtkben befolysolja a rendelkezsre ll adatmemria-kapacits. Az interaktv terminlok tbbsghez nyomtat is csatlakoztathat, mint azt az 5.41 bra mutatja. Adattblk, ill. esemnytblk nyomtatsa a feladatok tbbsgnl szoksos igny.
5.6.4. Az interaktv terminlok programjnak fejlesztse

A gyakorlatban az interaktv terminlok programjnak ltrehozsa kls gpen (PC-n), a gyrt ltal biztostott fejlesztrendszerrel trtnik. Az 5.41. brn lthat PC szerepe teht a terminl feladathoz igazod (applikci) programjnak ltrehozsa. A fejleszts elve az, hogy megadjuk az egyes (alfanumerikus, vagy grafikus) kpernyk tartalmt s ezek frissl rsznek frisstsi mdjt (szmok, szvegek, grafikus szimblumok vltoztatsa stb.), ill. adatkapcsolatait. A fejleszts menett szemllteti az OMRON NT 11S interaktv terminlra fejlesztett applikci nhny rszlete (a fejleszt ltal kinyomtatott formban). Az els kp (kpsorszm = 1) t hmrsklet kirsra szolgl, amelyek az OMRON PLC DM200DM204 (16 bites) vltoziban helyezkednek el. Ezen vltozk ngy BCD jegyen tartalmazzk a hmrskletek nagysgt 0,1 C felbontsban. A hatodik adat, amit a kpernyn megjelentnk, egy recepturasorszm (09), amely rtket a PLC DM125 jel vltozja tartalmazza. A fejlesztrendszernek prbeszd formjban megadhatjuk a fix szvegeket, ill. a frisstve megjelentend informcik forrst s megjelentsi formjt. Screen No.: 1 Standard Screen Screen Comment:

Az N0000N0004 stb. jellsek azt jelentik, hogy a megjelentett vltoz egy (numerikus) adattbln bell hnyadik. Ez az adattbla tartalmazza, hogy ezen (pl. N0000) logikai nv a PLC mely fizikai vltozjt (pl. DM100) takarja. A prbeszd formjban megadott adatok alapjn a fejlesztrendszer az 1. kprl az albbi informcikat lltja el.
[Fixed Display: Text/Mark] Position Font Scale Smoothing 8, 0 Standard 1x1 (Equal)No hmrskletek<!FF24> 8, 16 Standard 1x1 (Equal)No 80, 16 Standard 1x1 (Equal)No 8, 32 Standard 1x1 (Equal)No 80, 32 Standard 1x1 (Equal)No 8, 48 Standard 1x1 (Equal)No 80, 48 Standard 1x1 (Equal)No [Numeral Display] Position Ref Table PLC Address Attribute Display Smoothing FrGnd 32, 16 Dir 0 D00200 (Equal)Standard Dec No 104, 16 Dir 1 D00201 (Equal)Standard Dec No 32, 32 Dir 2 D00202 (Equal)Standard Dec No Attribute Standard Standard Standard Standard Standard Standard Standard FrGnd Colour BkGnd Colour Description White Black Ht White White White White White White Black Black Black Black Black Black Font Standard Standard Standard t1: t2: t3: t4: t5: sszm: Scale 1x1 1x1 1x1

Word Integer Decimal Colour BkGnd Colour 1 3 1 White Black 1 3 1 White Black 1 3 1 White Black

Sign Zero Sup No No No Yes Yes Yes

226
104, 32 Dir 3 (Equal)Standard 32, 48 Dir 4 (Equal)Standard 136, 48 Dir 5 (Equal)Standard D00203 Dec No D00204 Dec No D00125 Dec No 1 White 1 White 1 White 1 3 3 1 Black 1 Black 0 Black No No No Yes Yes No Standard Standard Standard 1x1 1x1 1x1

A kvetkez kpen (kpsorszm = 3) egy recepturasorszmot s az adott recepthez tartoz t hmrsklet-alapjelet lehet a kezelnek megadnia. A kpdefinils eredmnye: Screen No.: 3 Standard Screen Screen Comment:

A fejlesztrendszer ltal nyilvntartott informcik:


[Fixed Display: Text/Mark] Position Font Scale Smoothing 16, 0 Standard 1x1 (Equal)No alapjelei 0, 16 Standard 1x1 (Equal)No 80, 16 Standard 1x1 (Equal)No 0, 32 Standard 1x1 (Equal)No 80, 32 Standard 1x1 (Equal)No 0, 48 Standard 1x1 (Equal)No 80, 48 Standard 1x1 (Equal)No [Numeral Input] Position Table PLC Address Attribute Display Smoothing BkGnd Colour 24, 16 6 D00178 (Equal)Standard Dec No Black 96, 16 7 D00179 (Equal)Standard Dec No Black 24, 32 8 D00180 (Equal)Standard Dec No Black 96, 32 9 D00181 (Equal)Standard Dec No Black 24, 48 10 D00182 Word Max 1 1 1 1 1 Attribute Standard Standard Standard Standard Standard Standard Standard FrGnd Colour BkGnd Colour Description White Black A htk White White White White White White Black Black Black Black Black Black t1: t2: t3: t4: t5: sszm: Scale FrGnd Colour White White White White

Integer Decimal Sign Zero Sup Font Min Focus Frame Focus Attr 3 3 3 3 3 1 99999999 1 99999999 1 99999999 1 99999999 1 No 0 No 0 No 0 No 0 No Yes Yes Yes Yes Yes Yes Yes Yes Yes

Standard 1x1 Standard Standard 1x1 Standard Standard 1x1 Standard Standard 1x1 Standard

A kvetkez kp egy gpszeti egysg motorjainak hkiold llapott mutatja. A PLC-ben a hkioldk (bites vltozk) llapottl fggen vagy a +, vagy a (ASCII) karaktert rjuk a PLC DM vltoziba. A definilt kp a kvetkez: Screen No.: 6 Standard Screen Screen Comment:

A fejlesztrendszer ltal trolt informcik az albbiak:


[Fixed Display: Text/Mark] Position Font Scale Smoothing Attribute 0, 0 Standard 1x1 (Equal)No Standard hkioldi 0, 16 Standard 1x1 (Equal)No Standard 1.: FrGnd Colour BkGnd Colour Description White Black Vght I. White Black Ventiltor

227
0, 2.: 0, : 32 48 Standard Standard 1x1 (Equal)No 1x1 (Equal)No Standard Standard White White Black Black Ventiltor Szvatty

[String Display] Position Ref Table PLC Address Smoothing FrGnd Colour BkGnd Colour 120, 16 Dir 15 D00027 White Black 120, 32 Dir 16 D00028 White Black 120, 48 Dir 17 D00029 White Black

Word 1 1 1

Char Font 2 2 2 Standard Standard Standard

Scale 1x1 (Equal) 1x1 (Equal) 1x1 (Equal)

Attribute Standard Standard Standard No No No

Az NT 11S fejlesztsi lehetsgei terletn egy meglehetsen egyszer, de nem tl hatkony programozsi eszkz ll rendelkezsre. Jelen esetben nagyon hinyzik az (ami a nagyobb intelligencij NT-k, de ms gyrtk berendezseiben is megtallhatk), hogy egy bites vltoz kt rtkhez kt szveget hozzrendeljnk. Ez csak gy oldhat meg, hogy a PLC-programban a hkioldk pillanatnyi rtktl fgg szvegeket jelents tbbletprogrammal lltjuk el. A mkds kvetkez lpse a kpvlts mechanizmusa. A fejlesztrendszer lekrdezi, hogy a PLC melyik vltozja (DM) tartalmazza a megjelentend kp sorszmt. A PLC-program lltja be a kpsorszmot. A kp szmos mdon vlthat, jelen esetben az NT 11S F1F4 funkcibillentyivel.
[F-Key Input Notify Table] No PLC Address IO Comments 0 L0000000 1 L0000001 2 L0000002 3 L0000003

A fejlesztrendszerben interaktv mdon a ngy funkcibillentyt a megjellt bites PLC-vltozkhoz kapcsoltk. Ezen bites vltozk 1 rtkek, ha az adott funkcibillentyt megnyomjuk, ill. 0 rtkek, ha a gombot nem mkdtetjk. gy egy PLC-programrszlettel a kpvlts-mechanizmus megoldhat. Az NT 11S s a PLC kztti adatcsert kt adattbla kitltsvel befolysolhatjuk: a numerikus s a szveges adatok cserjt rjk le.
[Numeral Memory Table] No Init Value Init 0 0 No 1 0 No 2 0 No 3 0 No 4 0 No 5 0 No 6 0 No 7 0 No 8 0 No 9 0 No 10 0 No 11 0 No 12 0 No 32 0 No 33 0 No 34 0 No 35 0 No [String Memory Table] No Init Content 15 16 17 18 19 20 21 Storage Word 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 PLC Address D00200 D00201 D00202 D00203 D00204 D00125 D00178 D00179 D00180 D00181 D00182 D00177 D00267 D00000 D00024 D00045 D00044 Init No No No No No No No IO Comments t1 t2 t3 t4 t5 recept nmb sp1 sp2 sp3 sp4 sp5 sp. recept nmb. sp. nov. receptn ido1 db1 ido2 db2 Word 1 1 1 1 1 1 1 PLC Address D00027 D00028 D00029 D00030 D00031 D00032 D00033 IO Comments v11h v12h sz1h v21h v22h sz2h v31h

228
22 23 24 25 26 27 28 29 30 31 No No No No No No No No No No 1 1 1 1 1 1 1 1 1 1 D00034 D00035 D00036 D00037 D00038 D00039 D00040 D00041 D00042 D00043 v32h sz3h v41h v42h sz4h v51h sz5h szh f1h f2h

A nagyobb intelligencij (pl. grafikus) terminlok programozsa hasonl elvek alapjn trtnik, de lnyegesen tbb funkci ismertetse szksges.

Irodalomjegyzk E. A. Parr: Programmable Controllers - An Engineer's Guide. Newness, 1996. [2] Ian G. Warnock: Programmable Controllers Operation and Application. Prentice Hall International, 1988. [3] A. J. Crispin: Programmable Logic Controllers. McGraw-Hill Companies, 1997. [4] Tverdota M., Harkay T.: Villamos vezrlstechnika. Budapest, KKMF, 1998. [5] IEC-1131/I-IV. szabvny, 1992. [6] SIEMENS: LOGO! kziknyv. 1999. [7] Keresztesi K.: VLC, a megbzhat PC alap vezrl. Magyar Elektronika, 1999/10. [8] OMRON: CPM PLC Felhasznli kziknyv. 1999. [9] SIEMENS: S7 PLC Data Book. 1996. [10] BOSCH: PLC gpknyv. 1997. [11] Z'WORLD: BL1500 User's Manual. 1990. [12] SAIA: Process Control Devices PCD2 Series. 1993. [13] OMRON: E5AK Digital Controller Users Manual. M088-E1-1, 1998. [1]

229

6. PLDK PLC ALAP IPARI AUTOMATIZLSRA


A jellegzetes ipari vezrlsek ismertetsnl a pldk jelents rsze a gpipari alkalmazsok krbl kerlt kivlasztsra, ahol a pneumatikus beavatkozs jellemz. Kivlasztskor a kvetkez szempontokat vettk figyelembe: a pldk bemutatsa a rutinvezrlsekkel kezddik, amelyek ismeretben a bonyolultabb vezrlsek rszben felpthetk; a rutinvezrlseknl a hagyomnyos pneumatikus analgit is bemutatjuk a szemlletbvts s a huzalozott pneumatikus vezrlsrl a PLC-s vezrlsre trtn ttervezs megknnytshez, a programok megvalstshoz a 3. fejezetben megismert, de kevs utastssal rendelkez PLC-t vlasztottunk a knnyebb megrtshez.

6.1. Gpipari automatizlsi mintapldk


A gpiparban elfordul klnfle automatizlsi feladatok a kvetkez csoportokra oszthatk: tisztn ktllapot vezrlsek villamos, ill. pneumatikus kimenetekkel (pl. logisztikai, mechatronikai stb. alkalmazsok); vezrls s pozcionls jelleg helyzet- s sebessgszablyozssal kombinlt feladatok ignyes ember-gp kapcsolattal (pl. CNC, CAM, robottechnika); rugalmas gyrtrendszerekben (FMS) tbbszint informatikai hlzattal kombinlt vezrlsi s szablyozsi feladatok; a vllalati informcis rendszerrel kombinlt, szmtgppel integrlt gyrtrendszerek (CIM) irnytsa. A els feladatot rszletesen, a tbbit rendszertechnikai szinten trgyaljuk. 6.1.1. Pneumatikus vezrlsek A pneumatikus, hidraulikus rendszereknek a gpiparban kiemelt jelentsgk van. A pneumatikus rendszerekben az informcit hordoz kzeg a srtett leveg, amelynek nyomsa vagy ramlsa az informci. Pneumatikus elemeket fknt a gpiparban alkalmaznak, ahol a kimenjelet egyenes vonal mozgssal kifejtett ertvitelre hasznljk. Msik alkalmazsi terlet a vegyi s rokon ipargak, a tz- s robbansveszlyes terek automatizlsval. Napjainkban a gyjtszikramentes villamos eszkzk elterjedsvel az utbbi terleten a jelentsgk cskken, ezrt csak a gpiparban elterjedt tszelepekkel foglalkozunk. A nagynyoms pneumatikus rendszerek kt jellegzetes elemtpusbl plnek fel: tszelepekbl s munkahengerekbl. Egyenes vonal mozgsok ltrehozsra a legegyszerbb vgrehajt szerv a munkahenger. A munkahengerek lehetnek egy-, ill. ktoldali mkdtetsek. Az egyoldali mkdtets munkahenger visszatrtst rendszerint ruger vgzi (6.1. bra).

230

6.1. bra. Munkahengerek mkdtetse A munkahengereket tszelepekkel mkdtetik. Az tszelepek a srtett leveg ramlsnak irnyt hatrozzk meg. A f ramlsi irnyok: a tpleveg-hlzatbl a felhasznlsi hely fel, valamint a felhasznlsi helytl az atmoszfrba. Az tszelepeket a kapcsolsi helyzetek szma, a csatlakozik szma s mkdtetsi mdjuk alapjn klnbztetik meg. Leggyakoribbak a ktlls tszelepek. Az tszelepek jellsre a CETOP (Eurpai Olajhidraulika s Pneumatika Bizottsg) jellse terjedt el, de mg mindig van, pl. MECMAN jells is. A CETOP szimblum a szelepek mkdst s funkcijt szemllteti. A szelep minden mkdsi llapott egy-egy ngyzetnek (tglalapnak) rajzoljuk s bejelljk az ramls irnyt. E szerint egy ktlls szelep kett, a hromlls szelep szimbluma hrom ngyzetbl ll. Ha mkdtetszervet mkdtetnk, mindig azt a kapcsolsi helyzetet kapjuk, amelyet a vezrlszerv brja melletti ngyzetben tntettnk fel. A csatlakoznylsok elhelyezsnek nem kell megegyeznie azok valsgos helyzetvel. Csatlakozsok szmozsa: 1 bemls (csatlakozs a srtettleveg-hlzatba); 2 kimls, kivezets a fogyaszthoz (pl. munkahengerhez); 3 a 2-es kivezetshez tartoz kipufogs; 4 kimls, kivezets a fogyaszthoz (pl. munkahengerhez); 5 a 4-es kivezetshez tartoz kipufogs; 10 vezrlleveg-csatlakozs, amely zrja a norml helyzetben nyitott szelepet ktutas szelepeknl; 12 vezrlleveg-csatlakozs, amely az 1-2 utat nyitja; 14 vezrlleveg-csatlakozs, amely az 1-4 utat nyitja. A hromutas szelepek mkdst s CETOP jellst szemlltetjk a 6.2. brn.

231

6.2. bra. A hromutas szelepek mkdse s CETOP jellse A szelepek egy csoportja kitntetett alaphelyzet (ezt a rug jelkpe mutatja). A mkdtetjel megsznte utn a szelepek automatikusan az alaphelyzetbe llnak. Aszerint, hogy a tplevegt a szelep ebben a helyzetben tovbb engedi vagy elzrja, megklnbztetnk alaphelyzetben nyitott, ill. alaphelyzetben zrt kapcsolsi mdokat. Az impulzusvezrls szelepeknek nincs alaphelyzete, a szelep helyzett a legutbbi mkdtets hatrozza meg. A 6.3. brn a leggyakoribb szelepek CETOP jelkpe lthat, ahol a reteszelszelepeket is feltntettk. Ebbe a csoportba a visszacsap, vlt-, ktjel-mkdtets s a gyorslgtelent szelepek tartoznak.

A 6.3. bra. A leggyakoribb szelepek CETOP jelkpe

232 A visszacsap szelepek az egyik irnyban szabad ramlst biztostanak, mg a msik irny ramlst megakadlyozzk. A vltszelepek (ketts visszacsap szelepek) a logikai VAGY, a ktjel-mkdtets szelepek az S kapcsolatot valstjk meg. A gyors lgtelentszelep a leveg ramlsnak irnytl fggen az 1-2 ramlsi irnyt biztostja, ill. ellenkez levegramls esetn megnyitja a 2-3 ramlsi irnyt, gy a 2 csatlakozrl a leveg a 3 csatlakozn t kzvetlenl a szabadba tvozik. Ezzel a szeleppel a munkahengerek dugattysebessgt nvelhetjk azltal, hogy a kipufoghenger-kamra gyors lertst biztostja a szelep. Az tszelepek tkapcsolsa, azaz a srtett leveg ramlsi irnynak kivlasztsa a szelep mkdtetsvel trtnik. A klnbz mkdtetsi mdokat s jelkpeiket a 6.4. brn lthatjuk.

6.4. bra. A klnbz mkdtetsi mdok s jelkpeik Az tszelepek az rintkezkhz hasonlan sorba, ill. prhuzamosan kapcsolhatk. Prhuzamos kapcsols esetn a kimeneten vltszelepet kell beiktatni a kros kipufogs elkerlsre, amely a szelepek ellenttes llapotban lphet fel. Az egyes kapcsolsok lehetnek aktvak s passzvak attl fggen, hogy a kimenjelet bevezetett vltoz (passzv) vagy a tpnyoms (aktv) hozza ltre. A NEM kapcsolatot alaphelyzetben nyitott, a jelmsolst alaphelyzetben zrt szeleppel valsthatjuk meg (6.5. bra).

6.5. bra. Jelfordt s jelmsol A 6.6. bra ktfle passzv s egy aktv S kapcsolst mutat, amelyet kt jelmsol soros kapcsolsval valsthatunk meg a c) bra szerint. Ktfle passzv (a) s kt aktv (b, c) VAGY kapcsols lthat a 6.7. brn.

233 A b) bra szerinti kapcsolsban vltszelep nlkl A = 0, B = 1 esetn (s fordtva) a tpleveg az alaphelyzetben nyitott szelepen a szabadba tvozna.

6.6. bra. Ktfle passzv (a, b) s egy aktv (c) S kapcsols

6.7. bra. Ktfle passzv (a) s kt aktv (b, c) VAGY kapcsols Hasonl a NAND, ill. NOR kapcsolat is a De Morgan-szablyok alkalmazsval (6.8. bra).

6.8. bra. NAND, ill. NOR kapcsolat tszelepekkel 6.1.2. Pneumatikus rutinvezrlsek A PLC, a villamos bemenetek s a pneumatikus vgrehajt szervek mkdtetst szemllteti a 6.9. bra. A bemeneti eszkzk rendszerint mechanikus kapcsolatban vannak a munkahengerekkel, pldul vgllskapcsolknt a henger ltal mkdtetve. A PLC be- s kimenetei egy-

234 arnt villamos jellegek, mivel a szelepek elektromgneses mkdtetsek s a vgllskapcsolk villamos jelet szolgltatnak.

6.9. bra. PLC illesztse a pneumatikus rendszerbe A 6.10. brn a jelmsol (alaphelyzetben zrt) kapcsolsnak PLC-s megfelelit (a), valamint a jelfordt (alaphelyzetben nyitott) kapcsols utastslistjt s ltradiagramjt adtuk meg (b).

6.10. bra. Jelmsol (a) s jelfordt (b) megvalstsa A membrnos elemmel ltrehozott S kapcsolat (a), ill. az INHIBCI (b) vltozatai a 6.11. brn lthatk.

235

6.11. bra. S (a) ill. INHIBCI (b) vltozatai A VAGY kapcsolat (vltszelep) s a NOR funkci vltozatait a 6.12. bra szemllteti.

6.12. bra. VAGY (a), ill. NOR (b) vltozatai Az ANTIVALENCIA (EXOR) fggvny (a), valamint a NAND (b) pneumatikus s PLC-s vltozatait a 6.13. brn lthatk.

236

6.13. bra. EXOR (a), ill. NAND (b) vltozatai A 6.14. brn egyszer ekvivalencik lthatk.

237 6.14. bra. Ekvivalencik vltozatai SR FF s monoflop megoldsokat szemlltet a 6.15. bra csak ponlt kimenetekkel (a), ill. komplemens kimenetekkel (b).

6.15. bra. SR FF s monoflop megoldsok Bersra (a), ill. trlsre elsbbsget biztost (b) pneumatikus SR FF megolds vltozatait szemllteti a 6.16. bra. A bersi elsbbsg gy biztosthat, hogy a RESET gban szerepel a bersi felttel negltja. gy a bersi felttel teljeslsekor a trls inhibitldik. A trlsi elsbbsg gy (is) biztosthat, ha idben a RESET felttel kveti a SET felttelt, gy ez lesz dominns. A kvetkezkben nhny brn az utastslists program knnyebb megrtshez egy-egy lehetsges memria-cmtartomnyt is hozzrendelnk.

6.16. bra. Bersra (a), ill. trlsre (b) elsbbsget biztost SR FF

238 A pldkban a KEEP funkci az adott PLC-ben az SR FF megvalstst jelenti. Tpfeszltsg- (tpnyoms-) figyel pneumatikus kapcsols s programja lthat a 6.17. brn. A kapcsols tpfeszltsg- (tpnyoms-) kimarads utn nem veszi fel automatikusan a hiba eltti llapott [2].

6.17. bra. Tpllsi hibafigyel megoldsok Bekapcsolsi ksleltets ellltsi vltozatai lthatk a 6.18. brn. A pneumatikus kapcsols alaphelyzetben zrt szeleppel, fojtssal s puffertartllyal van megoldva [2].

6.18. bra. Bekapcsolsi ksleltets megoldsai Ktkezes biztonsgi vezrls vltozatai lthatk a 6.19. brn. A biztonsgi jelz arra utal, hogy a START jel csak akkor kerl kiadsra, ha a kt nyomgomb lenyomsa 0,3 mp-en bell van. Brmelyik kz elengedsekor azonnal a STOP hats rvnyesl. gy megakadlyozhat az indt szelepkarok kitmasztsval trtn gpindts. A kapcsols alkalmazst a balesetveszlyes terleteken szabvny rja el.

239

6.19. bra. Ktkezes biztonsgi vezrls megoldsvltozatai A sorrendi folyamatbra (SFC) egy elemnek pneumatikus s programbeli megfelelje lthat a 6.20. brn. Eszerint a HR 004 lps ltrejttnek felttele a HR 003 & 006, mg a HR 004 trlst a HR 005 teljeslse vgzi [2].

240

6.20. bra. Az SFC egy eleme Az SFC pneumatikus kapcsols s a PLC program megrtst clozza a 6.21. bra. Az bra jl hasznlhat a pneumatikus vezrlsek PLC-program rshoz.

6.21. bra. SFC hrom elemnek kapcsolatrendszere s megoldsai A pneumatikus vezrlseket rendszerint munkadiagramon adjk meg. Egy feladat megoldst a munkadiagram megrajzolsval kezdjk. Pldaknt a munkadarab-befogs s frsllyeszts vezrlst mutatjuk be kt munkahenger segtsgvel (6.22. bra). Az A munkahenger a befogst, a B munkahenger a fr sllyesztst vgzi. A b brn a feladatot megvalst elektrohidraulikus kapcsolst, az a brn a munkadiagramot rajzoltuk meg. A hidraulikus jellegre utal, hogy a hengerek mkdtetsnl a szivattyt s az olajtartlyt is feltntettk s a visszafolys nem a lgtrbe, hanem a visszafoly gon t a tartlyba trtnik. A munkadiagramon feltntetjk a bemeneti vltozkat s a vltozsokat ltrehoz kimeneteket. Az egyes llapotokat kivlt bemeneti jelkombincik: 1. llapot: 2. llapot: 3. llapot: 4. llapot: 502 = 001 & 003, 504 = 002 & 003, 503 = 002 & 004, 501 = 002 & 003.

Mivel a 002 & 003 kombinci ms-ms kimeneti esemnyt (504, ill. 501) hoz ltre, ezrt a feladat sorrendi jelleg. A ltradiagramos, ill. utastslists megoldst a 6.22. bra mutatja.

241

6.22. bra. A befogs s frsllyeszts vltozatai Igen gyakori feladat az egyes munkafzisok ismtlse. Erre plda a 6.23. bra, amelyen a B henger ktszer norml s egyszer idben nyjtott munkafzist hajt vgre [2].

242

6.23. bra. Ismtelt munkafzis kapcsolsa s programozsa A munkadiagram szerint ht bels llapotot kell megklnbztetni, gy a feladat 7 SR FF (vagy tart ramkr) felhasznlsval oldhat meg a 6.24. bra szerint [2].

243

6.24. bra. A 6.23. bra szerinti munkadiagram PLC-programja A kvetkezkben a pneumatikus vezrlsekben hasznlt hrom rutinmegoldst s PLC-s vltozatt mutatjuk be. 1. A vszstop (emergency stop) alapkapcsolst s programjt lthatjuk a 6.25. brn. A kapcsols kt kzi mkdtets, hromutas, rug-visszatrts impulzusszelepet

244 (STOP, RESET) s egy ktllapot tutas szelepet ignyel. A kapcsols a RESET aktivlsakor (012) alaphelyzetbe kerl s a tplevegt kijuttatja. STOP-jel hatsra a tpleveg lekapcsoldik s a kimenetre a vszstop jel jut ki [2].

6.25. bra. STOP-RESET vszlekapcsols s programozsa 2. A START funkcival bvtett vszstop megolds szerint a tpleveg aktivlsa csak a RESET mkdtetse utn a START-gomb aktivlsval lehetsges (6.26. bra) [2]. A STOP mkdtetsnek hatsra a tpleveg-ellts megsznik.

245

6.26. bra. STOP-RESET-START kapcsols s programozsa 3. Egy KZI-AUTOMATIKUS zemmdkapcsolval kombinlt vszstop megoldst s programozst mutatja be a 6.27. bra [2]. A kapcsolsban hrom tutas memriaszelep tallhat s a programban is hrom tartrel (KEEP) van. Gyakran van szksg a kimen startjel sztvlasztsra gpi START s kzi START formjban. A vszlelltst itt is a tpleveg lekapcsolsval oldjk meg.

246

6.27. bra. STOP-RESET-START kapcsols KZI/AUT zemmd vlasztsval

6.1.3. traksi mveletek vezrlse A gpipari vezrlsi pldk bemutatst egy emels-szorts-tovbbts-elengeds mveletekbl ll trak vezrlsvel zrjuk. Az traksi mveletet vgz robotfej vezrlst szemllteti a 6.28. bra [3]. A technolgiai mvelet clja a munkadarab traksa a bal oldali asztalrl a jobb oldali asztalra. A mozgatst hrom munkahenger vgzi a kvetkez tekercs hozzrendelsekkel.

247 Emels: Sllyeszts: Y432 Y430 Jobbra mozgats: Balra mozgats: Szorts/elengeds: Y433 Y434 Y431

Helyzetrzkelk X400 X401 X402 X403 X404 START indt nyomgomb Als vghelyzetkapcsol Fels vghelyzetkapcsol Jobb oldali vghelyzetkapcsol Bal oldali vghelyzetkapcsol

Alaphelyzet: X402 s X404. A mvelet rvid lersa 1. 2. 3. 4. 5. 6. 7. 8. Startgomb hatsra (X400) az Y430 aktivlsa rvn az als helyzetbe kerl (X401). A munkadarab-befog szerkezet Y431 mkdik. A befogs 1,7 s-ig tart. A megfogs utn a kar a munkadarabot felemeli X402-ig. A kar jobbra mozog X403-ig. A munkadarab leeresztse a jobb oldali munkaasztalra (X401). A munkadarab elengedse (1,5 s). A kar felemelkedik (X402). A kar visszatr az alaphelyzetbe (X404).

6.28. bra. Emel, trak robotfej vezrlsi smja A vezrlberendezs ngyfle zemmdot biztost. Az els hrom zemmd a bezemelshez szksges. A vezrlberendezs kezeli panelje a 6.29. brn lthat [3]

248 Egy mvelet zemmdban (Single operation) a gp egy traksi mveletrszt hajt vgre. A vgrehajtott mveleteket a Funkcivlaszts kapcsol jelli ki. Egy lps zemmdban (Single step) a START-gomb hatsra egy lps hajtdik vgre. Pldul az alaphelyzetbl a kar leereszkedik a bal asztalra. Egy ciklus zemmdban (One cycle operation) egy komplett ciklus hajtdik vgre: alaphelyzetbl indul s alaphelyzetbe tr vissza. Folyamatos zem (Continuous operation) zemmdban a START-gomb hatsra az alaphelyzetbl kiindulva a kar ismtelten vgre hajtja a komplett traksi ciklust. A STOPgomb hatsra a kar alaphelyzetbe tr vissza s lell.

6.29. bra. A vezrlberendezs kezeli panelje A feladat be-/kimeneteinek kiosztsa a 6.30. bra szerinti. Az zemmdokat bemutat brk a [3] alapjn kszltek.

249

6.30. bra. A kiindul feladat be-/kimeneteinek kiosztsa A feladat j plda a funkcivltsok bemutatsra, amely feltteles ugrs lvn lehetsges. A program egyszerstett ltradiagramja a 6.31. brn tallhat. Az egyes gak funkcii az bra magyarzata alapjn kvethetk.

250

6.31. bra. A kiindul feladat vezrlsnek ltradiagramja Az Egy mvelet funkcit a 6.32. brn tallhat ltradiagram valstja meg.

251

6.32. bra. Az Egy mvelet funkci ltradiagramja Az automatikus mkds folyamatbrja a 6.33. brn lthat.

252

6.33. bra. Az automatikus mkds folyamatbrja A 6.34. brn a folyamatos zem ltradiagramja lthat STL funkcikkal.

253

6.34. bra. A folyamatos zem ltradiagramja STL funkcikkal

254

6.2. PLC-k a rugalmas gyrtrendszerekben


A PLC-ket a gpipari automatizlsban egyrszt az egyedi vezrlsek megoldsra, msrszt gpcsoportok vezrlsre hasznljk. A kvetkezkben a gpcsoportokat vezrl alkalmazst mutatjuk be, ahol az egyik legfontosabb mvelet az egyes rszmveletek temezse. Leggyakrabban hasznlt gpcsoportok a rugalmas gyrtcella, ill. a rugalmas gyrtrendszer. Rugalmas gyrtcella (FMC) a legegyszerbb s ezrt a legrugalmasabb autonm gyrtegysg, amely egy CNC szerszmgpbl, automatizlt anyagkezel berendezsbl (pl. robot) s input-output puffertrolkbl tevdik ssze. Rugalmas gyrtrendszer (FMS) olyan real-time irnyts rendszer, amely ltalnos cl szerszmgpekbl vagy ilyeneket tartalmaz gyrtcellkbl ll. A rendszerben kis sorozatban gyrtand munkadaraboknak szmos klnbz technolgiai utat kell megtennik a kszre gyrtsig. A rendszer az anyagmozgat s -szllt berendezsek vltozatos fajtival lehet felszerelve. Jellemzje a gyrtsi folyamat- s termkflexibilits, aminek kvetkeztben knnyen s automatikusan thidalja a gpmeghibsodsokat. Egy tipikus rugalmas gyrtcella vzlata lthat a 6.35. brn.

6.35. bra. Egy rugalmas gyrtcella elemei A rendszer cellavezrl szmtgpen keresztl kapcsoldik az zemi hlzathoz. Egy gyrtcella informatikai hlzatnak felptst szemllteti a 6.36. bra, amelyen lthat a PLC-k elhelyezkedse s funkcija az informatikai rendszerben.

255 6.36. bra. Gyrtcella informatikai hlzatnak felptse A PLC szerepe a rugalmas gyrtrendszerben: az egyes automatizlt alegysgek mkdsnek temezse (sceduling) s szinkronizlsa; egyes folyamatok konkrt automatizlsa (pl. konvejorrendszer); a gyrtsi folyamat felgyelete, gpek, berendezsek llapotfelgyelete; lland informciszolgltats a gyrtsi folyamatrl a cellavezrl szmtgp fel.

6.3. Szmtgppel integrlt gyrts (CIM)


A CIM a mai modern szemlletben olyan szmtgpes koncepci, mdszer- s eljrsgyjtemny, amely alkalmas a tgabban rtelmezett termelsmenedzsment-funkcirendszer informatikai tmogatsra, szervezeti, funkcionlis s informcis integrlsra. A CIM tbbszint informatikai rendszere a 6.37. brn lthat [6].

6.37. bra. A CIM tbbszint informatikai rendszere A rendszer cscsn a vezetsi informcis rendszer ll (6. szint: Management Information System). Megfigyelhet, hogy a CIM-ben valamennyi automatizlsi, informatikai ismeret integrlt formban megjelenik. A PLC-k CIM-en belli szerept szemllteti a 6.38. bra. A PLC egyrszt tartja a kapcsolatot a folyamattal kzvetlenl vagy terepi hlzaton, msrszt kommunikl a fltte lv szmtgppel. Ez a kommunikci ktirny: jelentseket kld a folyamat llapotrl, ill. parancsokat s adatokat fogad. A PLC-k szerepe a CIM-ben: a konkrt gyrtsi, trolsi folyamat irnytsa, a folyamatok temezsnek biztostsa; informciszolgltats felfel a termelsi folyamat pillanatnyi llapotrl;

256 hiba-, ill. sttusinformcik kldse felfel archivls s adatbzis-frissts cljbl; a gyrtssal kapcsolatos informcik, parancsok fogadsa fentrl; a fels szintrl jv informci alapjn az irnytsi algoritmusok kztti vlaszts, komplett program letltse.

6.38. bra. PLC szerepe a CIM-ben

6.4. Vegyipari keversi folyamat automatizlsa


A 6.39. brn ngy tartlybl ll polimer alapanyag-kever tartly technolgiai vzlata lthat [3].

6.39. bra. Polimer alapanyag-kever tartly technolgiai vzlata

257 A tartlyok res, ill. tele llapotot detektl rzkelkkel vannak elltva. A T2 tartly ftegysggel van felszerelve a polimer megfelel hmrskleten tartshoz. A T3 tartly keverkarjaival keveri a kt komponenst. A T3 tartlybl szrn kerl t a keverk a T4 tartlyba. A T1, T2 tartlyok tltse a P1, P2 pumpval trtnik. A pumpkat a tele rzkelk kapcsoljk ki. Az als kt tartly (T3, T4) ktszer olyan trfogat, mint a fels tartlyok. A T2 tartlyban lv folyadkot 60 oC-ra kell felfteni. A hmrskletet rzkel mri. Amikor a hmrsklet elri a 60 oC -ot, akkor a P3, P4 pumpk rvn t kell tlteni a folyadkot a T3 tartlyba. Amikor a T3 megtelik, a P3, P4 pumpkat ki kell kapcsolni. Ekkor kell indtani a keverst a T3 tartlyban. 60 s keversi id elteltvel a keverket t kell pumplni a P5 mkdtetsvel a szrn keresztl. A P5 pumpt akkor kell lelltani, ha a T4 tartly megtelt vagy a T3 res. Vgl a megkevert s megszrt termket a trolsilba kell tlteni a P6 pumpa mkdtetsvel. A folyamat SFC folyamatbrjt a 6.40. bra szemllteti.

6.40. bra. A kevertartly vezrlsnek folyamatbrja A be/kimenetek hozzrendelst a 6.1. tblzat tartalmazza. 6.1. tblzat. A be/kimenetek hozzrendelse Funkci 1. tartly res 1. tartly res 2. tartly res 2. tartly res 3. tartly res Jells T1E T1F T2E T2F T3E PLC cm X401 X402 X403 X404 X405 llapot bemenet bemenet bemenet bemenet bemenet

258 3. tartly res 4. tartly res 5. tartly res Hmrsklet-rzkel START kapcsol T3F T4E T4F TEMP 1. llapot 2. llapot 3. llapot 4. llapot 5. llapot 6. llapot 7. llapot 8. llapot P1 P2 P3 P4 P5 P6 HEAT STIR TIME X406 X407 X410 X411 M70 M101 M102 M103 M104 M105 M106 M107 M108 Y430 Y431 Y432 Y433 Y434 Y435 Y436 Y437 Y450 bemenet bemenet bemenet bemenet PLC merker merker merker merker merker merker merker merker merker kimenet kimenet kimenet kimenet kimenet kimenet kimenet kimenet bels timer

1. pumpa 2. pumpa 3. pumpa 4. pumpa 5. pumpa 6. pumpa Ft elem Keverelem Idzt Kiindul egyenletek:

1. lps: 8 & T 4 E V INIT V 1& T1F E szerint az 1. lps akkor kvetkezik be, ha a 8-as lps befejezdtt S a 4. tartly res; VAGY a kezdeti ciklus van kezdemnyezve; VAGY az 1. lps s az 1. tartly mg nem telt meg. Tovbbi logikai egyenletek. 2. lps: 8 & T 4 E V INIT V 1 & T 2 F 3. lps: 4. lps: 5. lps: 6. lps: 7. lps: 8. lps:

2 & T 2 F V HEAT & TEMP (HEAT & TEMP V 4 & T 3 F) & T1E (HEAT & TEMP V 5 & T 3 F) & T 2 E (HEAT & TEMP V 6 & TIME ) & T 3 E (6 & TIME V 7 & T 4 F ) & T 3 E 7 & T 4F V 8 & T 4E .

Fenti egyenleteket talakthatjuk: 1. lps: 2. lps: 3. lps: 4. lps:


8 & T 4 E V INIT V 1 & TIF 8 & T 4 E V INIT V 2 & 3 2 & T2F V 3 & 5 (3 & TEMP V 4 & T 3 F) & T1E

259 5. lps: 3 & TEMP V 5 & T 3F & T 2 E

( ) 6. lps: (3 & TEMP V 6 & 7) & T 3 E 7. lps: (6& TIME V 7 & 8 ) & T 3E

8. lps: 7 & T 4 F V 8 &1 INIT = 1. A feladat ltradiagramja a 6.41. bra szerinti [3].

260

6.41. bra. A kevertartly vezrlsnek ltradiagramja

261

6.5. Mikro-PLC-k alkalmazsa


A PLC-k legkisebb s legjabb kategrija a mikro-PLC. Kzs jellemzjk, hogy mgneskapcsolnyi mretek, 5-10 bemenettel, 3-5 kimenettel vannak elltva s a programozi s megjelentsi funkcit is tartalmazzk, st terepi buszrendszer is csatlakozhat hozzjuk. A mikro-PLC-k tipikus kpviselje a LOGO! csald. Mszaki adatok:
mretek: 72 x 90 x 55 mm-tl 126 x 90 x 55 mm; bemenetek: 6...12 tpustl fggen; kimenetek: 4...8 tpustl fggen; ASI slave busz interfszkezels tpustl fggen.

A SIEMENS gyrtmny LOGO! felptst szemllteti az 6.42. bra [9].

6.42. bra. A LOGO! felptse A kimenetek lehetnek 4230 V/8 A-es (rels) vagy 824 V/0,3 A-es (tranzisztoros) kivitelek. A LOGO!-t a mgneskapcsolkhoz hasonlan DIN snre lehet felszerelni. A LOGO! alapkiptsben hat beptett alapmveletet s 11 beptett klnleges mveletet kpes vgrehajtani. Alapmveletek:
AND OR NOT NAND NOR XOR

zrrintkezk (3 db) soros kapcsolsa; zrrintkezk (3 db) prhuzamos kapcsolsa; bontrintkez; bontrintkezk (3 db) prhuzamos kapcsolsa; bontrintkezk (3 db) soros kapcsolsa; kt vltrintkez soros kapcsolsa.

262 Klnleges funkcik:


bekapcsolsi ksleltets; kikapcsolsi ksleltets (alkalmazsi plda: lpcshzi vilgts automatja); ki-/bekapcsol rel (alkalmazsi plda: folyosvilgts); kapcsolra + naptr; ntart rel (SR FF); impulzusad; trolbekapcsols ksleltetssel; elre- s visszaszmlls.

A LOGO! logikai szimblumokkal programozhat. Az LCD megjelentn egyidejleg egy szimblumot jelent meg. A logikai hlzat kialaktshoz a LOGO! blokkszmmal ltja el az egyes logikai modulokat, amivel szervezhetjk a blokkok kapcsolatt (6.43. bra) [9].

6.43. bra. Logikai blokkok sszekapcsolsa A LOGO! vagy a sajt kezelszervei s az LCD kijelzje felhasznlsval vagy PC-s fejlesztrendszer tmogatsval programozhat. A PC-s LOGO! szoftver elnyei: a programozs a LOGO!-tl fggetlen; a fejlesztett program szimullhat; kapcsolsi terv elllthat s kinyomtathat; a program trolsa merevlemezen vagy ms adathordozn lehetsges; a program tvihet a LOGO!-bl a PC-be vagy a PC-bl a LOGO!-ba. Nhny LOGO! alkalmazsi ajnls: lpcshz-, ill. folyosvilgts; automatikus ajt mkdtetse; riasztberendezs; szellztetberendezs vezrlse; ipari kapu automatikus mkdtetse; tbb ipari kapu vezrlse s felgyelete; fnycsvek mkdtetse; hztartsi vzszivatty vezrlse; tbb szivatty kzponti vezrlse s felgyelete.

263 Pldaknt a kzpletek, szupermarketek automatikus mkdtets ajtajnak vezrlst mutatjuk be LOGO! PLC-vel. Az automatikus ajt kvetelmnyei:
automatikusan ki kell nylnia, ha valaki kzeledik hozz, addig nyitva kell maradnia, amg valaki az tjrban tartzkodik, a fenti felttelek nem teljeslse esetn, rvid vrakozsi id elteltvel automatikusan be kell zrdnia.

Az ajtmkdtets technolgija az 6.44. brn lthat [9].

6.44. bra. Automatikus mkdtets ajt technolgija Az ajtt cssz tengelykapcsoln keresztl egy motor mozgatja. gy elkerlhet, hogy valaki a kt szrny kz beszoruljon vagy megsrljn. Az egsz vezrls fkapcsoln keresztl van a hlzatba ktve. A korbbi rintkezs megolds a 6.45. brn lthat.

6.45. bra. Automatikus mkdtets ajt rintkezs vezrlse

264 Amikor a B1 vagy a B2 mozgsrzkel valaki kzeledtt rzkeli, akkor a K3 mgneskapcsol elindtja az ajt nyitst. Ha mr egyik mozgsrzkel sem jelez mozgst, akkor a K4 mgneskapcsol bezrja az ajtt. A megfelel ksleltetsrl a K4 idrel gondoskodik. LOGO!-val a kapcsolst lnyegesen le lehet egyszersteni. A kszlkhez csak a mozgsrzkelket, a vgllskapcsolkat s a mgneskapcsolt kell csatlakoztatni (6.46. bra) [9].

6.46. bra. Automatikus mkdtets ajt vezrlsi smja LOGO!-val Felhasznlt elemek:
K1 K2 S1 S2 B1 B2

nyits mgneskapcsol; zrs mgneskapcsol; zrva vgllskapcsol (nyitrintkez); nyitva vgllskapcsol (nyitrintkez); kls infravrs mozgsrzkel (zrrintkez); bels infravrs mozgsrzkel (zrrintkez).

A funkciblokkos program a LOGO!-hoz a 6.47. bra szerinti [9].

6.47. bra. Funkciblokkos program az ajt mkdtetshez A 6.47. bra a 6.45. bra kapcsolsi rajza alapjn kszlt. A LOGO! kikapcsols ksleltetsi funkcijval a program tovbb egyszersthet a 6.48. bra szerint [9].

265

6.48. bra. Egyszerstett program az ajt mkdtetshez A LOGO! tpuson kvl szmos hasonl mikro-PLC van forgalomban, pldul a Klckner Moeller EASY tpusa vagy a Schneider Electric ZELIO tpusa.

Irodalomjegyzk

E. A. Parr: Programmable Controllers - An Engineer's Guide. Newness, 1996. [2] P. Rohner: Automation with Programmable Logic Controllers. Macmillan Press Ltd., 1996. [3] Ian G. Warnock: Programmable Controllers Operation and Application. Prentice Hall International, 1988. [4] Tverdota M., Harkay T.: Villamos vezrlstechnika. KKMF, Budapest, 1998. [5] Ajtonyi I.: Digitlis rendszerek. Miskolc, Egyetemi Kiad, 1998. [6] F. Erdlyi, T. Tth: Control of Manufacturing Systems on the Base of a Multi-level Hierarchical Model. Proceedings of the 3rd CIRP Workshop. Tokio, 1996. [7] Spendel Zs.: A pneumatikus munkahengerek kzvetlen s teljes funkcivezrlse. Pneumatika, hidraulika, hajtstechnika, automatizls, 1999/2000. [8] WONDERWARE: Factory Suite 2000 USERS MANUAL, 2000. [9] SIEMENS: LOGO! kziknyv, 1999. [10] GE Fanuc Automation: Series 90-30 Programmable Controllers. Product Catalog, 1997. [11] SIEMENS: SIMATIC S7-200. Applications "Tips and Tricks", 1995.

[1]

266

7. A MESTERSGES INTELLIGENCIA MDSZEREI A PROGRAMOZHAT AUTOMATKBAN


Az eddig ismertetett irnytstechnikai eszkzk s mdszerek kzs jellemzje, hogy az ember ltal ismert, rendszerint matematikai ton definilt irnytsi algoritmusokat valstjk meg, amelyek a rendszer matematikai modelljn alapulnak. Ha a jelenlegi automatkat az ember irnyt tevkenysgvel sszehasonltjuk, azt tapasztaljuk, hogy az ember szmos olyan irnytsi, dntsi funkcival rendelkezik, amelyek a mai technikai szinten igen nehezen, vagy egyltaln nem valsthatk meg. Ilyenek pldul az autvezets, a beszdfelismers, a kpfelismers, a tanulssal kombinlt irnyts, a tapasztalatokon alapul dntsek stb. Az emberhez hasonl dntsi, irnytsi funkcik a mestersges intelligencia mdszereinek irnytstechnikai alkalmazsval lehetsgesek. A mestersges intelligencia (Artificial Intelligence, AI) mdszereinek elemei: fuzzy mdszerek; neurlis hlzatok; genetikus algoritmusok; szakrti rendszerek; hibrid (vegyes) rendszerek. Az intelligens irnyts (Intelligent Control, IC) fogalomkre ngy tudomny terletre pl (7.1. bra): irnytselmlet, szmtstudomny, opercikutats s mestersges intelligencia mdszerei.

7.1. bra. Az intelligens irnyts fogalma Napjainkban a mestersges intelligencia irnytstechnikai alkalmazsa j nhny terleten mindennaposs vlt (ABS fkrendszer, kamerafkuszls stb.). Egyes PLC-, ill. DCS-gyrt cgek a mestersges intelligencia felhasznlshoz hardver- s szoftvermodulokat forgalmaznak.

267

Az irnytstechnika terletn inkbb a fuzzy jelleg irnyts terjedt el, de vannak tanul tpus, modellbecsls alapjn mkd neurlis automatk, st vegyes (neuro-fuzzy) struktrk is. A mestersges intelligencia mdszereinek alkalmazsa a nem mszaki terleteken (pl. orvostudomny, kzgazdasgtan, szociolgia) is jelents.

7.1. Fuzzy jelleg irnyts


Az irnytsi folyamatok egy rszben (diszkrt technolgik) a lejtszd folyamatok irnytsa a Boole algebra mdszereivel megfelelen lerhat s a vezrlstechnika eszkzeivel megoldhat. Folyamatos technolgik irnytsnl a folyamat szablyozsa a cl. Ilyen esetben a klasszikus szablyozselmlet szksges a tervezshez. A szablyozstechnikai feladat konkrt megoldshoz meg kell alkotni a rendszer pontos matematikai modelljt (pl. differencilegyenlet, llapotegyenlet), amire a szablyozselmlet mdszerei alkalmazhatk. Ez a mdszer a gyakorlatban akkor hasznlhat, ha nem tl bonyolult a rendszer, nincs tl sok be/kimenet, lineris rendszerknt rtelmezhet, ill. a matematikai modell ismert. A klasszikus szablyozstechnikai mdszerek alkalmazsnak a kulcsa a modellalkots preczsge, azaz a modell mennyire pontosan rja le a tnyleges rendszert. Ehhez n. mennyisgi modellezsre (quantitative modelling, kvantitatv modellezs) van szksg. Az irnytsi feladatok jelents rsze a klasszikus szablyozstechnika mdszereivel csak krlmnyesen, ill. j mdszerekkel oldhat meg, ha a feladat tl bonyolult, vagy a matematikai modell nem ismert, vagy a modell nem lineris stb. Ilyen feladatok az autvezets, az ABS fkrendszer, a videokamera kplessgnek szablyozsa, mosgp szablyozsa a ruha szennyezettsgtl fggen stb. Az ember a felsorolt irnytsi tevkenysget viszonylag knnyedn megoldja anlkl, hogy egzakt matematikai modellt (kvantitatv modellt) hasznlna. Ugyanis az ember nem mennyisgi, hanem minsgi modellt (qualitative modell - kvalitatv modell) alkalmaz az irnytsi, dntsi tevkenysgnek valamennyi terletn. A mennyisgi, ill. minsgi modellezs jelentsgt szemllteti, hogy a fejezet szerzjnek irnytsval kerlt kidolgozsra a TEMPUS MODIFY projekt keretben szmos nyugati s hazai egyetem bevonsval a System Modelling angol nyelv oktatsi anyag a PHARE program tmogatsval [6]. A dntsi folyamatokban a minsgi modellt hasznljuk, amely a lejtszd folyamat minstsn alapul (pl. a hmrsklet hideg, langyos, meleg, forr), ezrt ezt a szablyozst minst szablyozsnak nevezzk. A minst szablyozstechnika minsgkkel (pl. kicsi, kzepes, nagy, igen nagy) jellemzett vltozkon alapul. A milyensgkkel adott be- s kimeneti vltozk kztt feltteleket tartalmaz szablyokat alkot s gy vgzi el a szablyozst, ezrt gyakran szably alap (szably bzis, rule based) rendszernek nevezik s a tuds alap rendszerek kz soroljk. Napjainkban a legelterjedtebb tuds alap szablyozs a fuzzy (minst) szablyozs. A fuzzy irnytk szably alap rendszerek, ahol a fuzzy halmazok hatrozzk meg az irnyt be- s kimenjeleinek minsgi rtkeit. A minsgi rtkek tbbnyire nyelvi kifejezsek (nyelvi vltozk, linguistic variables), pl. kicsi, kzepes, nagy, amelyek fuzzy halmazokkal kifejezhetk. A fuzzy logikval a szakrti tuds nyelvi vltozkkal knnyen implementlhat s szmtgpi algoritmuss formlhat.

268

A fuzzy logika kidolgozsa Lofti Zadeh nevhez fzdik (1965). Az els fuzzy tpus szablyozt Mamdani a nem lineris gzgp automatizlsra fejlesztette s alkalmazta.

7.2. A fuzzy logika alapjai


7.2.1. Fuzzy halmazok A halmazokat a krvonaluk tulajdonsga alapjn feloszthatjuk crisp halmazra (les, hatrozott krvonal) s fuzzy halmazra (letlen krvonal). Egy tetszleges crisp halmaz a kvetkez hrom mdon adhat meg [5]: ha a halmaz vges, akkor elemei felsorolsval pl. (A = {1,10, 100, 1000}) ; az elemeire teljesl szabllyal pl. B = x X = 3n , n egsz ;

( {

})

1, ha x A a halmaz karakterisztikus fggvnyvel pl. X A ( x ) = . 0, ha x A A crisp halmazok karakterisztikus fggvnye minden alaphalmazbeli elemhez 0-t vagy 1-et rendel. A fuzzy halmaz karakterisztikus fggvnye az alaphalmaz minden elemhez valamely rgztett tartomnybl, amely ltalban a [0, 1] intervallum, rendel rtket. Ezen rtk nagysga a halmazbeli tagsg rtkvel arnyos. Az ilyen fggvnyt tagsgi fggvnynek (membership function), az ltala definilt halmazt fuzzy halmaznak nevezzk. A fuzzy halmazt jellssel fejezhetjk ki. A fuzzy halmazokkal a bizonytalan hatr termszetes nyelvi fogalmak reprezentlhatk. A fuzzy halmaz s tagsgi fggvny fogalmt egy biolgiai, ill. egy technikai pldval szemlltetjk. Az els pldban hrom trapz alak halmazzal modellezzk az emberek letkorra vonatkoz fiatal, kzpkor s reg fogalmakat (7.2. bra). A hrom fuzzy halmaz tagsgi fggvnyei a [30, 80] intervallumon kvethetk:
1, A1 = (40 x ) / 10, 0, ha x 30 ha 30 < x < 40 ha x 40

: X [ 0 , 1 ] ill. A : X [ 0 , 1 ]

(7-1)

(7-2)

0, (x 30 ) / 10, A2 = 1, (70 x ) / 10,

ha x 30 vagy x 70 ha 30 < x < 40 ha 40 x 60 ha 60 < x < 70

(7-3)

269

0, A3 = (x 60) / 10, 1,

ha x 60 ha 60 < x < 70 ha x 70

(7-4)

7.2. bra. Fiatal, kzpkor, reg fuzzy halmazok A fuzzy halmazt s a tagsgi fggvnyt rtelmezzk pldul egy kohszati kemence hmrskletre. Kpezzk az alacsony, megfelel, ill. magas nyelvi vltozk szerinti hmrskletek fuzzy halmazt (7.3. bra).

7.3. bra. Alacsony, megfelel, magas fuzzy halmazok Pldnkban 750 oC-nl nagyobb hmrsklet egyrtelmen magas, azaz a tagsgi fggvny rtke 1. A 650 oC alatti hmrskletrl egyltaln nem magas, azaz a tagsgi fggvny rtke 0. A kt rtk kztti hmrskleten a tagsgi fggvny rtke fokozatos, lineris tmenettel 0 s 1 kztti. A fuzzy halmazok kztti fokozatos tmenet miatt a hmrsklet egymst tfed intervallumokra oszthat. gy lehetsges, hogy egy adott hmrsklet egyszerre tbb halmazba is tartozik klnbz tagsgi rtkkel. Pldaknt a 700 oC a magas halmazba tartozik 0,5-s tagsgi rtkkel s a megfelel halmazba 0,25-s tagsgi rtkkel. Ebben az rtelemben a fuzzy halmazokat hasznljuk a nyelvi kifejezsek megjelentsre. Az egyes halmazokat viszonylagosnak kell rtelmezni, mivel egy msik hevtend anyag szempontjbl egsz ms hmrskletrtkek jelenthetik az alacsony, megfelel, magas fuzzy halmazokat. A fuzzy halmazok alakja az adott alkalmazstl fgg. A 7.4. brn trapz alak ( funkci) fuzzy halmazt lthatunk.

7.4. bra. Trapz alak fuzzy halmaz definilsa

270

A funkci tagsgi fggvnye ngy paramterrel van definilva a (7-5) szerint:


0 (X ) / ( ) (X, , , , ) = 1 1 (X ) / ( ) 0 X X X X X

(7-5)

A leggyakoribb hromszg alak () fuzzy halmazt szemllteti a 7.5. bra.

7.5. bra. Hromszg alak fuzzy halmaz definilsa A funkci hrom paramterrel definilhat a (7-6) szerint. 0 (X )/ ( ) (X, , , ) = ( X )/ ( - ) 0 X X X X

(7-6)

A fuzzy halmazokat nem csak egyenes vonalakkal definilhatjuk. Leggyakrabban a Gauss-fle harang-, ill. a Zadeh-fle S funkci hasznlatos. Utbbit a 7.6. bra szemllteti.

7.6. bra. S alak fuzzy halmaz 7.2.3. Mveletek fuzzy halmazokkal Az els mveleteket a fuzzy halmazokkal Zadeh a komplemens, a metszet s az uni halmazmveletek alapjn alkotta meg. A mveletek a Boole-algebrbl ismert NEM, S, ill. VAGY mveletek kiterjesztsnek is tekinthetk.
7.2.3.1. Fuzzy komplemens (NEM mvelet)

A fuzzy komplemens az albbiak szerint definilhat (7-8). Valamely A fuzzy halmaz komplemensnek nevezzk az X univerzumon azt az A halmazt, amelynek tagsgi fggvnyrtkei: (x ) = 1 A (x ) x X . (7-7) A A felrsbl kiderl, hogy komplemenskpzssel helyettestjk. a fuzzy logikban a NEM kapcsolatot a

271

A fuzzy komplemenskpzst szemllteti a 7.7. bra.

7.7. bra. Fuzzy komplemens szemlltetse


7.2.3.2. Fuzzy halmazok unija (VAGY mvelet)

Az A s B fuzzy halmazok unijnak nevezzk azt az A B halmazt, amelynek tagsgi fggvnyrtkei: A B = max A (X ), B (X ) x X . (7-8)

A fuzzy halmazok unijt maxmveletnek, ill. ltalnostott vltozatt S normnak nevezik. A fuzzy uni mvelet szemlltetse a 7.8. brn lthat.

7.8. bra. Fuzzy halmazok unija (maxmvelet, VAGY)


7.2.3.3. Fuzzy halmazok metszete (S mvelet)

Az A s B fuzzy halmazok metszetnek nevezzk azt az A B halmazt, amelynek tagsgi fggvnyrtkei:


A B =min A (X ), B (X )

x X

(7-9)

A felrsbl kiderl, hogy a fuzzy logikban az S kapcsolatot minmveletnek, ill. ltalnostott vltozatt T normnak nevezik. A fuzzy metszet fogalmt a 7.9. brn mutatjuk be.

7.9. bra. Fuzzy halmazok metszete (minmvelet, S)


7.2.3.4. Az unira, a metszetre s a komplemensre vonatkoz szablyok

a) A A 0 . Ezt szemllteti a 7.10a bra.

272

b) A A 1 . Ezt szemllteti a 7.10b bra.

7.10. bra. Komplemens fuzzy halmazok metszete (a), s unija (b)

7.3. Fuzzy kvetkeztet rendszer


A fuzzy halmazokat s a fuzzy halmazokon rtelmezett mveleteket felhasznlva ltre lehet hozni egy fuzzy kvetkeztet rendszert vezrlsi s/vagy szablyozsi clra. Ezen szablyrendszernek tbb elnevezse hasznlatos:
- Fuzzy Inference System (FIS), fuzzy kvetkeztet rendszer; - Fuzzy Rule Based System (FRBS), fuzzy szablybzis; - Fuzzy Associative Memory (FAM), fuzzy asszociatv memria.

A fuzzy kvetkeztet rendszer hrom komponenst tartalmaz: szablybzist (rule base), adatbzist (data base), amelyek definiljk a tagsgi funkcikat, valamint a kvetkeztet mechanizmust (inference engine). A fuzzy kvetkeztet rendszer felptse a 7.11. bra szerinti.

7.11. bra. A fuzzy kvetkeztet rendszer (FIS) elemei Az irnytstechnikai alkalmazsokban a jelek rendszerint konkrt (crisp) rtkkkel llnak rendelkezsre, ezrt a jelekbl elbb fuzzy halmazokat (tagsgi fggvnyeket) kell ltrehozni, majd a kvetkeztetst fizikai jell kell visszaalaktani. Irnytstechnikai clra a Mamdani s a Sugeno-Takagi modell terjedt el. A Mamdani tpus fuzzy irnyt rendszert a 7.12. bra szemllteti.

7.12. bra. Mamdani tpus fuzzy irnyt rendszer

273

A fuzzifikl egysg (minstegysg) a jel(ek) szmszer rtkt minsti, milyensgi osztlyba sorolja. Valamely osztlyba tartozs mrtkt a tagsgi fggvny alapjn hatrozhatjuk meg. A fuzzifikl egysg generlja a fuzzy halmazokat a bemenjelbl, vagyis meghatrozza a fuzzy vltozk tagsgi fokait. A fuzzifikl egysg a fizikai vltozbl (jelbl) nyelvi vltozt generl. A fuzzifikls talaktsi (transzformlsi) mvelet sorn a jel szmszer (crisp) rtkei lekpzdnek a jel milyensget tartalmaz minstsi (fuzzy) halmazba. A fuzzy kvetkeztet rendszer a bemeneti fuzzy halmazbl kimeneti fuzzy halmazt generl. A szablyrendszer minden szablya kt rszbl ll: a bemenetnek tekinthet felttelrendszerbl (conditions vagy ms nven antecedent) s a kvetkeztetsbl (consequent).
A szablyrendszer ltrehozshoz a kvetkez felttelek szksgesek: - a be- s kimeneti jelekhez olyan milyensgek tartoznak, amelyek igazsgtartalmt 0 s 1 kztti rtkkel jellemezzk (0, nem igaz, 1, igaz); - a felttelrendszerben az AND-, ill. OR-opertorok is hasznlhatk. Ezek figyelembevtelekor a fuzzy logika esetn a min-, ill. maxmveleteket alkalmazzuk; - a be- s kimenetek kztti sszefggseken alapul milyensgeket hasznl szablyrendszer alapjn rendszerint az IF....THEN (ha...akkor) tpus szablyok alkalmazsval meghatrozzuk a kimeneti jelek milyensgi halmazba val tartozst, valamint a felttelrendszer szmszer kirtkelsvel a halmazhoz val tartozs fokt.

A kimeneti fuzzy halmazt a szablyozban fizikai jell kell alaktani a defuzzifikl egysggel. A defuzzifikci sorn a szablysorok ltal meghatrozott sszevont fuzzy halmazhoz szmrtket rendelnk, ami a fuzzy kvetkeztet rendszer kimenjele lesz. A lekpzs nem egyenrtk mvelet, ezrt a ltrehozand kimenjel ellltsra tbb mdszer terjedt el (lsd a 7.4. alfejezet). A kvetkeztet rendszert rendszerint HA A (felttel) S B (felttel) .... AKKOR Y (kvetkezmny) alakban clszer felrni. A Mamdani fle HA...AKKOR kvetkeztet rendszert szemllteti a 7.13. bra. Pldul: HA a hmrsklet magas s a nyoms nagy, AKKOR a beavatkozjel legyen alacsony.

274

7.13. bra. Mamdani tpus FIS szemlltetse Az sszefggseket valamennyi, a pldban elfordul vltozatra fel kell rni egy tblzatba foglalva, amelynek egyik (pl. vzszintes) oldaln az egyik bemeneti vltoz minstett rtkeinek variciit (pl. alacsony, kzepes, magas), msik (pl. fggleges) oldaln a msik bemeneti vltoz minstett rtkvariciit rjuk. A tblzat egyes rubrikiba a kimeneti vltoz minstett rtkei kerlnek a 7.14. bra szerint.

7.14. bra. Fuzzy szablyrendszer tblzatba foglalsa A fuzzy kvetkeztet rendszer szablybzisnak annyi HA...AKKOR kvetkeztetsbl kell llnia, hogy a bemeneti minstett nyelvi vltozk valamennyi elfordul kombincijhoz egyrtelm kimeneti kvetkezmnyt rendeljnk (a pldban 3 x 3 = 9). A 7.14. brn bejellt cella az albbi szablyt fejezi ki: HA a hmrsklet (T) alacsony S a nyoms (P) alacsony, AKKOR a beavatkozs nagy.

275

A fuzzy kvetkeztet rendszer szemlltetsre a 7.15. brn egy lgkondicionl ngy szablynak minmvelettel ellltott rszhalmazt s a maxmvelettel kpzett logikai sszegt mutatjuk be. A bemeneti vltozk: hmrsklet (T), pratartalom (H), kimenjel: irnytott jellemz (C). Az brn T = 30 oC s H = 0,9 rtkek esetn kpzd rtkek vannak jellve. A pldban a kimenjel ktfle polarits lehet. A minmvelet esetn a kisebbik rtk hatrozza meg a hozz tartoz kimeneti halmazt. A kimenjel a rszhalmazok maxmveleteknt addik. Ez az rtk kerl a defuzzifikl egysg bemenetre.

7.15. bra. Kimen fuzzy halmaz ltrehozsa

7.4. Defuzzifikcis mdszerek


A defuzzifikci sorn a szablysorok ltal meghatrozott sszevont fuzzy halmazhoz szmrtket (crisp) rendelnk, ami a fuzzy szablyoz kimenjele lesz. Az rtk meghatrozsra tbb mdszert dolgoztak ki, kzlk a leggyakoribbakat mutatjuk be. A mdszerek kivlasztsa lnyeges, mert az egyes mdszerek eredmnye hat a szablyoz tulajdonsgaira.
7.4.1. Maximumkivlaszt eljrs (max.)

Ez az eljrs a legmagasabb tagsgi fokkal teljesl termet vlasztja ki s azt az rtket (Xm) jelli meg eredmnynek, ahol az adott term a maximumt ri el (7.16. bra). Szimmetrikus fggvny esetn ez a szimmetria tengely helye.

276

7.16. bra. Maximumkeres defuzzifikci A maximlis tagsgi fggvny a kvetkez sszefggssel llapthat meg: x x

( m ) = max x (x )

xm x.

(7-10)

A 7.15. brn a kimenjel defuzzifiklt rtke xm. Ez a mdszer csak cscsos fggvny esetn ad egyrtelm megoldst, amikor egy maximum van. A mdszer nagy elnye a rendkvl kis szmtsi igny s az ebbl kvetkez igen rvid szmtsi id, ami szablyoz esetn gyors mkdst jelent. Olyan fuzzy halmazok esetn, amelyeknl tbb maximumhely van, vagy a maximlis tagsgi fok vzszintes, a MAX mdszer kikszblsre a FOM, MOM, ill. LOM eljrst hasznljk. A FOM (First of Maximum) els maximum, a LOM (Last of Maximum) utols maximum s a MOM (Mean of Maximum) maximum-tlagrtk tpus defuzzifikcit a 7.17. bra szemllteti.

7.17. bra. FOM, MOM, LOM tpus defuzzifikci


7.4.2. Slypontszmt eljrs (Centre of Gravity, COG)

A slypontszmt eljrs az sszevont fuzzy halmaz slypontjnak helyt jelli ki, s tekinti eredmnynek. Az eredmny a kvetkez sszefggssel szmthat:
l ( Xmj) Xmj j=1 X* = l ( Xmj) j=1

(7-11)

ahol (Xmj) a j-edik term tagsgi foka a maximumhelyen (Xm). A COG defuzzifikcis mdszert a 7.18. bra szemllteti.

277

7.18. bra. Slypontkeres (COG) eljrs Az egyes defuzzifiklsi mdok meghatrozzk a szablyoz mkdst. A MAX s a MOM eljrskor a rendszer viselkedse hasonlt a tbblls szablyoz mkdsre, mg a COG eljrs esetn a PI szablyozra. Ezrt a COG pontosabb bellst eredmnyez, mg a msik kett kisebb tranziensjelensget. A MOM stratgia mindig jobb eredmnyt ad a MAX eljrsnl. A MAX eljrs ignyli a legkisebb szmtsi kapacitst, teht a leggyorsabb, mg a COG a legnagyobbat, ezrt a leglassbb.

7.5. Fuzzy szablyoz tervezse


A fuzzy szablyoz tervezsnek lpsei: - a fuzzy szablyoz modell megvlasztsa; - a fuzzy szablyrendszer kidolgozsa; - a fuzzy szablyoz behangolsa. A fuzzy szablyozsokhoz tbbfle modell kerlt kidolgozsra, pl. a mr emltett Mamdani-modellen kvl a Sugeno-Takagi-modell. A fuzzy szablyrendszer kidolgozsa az elzekben lertak szerinti. Az ismert PD-, PI-, ill. PID-szablyozk a fuzzy szablyrendszer megfelel kialaktsval kzelthetk. Pldaknt a PD-szablyozt gy foghatjuk fel, mint egy relcit a hibajel (e), a hibajel megvltozsa (e) s a kimenjel (c) kztt:
- HA a hibajel (e) kicsi s a e kzepes, AKKOR a c kicsi, - HA e kzepes s e nagy, AKKOR c kzepes stb.

A PD jelleg fuzzy szablyoz szablybzisnak ltalnos alakja: HA e = felttel S e = felttel, AKKOR c = kvetkeztets. A Mamdani-fle fuzzy kvetkeztet rendszerrel a 7.19. bra szerint pl fel a fuzzy szablyozkr.

7.19. bra. Mamdani-fle fuzzy szablyozkr

278

A szablyok forrsa s szrmaztatsa: - a szakrti ismeretek s a mrnki tapasztalat alapjn (pl. szakmai lersok, zemeltetsi knyvek, krdv); - a kezelszemlyzet beavatkozsainak megfigyelse alapjn; - a folyamat fuzzy modelljnek (lersnak) fellltsa alapjn, tanulrendszerek felptsvel. A fuzzy szablyoz behangolst a kvetkezk figyelembevtelvel vgezhetjk. A fuzzy szablyozk esetn klns jelentsge van a szablyoz behangolsnak, mivel a szablybzis igen nagyfok kzeltst s szabadsgot jelent. Egyrszt az egyes nyelvi vltozkat konkrt tagsgi rtkkel kell helyettesteni a konkrt futtathat algoritmus kidolgozshoz, msrszt a szablybzis megalkotsakor nem vagy csak rszben vagyunk tekintettel a szakasz dinamikai tulajdonsgaira. Belthat, hogy pl. egy fuzzy jelleg helyzetszablyozsnl nem kzmbsek a hajtm s a szakasz dinamikai tulajdonsgai. Teht a fuzzy szablyoz tervezsnl nem a szablybzis megalkotsa a nehz feladatot, hanem az optimlis mkds szablyoz behangolsa. A fuzzy szablyoz behangolst segt tnyezk:
- kell gyakorlat hasonl funkcij fuzzy szablyoz behangolsa alapjn; - ksrleti ton, nagyszm mrsi sorozat felhasznlsval (ksrleti hangols); - optimumkeres genetikus algoritmus felhasznlsval.

Rszben a fentiekkel indokolhat, hogy fuzzy szablyozkat fknt a szakasszal egybeptik s gyrilag behangoljk (pl. ABS fkrendszer, kamerafkuszls, mosgp-, ill. lgkondicionl-irnyts stb.). Kln nehzsget jelent a fuzzy szablyozk tervezsnl a rendszer stabilitsnak biztostsa.

7.6. Fuzzy szablyozk stabilitsa


A klasszikus szablyozselmlet tbb mdszert dolgozott ki a szablyozott rendszerek stabilitsnak vizsglatra (Routh-Hurwitz, Nyquist, Bode stb), amelyek felttelezik a szablyozott szakasz s a szablyoz egzakt matematikai modelljt. Ha mindkt modell lineris, folytonos s idfggetlen, akkor ezen mdszerek jl alkalmazhatk. A fuzzy szablyozk nemlinerisak s ltalban ott alkalmazzk, ahol az elbbi kvetelmnyek nem teljeslnek. A fuzzy szablyozsok is visszacsatolt rendszerek, gy a stabilitsi krdsek fontosak. Az alapvet problma, hogy a fuzzy modell kvalitatv modell, amely szubjektv becslsen alapul. A visszacsatolt rendszer stabilitsa viszont csak kvantitatv informci alapjn hatrozhat meg egzaktul. A jelenleg kidolgozott stabilitsvizsglati mdszerek alkalmazhatsga szempontjbl a fuzzy szablyozkat ngy osztlyba soroljk: 1. osztly: 2. osztly: 3. osztly: 4. osztly: a folyamatmodell ismert s lineris, a fuzzy szablyoz kzvetlen szablyozst vgez; a folyamatmodell ismert s nem lineris, a fuzzy szablyoz kzvetlen szablyozst vgez; a folyamatmodell ismert (lineris vagy nem lineris), a fuzzy szablyoz felgyeleti vagy adaptv fuzzy szablyoz; a folyamatmodell fuzzy jelleg, a fuzzy szablyoz kzvetlen szablyozst vgez.

279

Az els hrom osztlyra vannak rszben kidolgozott stabilitsvizsglati mdszerek (mivel mindhrom a folyamatmodell mennyisgi, matematikai ismertsgt felttelezi), de ezek sem ltalnos mdszerek, hanem a klasszikus mdszerek adaptlsai. Amennyiben nem a kvantitatv, hanem a kvalitatv modell ismert, arra az esetre nincs kidolgozott, mr a tervezs sorn alkalmazhat stabilitsvizsglati mdszer.

7.7. Fuzzy felgyeleti rendszer PID-szablyozhoz


Az iparban sok technolgiai folyamatot PID-szablyozval irnytanak [13]. Elnyei ellenre, a hagyomnyos szablyoz htrnya, hogy t kell hangolni, ha vltoznak a mkdsi felttelek. Ezt a htrnyt lehet cskkenteni a fuzzy felgyeleti rendszer alkalmazsval, amely az alacsony szint szablyoz paramtereit hangolja (7.20. bra).

7.20. bra. Fuzzy felgyeleti szablyoz A szakemberektl (gpkezeltl) ltalban megszerezhet egy szablyhalmaz, amely pl. a P s a D tag erstsi rtkeire (Kp s Kd) vonatkozik az aktulis (r) belltott rtk esetn. A szablyok pldul a kvetkez formban jelenhetnek meg: HA r alacsony, AKKOR Kp legyen magas s Kd alacsony, HA r magas, AKKOR Kp legyen alacsony s Kd kzepes. A kvetkeztet rendszer ugyangy mkdik, mint a kzvetlen irnyts. A szablyok egy szakember hangolsi stratgijnak nyelvi lerst tartalmazzk. Az alacsony szint szablyoz lehet pl. hagyomnyos PID-szablyoz vagy PID-szer fuzzy szablyoz. A fuzzy felgyeleti rendszer kiegszthet fuzzy teljestmnykirtkelvel is. A folyamat vltozsainak megfelel paramterbellts helyett ez a struktra a rendszer vlaszt elemzi, s ez alapjn hangolja az alacsony szint szablyozt. A rendszer vlaszt a tllvs, belltsi id s ms minsgi jellemzk szerint minsti. A kvetkez plda egy lehetsges teljestmnykirtkel szably lehet. HA a tllendls kicsi S a felfutsi id kzepes S a kritrium rtke kicsi, AKKOR a teljestmny j.

280

7.8. Fuzzy funkcik megvalstsa PLC-vel


A fuzzy szablyoz algoritmusok analg s digitlis jelfeldolgozssal egyarnt megvalsthatk. Nhny tipikus megolds: ltalnos szprocesszorral vagy mikrovezrlvel, specilis analg vagy digitlis fuzzy processzorral s PLC-n belli clhardverrel s szoftverrel. A vals idej vltozat egyik tipikus megoldsa a hagyomnyos PLC hardvernek s szoftvernek bvtse fuzzy funkcit ellt modullal: pl. a Siemens, Klckner-Moeller, Omron PLC-k ilyenek. A szoftver kifejlesztshez rendszerint grafikus fejlesztrendszer ll rendelkezsre. A program beptett szimultorral validlhat. A validlt programot a szmtgp a real-time hardverelem (PLC, P, mikrovezrl) interpretere szmra rthet formtumra vagy gpi kdjra fordtja s letlti. Egy Siemens PLC-be ptett fuzzy modul katalgusbeli jellemzit a kvetkezkben adjuk meg:
-

bemenetek szma: max. 8, egyenknt 7 tagsgi fggvnnyel; kimenetek szma: max. 4, egyenknt 9 tagsgi fggvnnyel; szablyok szma: max. 200; vlaszid: min. 0,54, max. 1,91 ms.

A Siemens gyrtmny fuzzy szablyoz tervezst a FUZZY WINCC grafikus szoftver segti. Az Omron CS1 PLC fuzzy modelljnek adatai:
-

a fuzzy modul tpusa: FZ-001; bemenetek (felttelek) szma: 8; kimenetek (kvetkeztetsek) szma: 2; szablyok szma: max. 128; vlaszid: ~ 6 ms.

7.9. Tervezsi plda: a fordtott mkds inga egyenslyozsa


A kvetkezkben az irodalombl jl ismert fordtott mkds inga (inverted pendulum) szablybzisnak tervezst mutatjuk be. A fordtott mkds inga a 7.21. brn lthat.

7.21. bra. A fordtott mkds inga Az irnytshoz a dlsszg mrsre s a dlsszgvltozs sebessgnek d meghatrozsra van szksg. A dlsszget rendszerint inkrementlis jeladval mrik, a szgsebessget ennek differencilsval lltjk el. A szablyozs clja a fordtott mkds inga fggleges helyzetben tartsa, amely az inga talppontjnak mozgatsval rhet el a F ert biztost szervomechanizmus kzbeiktatsval egy dV vezrljellel. A szablyoznak , d kt bemenjele s egy dV kimenjele van. A problma hasonlt egy plca fggleges kzi egyenslyozshoz.

281

A bemeneti vltozkat hrom rtkre osztva: kicsi, small (S), kzepes, medium (M), s nagy, large (L), valamint a kt irnyt figyelembe vve, negatv (N), pozitv (P), az albbi tagsgi fggvnyeket kapjuk: NL, negatv nagy NM, negatv kzepes NS, negatv kicsi ZR, zrus (megfelel) PS, pozitv kicsi PM, pozitv kzepes PL, pozitv nagy.

A szablyozsi feladatot ht szabllyal rhatjuk le az elfordul vltozatoknak megfelelen a 7.1. tblzat szerint. 7.1. tblzat. Szablybzis a fordtott mkds inga egyenslyozshoz
Szablyok 1. szably Felttelek Kvetkezmny Ha az inga mrskelten dl balra ( =PM), s a akkor az inga talppontjt kzepes sebessggel balra kell akkor dV = PM

dlsszgvltozs sebessge kzel zrus (d = mozgatni (dV = PM) ZR) Ha = PM s d = ZR 2. szably 3. szably 4. szably 5. szably 6. szably 7. szably Ha = PS s d = PS Ha = PS s d = NS Ha = NM s d = ZR Ha = NS s d = NS Ha = NS s d = PS Ha = ZR s d = ZR akkor dV = PS akkor dV = ZR akkor dV = NM akkor dV = NS akkor dV = ZR akkor dV = ZR

A 7.1. tblzat szerinti szablyokat a 7.22. bra szemllteti.

282

7.22. bra. A szablybzis a 7.1. tblzat alapjn Az brn a teljes fuzzy kvetkeztet rendszert s a visszaalakts folyamatt is nyomon kvethetjk, egy konkrt s d rtk esetn a (V) kimeneti rtk kpzse is lthat. Az 1. szably esetn a kzepes dlsszg (tagsgi rtke 0,7), a d tagsgi rtke 0,8. A fuzzy min- (S) mvelet eredmnye 0,7. Hasonlkppen a msodik szablyon bell a kis dlsszg (PS = 0,3), a d pozitv kis rtk (PS = 0,2), a fuzzy minmvelet eredmnye 0,2. A vizsglt esetben a tbbi szably 0 rtk, gy az els kt szably logikai sszege (fuzzy maxmvelet eredmnye) kerl a visszaalakt (defuzzifikl) egysg bemenetre. A visszaalakts tbbfle algoritmus szerint vgezhet el. A plda az OMRON ELECTRONICS nyomn az AMIRA laboratriumi modellen, ill. fejlesztrendszeren kszlt. A fenti szablyokat a feladat minsgi modellje alapjn hatroztk meg a modell mennyisgi jellemzit (ingahossz, tmeg stb.) nem vettk figyelembe.

283

Irodalomjegyzk

[1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13]

I. Ajtonyi: Intelligent Control System. Habilitation Dissertation. Kosice, 1998. Horvth G.: Neurlis hlzatok s mszaki alkalmazsaik. Budapest, BME - 10018, 1995. Vrs G.: Bevezets a neurlis szmtstechnikba. Budapest, LSI Oktatkzpont, 1997. Knya L.: Minst szablyozs I-IV. MARKInfo, 1995. Kczy T. L., Tikk D.: Fuzzy rendszerek. Budapest, Typotex Kiad, 2000. I. Ajtonyi: System Modelling. Proc.: TEMPUS S JEP MODIFY 07759/94 Miskolc, 1997. C. T. Lin, C. S. G. Lee: A Neuro-fuzzy Synergism to Intelligent Systems. Prentice Hall PTR, Upper Saddle River, 1996. Ajtonyi I.: Digitlis rendszerek. Miskolc, Egyetemi Kiad, 1998. SIEMENS: PCS7 gpknyv, 1997. OMRON: CS1 Users Manual, 1999. T. J. Ross: Fuzzy Logic with Engineering Application. McGraw Hill Company, 1998. L. Madarsz: Metodika Situanho Riadenia A Jej Aplikcie, 1995. Szemes G.: Bevezets a fuzzy logika irnytstechnikai alkalmazsba. Paks, Fiskolai jegyzet, 1996.

284

8. PLC-S VEZRLSEK MEGBZHATSGNAK NVELSE


Napjainkban egyre inkbb nvekszik azon technolgiai folyamatok szma, amelyek automatikus vezrlse fokozott biztonsgot ignyel. Az energiaipar, vegyipar, kzlekeds fokozott biztonsgi kvetelmnyeket vet fel az let s a vagyon megvsa rdekben. Az automatikkat gyrt cgek klnbz mdszereket fejlesztettek ki, amelyekkel a berendezsek zembiztonsga javthat. A mdszerek mindegyike az irnytberendezs valamilyen redundancijn alapul. A rels s diszkrt logikj vezrlsek idejn a tbbsgi logikkat (pl. 3-bl 2) alkalmaztk a megbzhatsg nvelsre. j ignyek s lehetsgek merltek fel a mikroprocesszoros berendezsek, valamint a programozhat logikai vezrlk megjelense utn. Ezen kszlkek sajtossga, hogy br a kzponti egysgk igen nagy megbzhatsggal mkdik, de ciklikus sorrendi s program szerinti mkdsk miatt egy esetleg fellp zavar katasztroflis hibt okozhat. A huzalozott logikhoz kpest j hibaforrs a szoftverhiba. Biztonsgnvel tnyez, hogy az eszkzk nmaguk tesztelsre is felhasznlhatk, s a redundns kszlkek egymssal kommunikcira kpesek. 1980-ban jelent meg az els egymssal kommunikl redundns PLC rendszer, 1981-ben a melegtartalk (hot standby) zemmd, 1982ben pedig az ntesztes PLC.

8.1. zembiztonsggal s megbzhatsggal kapcsolatos fogalmak


A mikroprocesszor alap irnytberendezsek adatfeldolgozsa vals idej (real-time). A vals idej rendszer a fizikai folyamat lezajlsval kzel azonos idben vgzi el az informcifeldolgozs s a beavatkozs feladatait. Az zembiztonsg, ill. megbzhatsg nvelshez elengedhetetlen a vonatkoz alapfogalmak, elrsok s megoldsok ismerete [1, 3, 4]. Elemnek valamely berendezs legkisebb alkotrszt nevezzk. Elem pl. egy integrlt ramkr, ellenlls stb. Modulnak egy berendezsben valamely feladat elltsra alkalmas, elemekbl felptett, cserlhet egysget nevezzk. Egy szmtgpben modul pl. valamely sszetett funkcionlis mkdst biztost egyttes (kzponti tr, kzponti feldolgozegysg stb.). Az elemet s a modult sszefoglalan rszegysgnek is nevezzk. A rendszer bonyolult, sszetett feladatok elvgzsre alkalmas berendezs, amely modulok kombincijbl ll. A megbzhatsg a termknek az a kpessge, hogy az elrt funkcit elvgezze adott mkdsi s krnyezeti felttelek mellett, mikzben meghatrozott tnyleges mkds alatt elrsos llapotban marad. A megbzhatsg mszaki rtelemben egy rszegysgnek (elem, modul) vagy rendszernek az a jellemzje, amely megadja, hogy az zemeltetsi felttelek fennllsa esetn milyen mrtkben vrhat el annak hibtlan rendeltetsszer mkdse. Matematikai rtelemben a megbzhatsg statisztikai fogalom, amely annak a valsznsgt adja meg, hogy egy rszegysg vagy rendszer jellemzi az elrt hatrok kz esnek. A megbzhatsg mennyisgi mutatinak ismerete lehetv teszi, hogy adott idpontban vagy idtartamban a berendezs hibtlan mkdsnek vagy meghibsodsnak valsznsgt meghatrozzuk, a szksges tartalkegysgeket megtervezzk. A szmtgpes folyamatirnyt rendszerek a megbzhatsg szempontjbl veszlybiztos s mkdsbiztos rendszerekre oszthatk. Ha a folyamat jellege olyan, hogy az irnytrendszerben bekvetkez egyedi hibk az let- s vagyonbiztonsg szempontjbl veszlyes llapotot hozhatnak ltre, a folyamat veszlybiztos irnytrendszert ignyel. A veszlybiztos irnytrendszer a hiba fellpsekor a folyamat lelltsval kpes a veszlyhelyzet kialaktst megakadlyozni.

285 Ha a folyamat jellege olyan, hogy az irnytrendszerben bekvetkez egyedi hibk veszlyes llapotot nem hoznak ltre, az irnyts mkdsbiztos rendszerrel megvalsthat. A mkdsbiztos rendszer minden lehetsges hiba fellpsekor a folyamat cskkentett funkcij mkdst biztostja. A redundancia valamely feladat elvgzshez felttlenl szksges eszkzket meghalad szm, az eredetivel azonos funkcit ellt eszkzk (tartalkok) alkalmazsa a megbzhatsg nvelse cljbl. A redundancia egy rendszerben lehet gpi, informci- s programredundancia: gpi redundancia esetn a berendezseket tbbszrzik; informciredundancia esetn az informcihoz jrulkos informcit rendelnek (pl.paritsbit); programredundancia hasznlatakor a programegysgek tbbszrzsvel nvelik a megbzhatsgot. A redundancia kialaktsi szintjei: Elemszint redundancival, pl. ellenllsok vagy ms ramkri elemek tbbszrsvel, biztonsgos ramkrk alakthatk ki. Elemszint redundancia a programozsban pl. az utastsok ismtlse. Modulszint redundancia esetn a modulokon belli alegysgeket tbbszrzik. Plda erre az olyan felpts analg bemenet, amely kt analg/digitlis talaktt tartalmaz. Rendszerszint redundancia esetn tkapcsolhat vagy prhuzamosan mkd modulokat alkalmaznak. Program vonatkozsban a rendszerszint redundancia a programmodulok tbbszrzst jelenti. A redundns egysgek kapcsolata lehet prhuzamos, tbbsgi (szavaz), ill. tkapcsolhat. Prhuzamos redundancia esetn az egysgek egy idben mkdnek. A megbzhat mkdshez elegend egyetlen egysg mkdse a 8.1a bra szerinti mdon. A tbbsgi elven mkd redundns egysgek egy idben mkdnek, de kimenjeleik a szavazegysgbe kerlnek. A szavazegysg a bemenetre rkez informcit kirtkelve a tbbsgi elv alapjn kpezi a kimeninformcit. E megolds esetn a szavazegysg azt az informcit adja ki a kimenetn, amely legalbb kt modulnl megegyezik a 8.1b bra szerinti mdon. tkapcsolhat redundns egysgek esetn az egyik modul meghibsodsakor a kapcsol tkapcsolja a rendszer kimenett a hibs egysgrl a tartalk (stand-by) egysgre. A redundns egysgek alkalmazsnak elnye a megbzhatsg nvelse s az, hogy hibamentes esetben a tartalkegysg jrulkos irnytsi feladatokat vagy az irnytstl fggetlen feladatokat is ellthat 8.1c brn lthat mdon.

286

8.1. bra. Prhuzamos (a), tbbsgi (b), tkapcsolhat (c) redundanciastruktra Hiba esetn egy rendszer mkdse az zemi felttelek betartsa mellett a megkvnt mkdstl eltr. Meghibsods lp fel, ha egy elem vagy modul paramterei zemi felttelek mellett a specifiklt hatron kvl esnek. A meghibsods s a hiba defincijbl kvetkezik, hogy nem minden meghibsods okoz hibt a rendszerben. A kt fogalom ismerete alapjn belthat, hogy az zem kzben vgzett rendszeres teszteknek milyen nagy a jelentsge. A tesztekkel ui. a meghibsodsok felfedhetk, mieltt mkdsi hibt okoznnak. A megbzhatsg elmleti vizsglathoz valsznsg-szmtsi s matematikai statisztikai mdszerek szksgesek. Az sszefggsek s becslsek megadsnl n. javthat termkeket tteleznk fel. Ez azt jelenti, hogy hiba esetn az adott rszegysgeket feljtjk gy, hogy a hiba megszntetse utn a rszegysg s a rendszer eredeti tulajdonsgai teljesen helyrellnak. A javthat termkeket a H(t) helyrelltsi fggvnnyel jellemzik. E fggvny megadja a javthat termk valamely kezdeti idpontjtl szmtott, t idtartam tnyleges mkdse alatt bekvetkez meghibsodsainak vrhat rtkt. A meghibsodsi tnyez megadja, hogy adott idpont utn, kis idegysgen bell mekkora a meghibsods valsznsge, feltve, hogy az adott idpontig a termk nem hibsodott meg. Egy termk meghibsodsi tnyezje az id fggvnyben hrom szakaszra oszthat (8.2. bra).

8.2. bra. A termkek meghibsodsi grbje

287 A kezdeti idszakra a meghibsodsi tnyez fokozatos cskkense jellemz. Ebben az idtartomnyban a hibk oka tbbnyire a gyrtsra vezethet vissza. A hasznos lettartamban a meghibsodsi tnyez gyakorlatilag lland, vletlen hibk lphetnek fel. Az elregedsi idszakban a meghibsodsi tnyez ismt nvekszik, a termk minsge irreverzibilis vltozsok miatt romlik. Megfelelen gyrtott s ellenrztt gyrtmnyokra a meghibsodsi tnyez idfggvnyt megvizsglva azt tapasztaljuk, hogy a kezdeti idszak igen rvid, a hasznos lettartam hossz, gy j kzeltssel felttelezhet, hogy a meghibsodsi tnyez lland. A modulok meghibsodsi tnyezinek ismeretben egy rendszer meghibsodsi tnyezje meghatrozhat. A modulok megbzhatsgi jellemzi az elemek megbzhatsgi mutati szerint szmthatak ki. A meghibsodsok kztti tlagos mkdsi id (MTBF, Mean Time Between Failures) a folyamatirnyt rendszerek megbzhatsgt jellemz mennyisgi mutat a kt, egymst kvet meghibsods kztti hibtlan mkds tlagos ideje. A hibamentes mkds valsznsgn annak valsznsgt rtjk, hogy adott idszakaszban, elrt mkdsi s krnyezeti felttelek mellett nem kvetkezik be meghibsods. Az tlagos helyrelltsi id (MTTR, Mean Time To Repair) a hibk behatrolsra s megszntetsre fordtott knyszer lellsok tlagos ideje. A PLC-k zemvitelnek jellemzi: MTBF a meghibsodsok kztti tlagos id; MTTF a hibakiessre jut tlagos id (Mean Time To Failure); MTTR a javtsra fordtott tlagos id. A PLC-k zemideje az
MTBF MTBF+ MTTR + MT

(8-1)

kplet szerint jellemezhet szzalkos rtkben, ahol MT a rendszeres karbantartsi idt jelenti. Az ( ) tlagos hibaarny a

1 MTBF

(8-2)

szerint hatrozhat meg. bevezetsvel a hibamentes vrhat lettartam:


t . R=e Ha pl. egy PLC MTBF rtke 17 500 ra (~ 2 v), akkor annak a valsznsge, hogy a PLC egy vig (8750 ra) hibamentesen fog zemelni:

R = exp (-8750/17500) ~ 0,6.


Az zemi kszenlti tnyez annak valsznsge, hogy a termk valamilyen t idpontban mkdkpes lesz. Az zemi kszenlti tnyez a termk rendelkezsre llst jellemzi, amely a meghibsodsok kztti tlagos id s az tlagos helyrelltsi id ismeretben meghatrozhat. Az zemi kszenlti tnyez a termk zemeltetsi adatai alapjn:

K = k

teljes mkdsi id hiba miatti lells idtartama 100% teljes mkdsi id

(8-3)

288 A megbzhatsgi mutatk egyiknek vagy msiknak megadsa nmagban csak hinyosan jellemzi a megbzhatsgot, ezrt clszer egyszerre tbb megbzhatsgi mutatt megadni (pl. az zemi kszenlti tnyezt s a meghibsodsok kztti tlagos mkdsi idt). A real-time folyamatirnyt rendszerek ltestsekor a megbzhatsgi kvetelmnyek figyelembevtele a tervezs legkorbbi fzisait is befolysolja, ezrt csak a megbzhatsgi ignyek rszletes felmrse alapjn llthat ssze egy folyamatirnyt rendszer konfigurcija. A megbzhatsgi ignyek pontos felmrsre ltalnos rvny mdszereket az irnytsi clok, az irnytand folyamatok, valamint az irnyt rendszerek felptsnek s funkciinak klnbzsge miatt nem adhatunk. Az irnytsi cl elrse szempontjbl a lehet legnagyobb rendelkezsre lls biztostsa lenne a legkedvezbb. Az ignyeket meghalad megbzhatsg azonban felesleges tbbletkltsgekkel jr, ezrt mszaki s gazdasgi okokbl is fontos annak vizsglata, hogy a kvnt irnytsi cl biztostsa mellett egy folyamatirnyt rendszerben milyen mkdskiess engedhet meg: Megbzhatsgi kvetelmnyek lehetnek: lells egyltaln nem engedhet meg; hossz idej lells nem engedhet meg; csak az adatbzis vdelmt kell biztostani. Az els jelenti a legszigorbb megbzhatsgi kvetelmnyt. Ez esetben mkds kzben semmilyen lells nem kvetkezhet be, mert brmilyen hiba, amely mkdskiesst okoz, katasztroflis hats. Az enyhbb megbzhatsgi igny esetben a mkdsben csak hosszabb idej lellsok nem engedhetk meg. A lells idejt a technolgiai folyamat idviszonyhoz kell hasonltani. Az ipari folyamatirnyt rendszerek nagy rsze az enyhbb megbzhatsgi kategrihoz tartozik. Ilyen rendszerek esetben ltalban kevsb ignyes mutat a kt meghibsods kztt eltelt id, viszont a megbzhatsgot jl jellemzi az tlagos javtsi id, mivel ez adja meg a lellsok vrhat tlagos idtartamt. Lnyeges jellemz a rendszer rendelkezsre llsa. Az irnytrendszerek kis rsze tartozik a harmadik kvetelmnyhez, ahol a mkdskiess nem okoz nagyobb problmt, a gyakori, esetleg hosszabb idej lellsok megengedettek. Ha egy lellst kvet jraindtskor a meghibsods eltti rvnyes adatokbl akarunk kiindulni, a teljes adatbzist valamely mdon rgzteni kell. A lells s javts sorn biztostani kell a vdelmet. A felsorolt megbzhatsgi ignyek klnbz rendszertechnikai megoldsokkal elgthetk ki. A megbzhatsgi ignyek felmrse sorn nem hagyhatk figyelmen kvl az irnytand folyamat technolgiai sajtossgai. Az irnytrendszer megbzhatsgi kvetelmnyeit befolysol tnyezk: a technolgiai folyamat jellege; a technolgiai folyamat llapotvltozsainak idviszonyai; a hiba kihatsa a technolgiai folyamatra. A szakaszos zem folyamat irnytsa sorn ms megbzhatsgi ignyek merlnek fel, mint a folyamatos technolginl, mivel a folyamat tovbbvitele tbbnyire kzi irnytssal is biztosthat, ill. a folyamat akr hosszabb ideig is biztonsgos llapotban tarthat. A technolgiai folyamatokat a bennk vgbemen llapotvltozsok idbeli lefolysa alapjn is

289
osztlyozhatjuk. A technolgiai folyamat llapotvltozsainak idviszonyai s a megbzhatsgi ignyek kztt szoros kapcsolat van. Lass folyamat esetn az llapotvltozsi idrtkek perc nagysgrendek vagy nagyobbak, elegend id van a hibs beavatkozs korriglsra. A kezel kzremkdsvel a hibs berendezs megtallhat s kicserlhet, ill. a folyamat kzi zemben is tovbb mkdtethet. Gyors folyamatok esetn az idrtkek a perc, ill. msodperc trt rszei, egy hibs beavatkozs rvid id alatt kritikus helyzetet teremthet, ezrt ennek megakadlyozsa itt klnsen fontos. A hibaszlelst, behatrolst s a hibs egysg kiiktatst, ill. ptlst a folyamatirnytsi zem kzben lehetleg automatikusan kell vgrehajtani. Az ilyen folyamatokat nem lehet kzi vezrlssel zemeltetni. Az irnytsi rendszer hibs mkdse anyagi eszkzket s embereket veszlyeztethet. Az olyan rendszerekben, amelyekben a technolgiai folyamatok sorosak s az egyes berendezsek nagy gyrtsi kapacitsak, az anyagi kr nagy lehet. Egy berendezs helytelen zemeltetse nagy mennyisg hibs termket hoz ltre, s a tbbi sorosan mkd berendezsre is hat. Kevsb szigorak az irnytsi rendszer megbzhatsgi kvetelmnyei azokban a technolgiai folyamatokban, amelyekben a gyrts prhuzamos. Az egyes gak kiesse nem okozza a teljes rendszer lellst.

A szmtgpes folyamatirnyt rendszerben a megbzhatsgi ignyek vizsglatakor nem hagyhatjuk figyelmen kvl, hogy a folyamatmszerezsben milyen eszkzk llnak rendelkezsre a szmtgp helyettestsre. A szmtgp zemzavarakor, meghibsodsakor mkdsbe lp berendezseket httrberendezsnek nevezzk. A gyakorlatban a kt szmtgpes redundns rendszerek a legelterjedtebbek. Ha a rendszerben nincs meghibsods, akkor az n. irnyt szmtgp vgzi a folyamatirnytsi feladatokat. Meghibsodskor a tartalk szmtgp veszi t a folyamatirnytsi funkcikat.
tkapcsolhat tartalk rendszer esetn a tartalk szmtgp nem mkdik, ha nincs meghibsods vagy legfeljebb azok a programok futnak a gpben, amelyek az tkapcsolshoz szksges informcik feljtst vgzik. A tartalk szmtgp n. hideg- vagy melegtartalk lehet. Hidegtartalk esetn az irnyt szmtgp meghibsodsakor a tartalk szmtgpre val tkapcsolssal egyidejleg a tartalk szmtgpet indtsi llapotba kell hozni: programokat kell betlteni, a folyamatokat kell frissteni stb. Melegtartalk esetn a tartalk szmtgp minden idpillanatban ksz ttrni az alapmkdsre. A legtbb folyamatirnytsi feladatnl a tartalk szmtgpre val tkapcsolst nhny msodperc alatt vgre lehet hajtani s a funkcik zavartalanul folytathatak, ezrt melegtartalkos rendszert clszer kialaktani. Ez csak gy valsthat meg, ha a tartalk s az irnyt szmtgpben fut programok llapota, az aktulis adatok indulskor azonosak. Prhuzamos mkds tartalkrendszer esetn a tartalk szmtgp az irnyt szmtgppel egyidejleg mkdik. Az ilyen rendszerek aszerint csoportosthatk, hogy a tartalk szmtgp milyen feladatokat old meg. Az egyik vltozat, a prhuzamos mkds, azonos funkcij tartalk rendszer. E kialaktsban az irnyt s a tartalk szmtgpben gyakorlatilag egyidejleg ugyanazok a programok futnak. Az adatok prhuzamos feldolgozsa lehetv teszi az eredmnyek programszint sszehasonltst. Ha pldul egy szmtott rtkre a kt gp eltr eredmnyt szolgltat, a szmtst mindkt szmtgppel jra elvgzik, s ha ismt eltrs addik, a kezel szmra hibajelzst ad a rendszer. A kt gpben fut program szinkronizlst biztostani kell.

290 A msik vltozat a prhuzamos mkds, eltr funkcij tartalk rendszer. Ennek lnyege, hogy a tartalk rendszer az irnyt szmtgppel egyidejleg mkdik, de attl eltr feladatokat old meg. Ilyen feladat lehet a folyamatirnytstl fggetlen szmtsok vgrehajtsa. Az irnyt szmtgp meghibsodsa esetn a tartalk rendszer kpes a folyamatirnytsi feladatok rszleges vagy teljes elltsra. A prhuzamos mkds, eltr funkcij tartalk rendszer lehetsges kialaktsa az n. alrendelt (master-slave) kt szmtgpes rendszer. Az irnyt szmtgp (slave) vgzi a kzvetlen folyamatirnytsi feladatokat: folyamatfelgyeletet, alapjelllt vagy kzvetlen digitlis szablyozst, mg a felgyel szmtgp (master) az irnyt szmtgp szmra optimlis irnytsi paramtereket szmt ki, az irnytst befolysol parancsokat ad stb. Az irnyt s felgyel gp kapcsolatra teht az al- s flrendeltsg ll, gy az irnyt szmtgp meghibsodsakor a folyamatirnytsi feladatokat, legalbb a legfontosabbakat, tveszi. A prhuzamos mkds rendszerek rendszertechnikai felptse az tkapcsolhat tartalk rendszerekvel megegyezik, lnyeges eltrs van azonban az irnyt s tartalk szmtgp programrendszerben. Minl jobban ki akarjuk hasznlni a tartalk rendszer gpi lehetsgeit, annl nagyobb nehzsgekkel kell szmolni a programrendszer megvalstsa sorn. A folyamatjelek folyamatperifrikra val tkapcsolsi megoldsa, hogy a folyamatbl rkez bemenjeleket mind az irnyt, mind a tartalk analg, ill. digitlis bemeneti perifrikhoz hozzvezetik, s csak a kimeneti perifrik vezetkeit kapcsoljk t. Az tkapcsolegysg rels vagy galvanikus levlasztst biztost, zajra, tlmelegedsre nem rzkeny. Htrnya, hogy az tkapcsols lass (ms nagysgrend), tovbb, hogy a rel vges lettartam. A flvezets kapcsolk gyors (s nagysgrend) tkapcsolsak, lettartamuk elvileg korltlan, viszont zajra, tlterhelsre rzkenyek, s galvanikusan nem vlasztjk el az ramkrket egymstl. Brmilyen mdon is alaktjk ki az tkapcsolegysget, fontos, hogy megbzhatsga igen j legyen. Az tkapcsols vezrlse lehet kzi vagy automatikus. A kzi tkapcsolst hibaszlels s -jelzs utn a kezel kezdemnyezi. Automatikus tkapcsols automatikus hibaszlel ramkrkkel oldhat meg. A kt szmtgpes redundns rendszerekben, prhuzamos mkds azonos funkcij zemben, a programmal trtn sszehasonlts elvt alkalmazzk. Diszkrt hibaszlel ramkrkbl felptett gpi egysggel rendelkez redundns rendszert azonban nem hasznlnak, mivel ez nem jobb, mint a kt szmtgpes program-sszehasonlts rendszer. Egy hiba szlelse utn, pl. ha a kt szmtgp szmtsainak eredmnye nem egyezik, mg mindig meg kell llaptani, hogy melyik gp a hibs. Ezt kt szmtgp esetn mindkt mdszernl rendszerint csak kln tesztprogramok futtatsval lehet eldnteni. A gpi sszehasonlts gy semmit sem gyorst a hiba behatrolsa szempontjbl.
A gpi sszehasonlts kedvezen alkalmazhat akkor, ha tbbsgi, pl. a hrombl kett, sszehasonltsra van lehetsg. Ehhez hrom egyforma szmtgprendszer szksges. Az sszehasonlt egysg ilyen esetben azokat az eredmnyeket fogadja el helyesnek, amelyek hrombl legalbb kt szmtgpnl megegyeznek. A hrombl kett tbbsgi elv gy teszi lehetv a hibs szmtgp azonnali meghatrozst. A tbbsgi szavazelven mkd redundns rendszereket igen nagy megbzhatsg irnytst ignyl folyamatokhoz hasznljk.

Egy tbbprocesszoros rendszer akkor redundns kialakts, ha az azonos tpus modulokbl tbb van a rendszerben, mint amennyi a folyamatirnytsi feladatok kzvetlen elltshoz szksges. gy a tbbletmodulok az alapmodulok tartalkai. Egy modul meghibsodsakor a tartalk modul a rugalmas felptsi elv miatt knnyen helyettestheti a

291 sakor a tartalk modul a rugalmas felptsi elv miatt knnyen helyettestheti a hibs modult. A tartalk modulra val tkapcsols, a kezdeti informcik biztostsa jl megoldhat. Legalbb az egyik processzor mindig a vezrl szmtgp. Egy hibs modul gy kiiktathat a rendszerbl s helyettesthet a tartalk modullal. A vezrlprocesszor meghibsodsa ellen gy lehet vdekezni, hogy kt processzorra bzzuk a vezrlst, s ezek egymst klcsnsen ellenrzik.

8.2. TV ajnlsok
A mikroszmtgpes vezrlsekre a TV (Technische berwachung Verein, Nmetorszgi Mszaki Felgyelsg) adott ki ajnlsokat a biztonsg nvelsre. Ezek egy rsze a kszlkek felptsre, msik rsze a bevizsglsra vonatkozik.
8.2.1. A rendszer ltalnos ajnlsai

a) Mindig egy csatornval tbb legyen, mint amennyi a biztonsgos mkdshez legalbb szksges: egy zemel csatorna s egy msik hasonl, amire hiba esetn t lehet kapcsolni (ez esetben tkapcsols utn mr nincs redundns csatorna), kt csatorna + 1 tartalk azrt, hogy a hiba esetn mg mindig kt csatorna szolglja a biztonsgot. b) A hibafelismerssel kapcsolatos idkvetelmnyt ki kell elgteni. Az idkritrium nincs a biztonsgi osztlyokba beptve, hanem csak az alkalmazstl fgg. Minden irnytott folyamatnak van egy n. hibatolerancija. Ez az az id, aminek sorn a hibs jelet elviseli. A vezrls hibareakci-ideje (thr) kisebb kell legyen, mint az irnytott folyamat hibatolerlsi (tht) ideje, azaz thr<tht. Olyan rendszereknl, ahol a biztonsgos llapot nem rgtn rhet el, meg kell gyzdni arrl, hogy a biztonsgi llapot elrsig nem kell-e jabb hibkkal szmolni. c) Mrlegelend a megszaktsok alkalmazsa. Ezek kzl azt kell kivlasztani, amely egyszer rendszerfelptst s tesztet tesz lehetv. Egymsba ptett megszaktsokat kerlni kell, csak az egyszint megszakts ajnlott. d) Specilis hardverek alkalmazst kerlni kell, nehogy a rendszert lebntsk. e) Hardverben rgztett cmeket nem szabad hasznlni s hibs alkalmazs ellen clszer lebiztostani. Ha pldul az I8085-s rendszerben nincs INT 7 megszakts, vagy RST 7 utasts, akkor az 38hex - 3Fhex cmek adatokkal tlthetk fel. Ha azonban valamilyen hardvervagy szoftverhiba miatt mgis fellp az RST 7, akkor a program elszllhat. Ennek elkerlsre az 38hex cmre olyan utastst kell rni, amely direkt vagy indirekt hibajelzst ad. f) Nem hasznlt cmeket alkalmazs ellen biztostani kell. Az utastsszmll (PC) vagy ms cmzst szolgl regiszter hibjbl olyan cmzsek fordulhatnak el, amelyek nem hasznlt memriaterleteket rnek el. Ezek elkerlsre a cmek kiadsakor hibakezel rutint kell indtani. Ezt ROM jelleg memrik esetn programozssal, RAM-nl inicializlssal rik el.
8.2.2. A szoftverek ltalnos kvetelmnyei

a) Nem engedhet meg nem teljes programlefuts.

292 El kell kerlni, hogy egy hiba (pl. zavar a feszltsgelltsban) csak valamilyen akcipr els felt hajtsa vgre (pl. a szelep nyit-zr). jraindtskor elszr mindig a biztos llapot lljon el, kifel hat akcik csak a teszt lefutsa utn kvetkezhetnek. b) ltalnos programozsi elvek. A TV szmos ajnlst ad a programozsra. Plda az az igny, hogy itercis hurkoknl a lellsi kritriumokhoz jrulkosan egy maximlis hurokfutsi szm legyen elrva. c) Strukturlt programozs. A biztonsgtechnikban csak minsgileg nagy rtk szoftver alkalmazhat, ami alatt a kvetkezket rtjk: legyen alkalmazbart, hibamentes (az elrt kritriumoknak megfelelen), korrektsge knnyen vizsglhat, a tesztelse s a karbantartsa egyszer, vltoztatsa knyny valamint jl dokumentlt. Egy program rvnyessge s korrektsge megllaptsnl fontos, hogy a program kismret, jl ttekinthet modulokbl lljon, ezek a modulok egyszeren legyenek konstrulva s a modulok kztt mindenkor egy sszekttets legyen. Mindezek a strukturlt programozssal elrhetek, miszerint a feladatot lpsenknti finomtssal (top-down design) mindig csak a hrom struktraelemmel, egyre kisebb rszfeladatra kell bontani. A lebonts mindaddig folytatand, amg a rszfeladatok jl ttekinthet egysgre osztdnak, amit a programnyelven egyszeren lehet programozni. Az gy kialaktott program faszerkezete s egy-egy modul kztt csak egyetlen kapcsolat tallhat. Klnleges esemnyekre (pl. vszkilps) kivtelek megengedhetk. A lpsenknti finomtssal s az ebbl szrmaz faszerkezetnek az tlthatsg mellett az is elnye, hogy a megoldand feladat a fejleszts minden stdiumban s minden skjban jl lerhat. A lpsenknti finomts s a program faszerkezetnek kialaktshoz a strukturlt programozsban hrom struktraelemet lehet alkalmazni: sorrendek (kvetkezmnyek); hurkok; elgazsok. A sorrend (kvetkezmny) egy akcit r le. Egy struktraelem felbonthat tbb egyms utn fut elem sorrendjre, amelyek nmagukban is egy struktraelemet kpezhetnek. A huroknl egy vagy tbb struktraelem annyiszor ismtldik, ahnyszor azt elrjuk. Az elgazs kt vagy tbb lehetsges folytats kztti vlasztsi lehetsg.
8.2.3. A hardver ltalnos kvetelmnyei

a) Az ptelemek csak specifikcijuknak megfelelen kerljenek alkalmazsra. b) Kielgt zavarvdettsget kell biztostani. c) Feszltsgfelgyelet s definilt viselkeds biztostsa feszltsgkimaradskor s visszatrskor. d) Kt fggetlen idalap alkalmazsra azrt van szksg, mert az idalap kiesse katasztroflis hibt okozhat.
8.2.4. A trolk ltalnos kvetelmnyei

a) A programozhat rendszerekben a program integritsa ltfontossg, ezrt a programokat gy kell trolni, hogy vltoztatsok ellen (feszltsgkiess, ms kls hats, hibs jelek) vdve legyenek. A RAM trolk tartalma, mg ha teleppel feszltsgkimarads ellen vdve is

293 vannak, hibs berjel vagy ms kls hatsra (sugrzs, elektromgneses mezk) megvltozhat. Programtrolknt csak fix trolkat szabad alkalmazni, amelyekben az informci a lehetsgnek megfelelen elveszthetetlen fizikai tulajdonsg formjban van trolva. Ilyenek a ROM, EPROM, EEPROM. Ha nincs fix trol, intzkedni kell megfelel trolvdelemrl. b) Dinamikus trolt nem, vagy csak igen klnleges esetben szabad alkalmazni. c) Httrtrolt nem szabad alkalmazni.

8.3. Az irnytrendszerek alkalmazsnak biztonsgi osztlyai


A DIN V 19250 szabvny tartalmazza az irnytrendszerek alkalmazsnak biztonsgi kvetelmnyeit. Ez a szabvny az irnytrendszereket nyolc biztonsgi osztlyba sorolja, a 8.3. bra szerint [11].

8.3. bra. Irnytrendszerek biztonsgi besorolsa Az 1 osztly jelenti a legalacsonyabb, mg a 8. a legmagasabb kvetelmnyeket. A szabvny ngy kockzati paramtert tartalmaz: a veszlyessg nagysga (extent of demage), S S1 kisebb srls; S2 tbb szemly slyosabb srlse, vagy egy szemly halla; S3 tbb szemly halla; S4 katasztrfa jelleg esemny. a veszlyes terleten tartzkods elfordulsa (duration of stay in hazardous area), A A1 soha, nagyon ritkn, vagy idnknt; A2 gyakran, vagy llandan. veszlymegelzs (danger prevention), G G1 lehetsges; G2 nem lehetsges. az elforduls valsznsge (probability of occurrence), W. W1 igen alacsony; W2 alacsony; W3 relatv magas. Pldul hatos veszlyessgi kvetelmnyek az gvezrlsek, az utasszllt rendszerek (metr, vast), a kzti forgalomirnyt rendszerek s a gzfeldolgoz rendszerek terletein tallhatk.

294 Az elektromos, elektronikus, ill. programozhat elektronikus rendszerek (E/E/PES) kvetelmnyeit az 1997-ben elfogadott IEC 61508 nemzetkzi szabvny foglalja ssze a legtfogbban a nvelt biztonsgot ignyl ipari alkalmazsokhoz [12].

8.4. Biztonsgi PLC-k rendszertechnikja


A veszlyes technolgik vezrlsre hasznlhat PLC-ket a zsargonban biztonsgi PLCknek nevezik [2, 6]. Az ipari biztonsgi PLC-k a norml PLC-k redundancijn, ill. a specilis, nvelt biztonsgi PLC-k redundancijn alapul felptst kveti. A biztonsgi PLC-k a technolgia veszlyessghez igazodan alapveten ktfle algoritmus szerint viselkednek a hiba felismersekor: hibatr PLC (fault-tolerant), azaz mkdsbiztos; veszlybiztos PLC (failsafety). Mindkt esetben a biztonsg nvelst a redundancia nvelsvel rik el.

8.4. 1. A hibatr (fault-tolerant) PLC rendszer fogalomkre


A hibatr PLC rendszer esetn kt darab PLC mindig szinkronizltan s prhuzamosan, egymssal kommunikcis kapcsolatban mkdik. Kzlk az egyik az aktv, amelyik irnytja a folyamatot, a msik PLC passzv, de a kommunikcis kapcsolat rvn brmikor tveheti a folyamat irnytst (hot-standby). A hibatr PLC rendszer alkalmazsnak elsdleges clja a technolgiai folyamat vgrehajtsa. Amennyiben az aktv PLC meghibsodsa esetn a passzv PLC tveszi a folyamat irnytst (aktvv vlik), akkor a rendszer mr nem hibatrknt viselkedik, mivel nincs tartalk PLC a rendszerben. A nem veszlybiztos mkds, hibatr PLC mkdst a 8.4. bra szemllteti. E szerint a kt PLC (A s B) mkdse a VAGY mvelethez hasonlthat, miszerint ha legalbb az egyik PLC zemkpes, akkor a vezrlrendszer mkdkpes.

8.4. bra. A hibatr PLC VAGY analgija A hibatr PLC alkalmazhat a gygyszeriparban, az lelmiszeriparban, aclmvekben vagy olajipari technolgik irnytsban. ltalban ott clszer alkalmazni, ahol a vezrlberendezs meghibsodsa esetn a technolgiai folyamat lelltsa igen kltsges s ugyanakkor a technolgia alacsony veszlyessgi fokozat.

295

8.4.2. Hibatr I/O konfigurcik


A PLC-k biztonsgnak nvelse az I/O eszkzkre is fokozott biztonsgot kvetel. A hibatrsnek hrom klnbz szintje lehetsges az I/O modulok konfigurcijtl fggen (8.5. bra) [6]: norml hibatrs (normal fault tolerance, single side configuration); nvelt hibatrs (enhanced fault tolerence, switched configuration); maximlis hibatrs (maximum fault tolerance, fully redundant configuration).

8.5. bra. Hibatr I/O konfigurcik


Norml hibatr I/O konfigurci

A 8.6. brn lthat elrendezsben az I/O egysgek egycsatorns mdban vannak sszekapcsolva. Az I/O egysgek cmzse a kt alegysg (A, ill. B) kzl csak az egyikkel lehetsges. Az informciolvass az egyik alegysgben trtnik (pl. egy digitlis inputmodul), de automatikusan taddik a msik alegysgbe is. Ez a konfigurci olyan esetekben hasznlhat, ha az I/O kezelsre nincs elrva fokozott hibatrsi kvetelmny.

8.6. bra. Norml hibatr I/O konfigurci [6]

296
Fokozott (nvelt) hibatr I/O konfigurci

Ebben a konfigurciban (8.7. bra) az I/O-k ismt egycsatorns mdban vannak ktve, de azokat mindkt alegysggel lehet cmezni. Ebben a konfigurciban az I/O egysgeket egy bvtegysgbe (EU) kell dugaszolni. Ez a konfigurci akkor hasznlatos, ha az I/O-k kiesse az irnyts szempontjbl nem kritikus.

8.7. bra. Fokozott hibatr I/O konfigurci [6]


Maximlis hibatr I/O konfigurci

Ez ktcsatorns I/O konfigurci, az egyes I/O-k cmzse mindkt alegysgben azonos. Az I/O egysgeket lehet dugaszolni brmely alegysgben vagy a bvtegysgben is (8.8. bra) [6]. Ebben a konfigurciban mind a kzponti funkcik, mind az I/O egysg kiesst tolerlni lehet. Ez a konfigurci ajnlott a legmagasabb hibatrst ignyl alkalmazsokhoz.

8.8. bra. Maximlis hibatr (ktcsatorns) konfigurci

297 Az I/O modulokat ebben a konfigurciban tudatni kell az opercis rendszerrel. A redundns I/O modulok ugyangy vannak cmezve, mint az egycsatorns I/O modulok a felhasznli programban. A hibatr konfigurcij PLC rendszerint tartalmaz egy kzponti egysget, amely egy j firmware-rel tbb mint a norml CPU. Ez a firmware valstja meg az sszes jrulkos funkcit: adatcsere, hibakezels, szinkronizci, nteszt s hibalokalizls.

8.4.3. A hibatr PLC-konfigurci kommunikcis funkcii


A PLC-k biztonsgt nvel mdszerek kzl kiemelt jelentsg a PLC-k kztti kommunikci, amelyen a hibakezelssel kapcsolatos informcicsert rtjk. Ez a kommunikci rendszerint pont-pont kommunikci, de jabban hlzat is lehet. a) Adatcsere s hibakezels A hibatr konfigurcij PLC-k melegtartalk (hot standby) zemmdban mkdnek. Ha hiba keletkezik, akkor a msik alegysg, a tartalk veszi t a folyamat irnytst. A hibs alegysget ki lehet javtani a folyamat megszaktsa nlkl. Ktcsatorns I/O konfigurciban mindkt alegysg prhuzamosan mkdik.
Melegtartalk esetben az a cl, hogy a tartalk idkiess nlkl vegye t a folyamat irnytst. Ehhez az szksges, hogy mindkt egysg alkalmas legyen igen gyors s megbzhat adatcserre. Mindkt alegysgnek tartalmaznia kell ugyanazt a felhasznli programot, adatblokkot s I/O llapotinformcit.

b) Szinkronizci Az aktv s passzv egysg kztti adatcserhez a kt alegysg szinkronizcija szksges, az esemnyvezrelt szinkronizci. Esemnyvezrelt szinkronizci megy vgbe, amikor egy esemny okoz valamilyen vltst az alegysgek llapotban, pl. parancsok az I/O-kra, blokkhv parancsok vagy idfunkcij parancsok. c) nteszt A kvetkez funkcikat s komponenseket tesztelik: bels buszrendszer, kzponti vezrlvonal, hibalokalizl rendszer, CPU-k s memrik. Valamennyi hibadetektlst az nteszt idejn jelez a rendszer. jraindtskor valamennyi alegysgen vgigfut az ntesztes ellenrzs. Ciklikus zemmdban az opercis rendszer indtja az nteszt funkcijt kzeltleg 5 ms-nyi intervallumokban, amelyek szma a felhasznl ltal programozhat.

8.4.4. A veszlybiztos PLC-konfigurci


Ahol a biztonsgos mkds az els szm kvetelmny a technolgia veszlyessge miatt, mint pldul a kaznautomatikk, vasti szerelvnyek automatizlsa, gz- s olajszlltssal kapcsolatos automatikk, vegyipar, nukleris ermvek stb., ott a veszlybiztos PLCkonfigurci szksges. A veszlybiztos rendszer alapkonfigurciban igen hasonlt a hibatr rendszerekhez, mivel kt alapegysg mkdik egymssal sszekapcsolva. A f klnbsg az, hogy a veszlybiztos vltozatban a kt alapegysg folyamatosan sszehasonltja egyms llapotait, eredmnyeit s megelzi a veszlyes vlaszok kijutst. A veszlybiztos PLC-k kielgtik a DIN V 19250 szabvny hatos osztly kvetelmnyeit. A veszlybiztos PLC nem hibatr. A veszlybiztos PLC alkalmazsnak clja megelzni a hibs mkdsi feltteleket s nem az, hogy elkerlje a technolgiai folyamat lelltst. A veszlybiztos mkdsi elv a redundns alegysgek S kapcsolatn alapul. A PLC

298 rendszer csak akkor mkdik, ha mindkt redundns alegysge egyidejleg hibtlanul mkdik (8.9. bra) [6].

8.9. bra. Veszlybiztos konfigurci S analgija A veszlybiztos mkdst a PLC a kvetkez funkcikkal ri el: ntesztels az opercis rendszerrel; az I/O-k specilis kls veszlybiztos kialaktsa; ktcsatorns redundns struktra az eredmnyek lland sszehasonltsra. A veszlybiztos redundns PLC rendszer kt kzponti egysgnek funkcii: adatcsere s vlasz a hibra; szinkronizci; nteszt.
a) Adatcsere s vlasz a hibra

A kt redundns alegysg kpes igen gyors s megbzhat adatcserre a kzponti interfszen keresztl az adat s az eredmny sszehasonltsa, a szinkronizci s a passzivitsvizsglat cljbl. A kt alegysg szinkronizltan ugyanazt a felhasznli programot hajtja vgre s ciklikus sszehasonltst vgeznek a bemeneti jeleken, a kimeneti jeleken s ms adatokon, (pl. idztk, szmllk). Ha az sszehasonlts eredmnye klnbzik, akkor egy hiba generldik. Ekkor kezddik a vlasz erre a hibra. A vlaszt a felhasznlnak kell meghatrozni egy parametrizcis szoftverrel. A kvetkez hibavlaszok vannak: a teljes egysg STOP llapotba kerl, csak a veszlyes komponensek bnulnak le, ms, a felhasznl ltal definilt vlasz. A kt PLC vgzi az sszehasonltsi mveleteket.
Bemenetek sszehasonltsa

A bemenjelek llapotnak beolvassa utn a kt PLC specilis modulja sszehasonltja a jeleket. Ha klnbsget tall, akkor megismtldik a beolvass s az sszehasonlts, ha szksges tbbszr is. Ha az ismtelt beolvass s sszehasonlts is klnbsget jelez, akkor egy

299 hiba kezdemnyezdik. A programoznak lehetsge van a vlasz definilsra s az elbbi ismtls meghatrozsra.
Kimenetek sszehasonltsa

A ciklus vgn a kt alegysg (PLC) ismt sszehasonltja a folyamat llapotait. Eltrs esetn egy bels hiba s a kivlasztott hibavlasz generldik. A kimeneti parancsok ketts viszszaolvasst szemllteti a 8.10. bra [6].

8.10. bra. Kimeneti parancs visszaolvassnak elve A kt redundns PLC (alegysg) sszehasonltja a timerek s szmllk llapott, a logikai programszmll llapott, s ha szksges a hlzati vonal adatait.
b) Szinkronizci

A kt alegysgnek (PLC) folyamatosan szinkronizltan kell mkdnie. Ilyen szinkronizcis pontok a programciklus eleje s vge, 20 ms-onknt az opercis rendszerbl indtva. A szinkronizcis pontokban adatcserk vannak, amelyek sszehasonltjk egyms adatait. A felhasznli programokat a direkt I/O cmzsek s rajel-letapogatsok, valamint a folyamat, ill. idmegszaktsok idejn szinkronizljk. Ezeket a megszaktsokat csak a szinkronizci utn dolgozzk fel.
c) nteszt

gy tesztelik a bels buszt, a kzponti vezrlinterfszt, processzorokat, memrikat s valamennyi redundns I/O-t. Ezek az ntesztek brmely alegysgben detektlhatnak hibkat.
Inicializls idejn az ntesztfunkcik lefutnak minden alegysgen. Ciklikus mkds idejn az opercis rendszer felosztja az ntesztfunkcit kis szegmensekre. A szegmensek idtartama az I/O-k szmtl fggen nhny ms krli.

A PLC-hlzatok elterjedsvel a mkdsbiztos, ill. veszlybiztos zemvitel kritriumait a hlzatoknak is ki kell elgteni. Egycsatorns hlzat kialaktst szemllteti a 8.11. bra [6], amelyen a kommunikci LAN-on trtnik s a kt alegysg pont-pont sszekttetsben van. A megolds nem tekinthet veszlybiztosnak.

300

8.11. bra. Egycsatorns hlzati kommunikci


Ktcsatorns veszlybiztos kommunikci a 8.12. bra [6] szerinti kialaktsban a hozz csatlakoz szoftver ellenrzse mellett alakthat ki. Az brn a kommunikci kt LANon trtnik, s lehetsg van a kommunikci ellenrzsre.

8.12. bra. Ktcsatorns hlzati kommunikci

8.5. Biztonsgi PLC-k I/O konfigurcii


A hibatr, ill. veszlybiztos PLC-k esetn a CPU mkdse mellett a be/kimeneteknek is fokozott kvetelmnyeknek kell megfelelni.

301 A biztonsgi bemeneteknl a biztonsgi program futsa alatt meg kell gyzdni arrl, hogy a "0" logikai szintre a bemenetek mkdkpesek-e. (Szakadsra vgre tudja-e hajtani a lekapcsolst). A biztonsgi kimeneteknl a biztonsgi program futsa alatt kell megvizsglni (visszacsatolssal vagy egyb ton), hogy a "0" logikai tmenetre a vgrehajt szervek ramkrei (s esetleg a sajt bemenetei) mkdkpesek-e. A kvetkezkben a biztonsgi PLC-k-ben alkalmazott ktllapot, ill. az analg bemeneti/kimeneti egysgek szoksos kialaktst mutatjuk be a SIMATIC H, ill. SIMATIC F sorozatra hivatkozva.

8.5.1. Digitlis be- s kimeneti kapcsolsok


a) Egycsatorns jelad, nem biztonsgi kivitel (8.13. bra) [6]. Alkalmazhat: egykontaktus jelad, tpelltsa kapcsolhat, gy nem is tesztelhet; sszevont kontaktus jelad, tpelltsa csak egytt kapcsolhat; elektronikus jelad, tpelltsa nem kapcsolhat; nem kapcsolhat tplls jelad. A kapcsols jellemzi: nem biztonsgi kivitel, mivel A PLC kiesse esetn I/O kommunikci nincs; egycsatorns jelfeldolgozs A vagy B PLC-be csatlakozva; a krtyk az A PLC-be brhov csatlakoztathatk; a jelcsoportcmeket a kivlasztott PLC-nl kell belltani, ezek a cmek a msik PLCnl mr nem hasznlhatk.

8.13. bra. Egycsatorns jelad kapcsolsai b) Egycsatorns jelad, ketts beolvasssal (8.14. bra) [6]. A kapcsolsra jellemz az egycsatorns jelad, a biztonsgi kivitel, a ktcsatorns jelfeldolgozs, a krtyahelyek A s B PLC-be ugyanarra a helyre csatlakozva s a jelcsoportcmzs azonos mindkt csatornnl.

302

8.14. bra. Egycsatorns jelad ketts beolvasssal c) Ktcsatorns jelad, biztonsgi kivitel (8.15. bra) [6]. A kapcsolsra jellemz a ktcsatorns jelad kln-kln beolvasssal, a nvelt biztonsgi kivitel s a ktcsatorns jelfeldolgozs. A krtyahelyek A s B PLC-be ugyanarra a helyre csatlakoznak, a jelcsoportcmzs azonos mindkt csatornnl.

8.15. bra. Ktcsatorns jelad kln-kln beolvasssal d) Egycsatorns jelad, nvelt biztonsgi kivitel (8.16. bra) [6]. A kapcsolsra jellemz az egycsatorns jelad kapcsolhat tpfeszltsggel, a nvelt biztonsgi kivitel, a ktcsatorns jelfeldolgozs. A krtyahelyek A s B PLC-be ugyanarra a helyre csatlakoznak. A jelcsoportcmzs azonos mindkt csatornnl, egy tesztciklus alatt a PLC a vizsglkimenet segtsgvel a jelad tpfeszltsgnek lekapcsolsval 0 jelszintre vizsglja a bemenetet. A vizsglkimenet hasznlata szksges.

303

8.16. bra. Egycsatorns jelad vizsglkapcsolssal e) Ktcsatorns jelad kapcsolhat tpfeszltsggel (8.17. bra) [6]. A kapcsolsra jellemz a ktcsatorns jelad, a maximlis biztonsgi kivitel, a ktcsatorns jelfeldolgozs. A krtyahelyek A s B PLC-be ugyanarra a helyre csatlakoznak, a jelcsoportcmzs azonos mindkt csatornnl. A kapcsolhat tpfeszltsg jelad, egy tesztciklus alatt a PLC a vizsglkimenet segtsgvel a jelad tpfeszltsgnek lekapcsolsval 0 jelszintre vizsglja a bemenetet. A vizsglkimenet hasznlata szksges.

8.17. bra. Ktcsatorns jelad vizsglkapcsolssal f) Egycsatorns jelad, ktcsatorns jelfeldolgozs (8.18. bra) [6]. A kapcsolsra jellemz az egycsatorns jelad, a nvelt biztonsgi kivitel, ktcsatorns jelfeldolgozs. A krtyahelyek A s B PLC-be ugyanarra a helyre csatlakoznak, a jelcsoportcmzs azonos mindkt csatornnl. A nem kapcsolhat tpfeszltsg jelad, a tesztciklus alatt a PLC a vizsglkimenet segtsgvel a jelad-tpfeszltsg lekapcsolsval 0 jelszintre vizsglja a bemenetet. A vizsglkimenet hasznlata szksges.

304

8.18. bra. Egycsatorns jelad vizsglkapcsolssal s ketts beolvasssal g) Ktcsatorns jelad, ktcsatorns feldolgozs (8.19. bra) [6]. A kapcsolsra jellemz a ktcsatorns jelad keresztkapcsolssal, a biztonsgi kivitel, a ktcsatorns jelfeldolgozs. A krtyahelyek A s B PLC-be ugyanarra a helyre csatlakoznak. A jelcsoportcmzs azonos mindkt csatornnl. A nem kapcsolhat tpfeszltsg jelad a tesztciklus alatt a PLC a vizsglkimenet segtsgvel a jelad jelnek lekapcsolsval 0 jelszintre vizsglja a bemenetet. A vizsglkimenetek hasznlata szksges.

8.19. bra. Ktcsatorns jelad, ktcsatorns feldolgozs h) Norml kimeneti fokozat biztonsgi PLC-hez (8.20. bra) [6]. A kapcsolsra jellemz az egycsatorns kimeneti fokozat, az egycsatorns feldolgozs (A PLC) s a nem biztonsgi kivitel.

305

8.20. bra. Egycsatorns kimeneti fokozat i) Biztonsgi kimenet egyenram meghajtssal (8.21. bra) [6]. A kapcsolsra jellemz a biztonsgi kimenet, az egyenram direkt 24 V-os meghajts, a ktcsatorns jelfeldolgozs. A krtyahelyek A s B PLC-be ugyanarra a helyre csatlakoznak, a jelcsoportcmzs azonos mindkt csatornnl, az egyik csatorna kimenete a msik csatorna bemenetre vissza van vezetve s ellenrizve. Az ellenrz bemenetek hasznlata szksges.

8.21. bra. Egycsatorns biztonsgi kimenet j) Biztonsgi kimenet hlzati meghajtssal (8.22. bra) [6]. A kapcsolsra jellemz a biztonsgi kimenet (rels), a vltakoz ram (230 V) direkt meghajts, a ktcsatorns jelfeldolgozs. A krtyahelyek A s B PLC-be ugyanarra a helyre csatlakoznak, a jelcsoportcmzs azonos mindkt csatornnl, az egyik csatorna kimenete a msik csatorna bemenetre vissza van vezetve s ellenrizve. Az ellenrz bemenetek hasznlata szksges.

306

8.22. bra. Biztonsgi kimenet hlzati (230 V) mkdtetssel k) Biztonsgi kimenet indirekt meghajtssal (8.23. bra) [6]. A kapcsolsra jellemz a biztonsgi kimenet, az egyenram indirekt meghajtsmsol jelfogkkal (24 V), a ktcsatorns jelfeldolgozs. A krtyahelyek A s B PLC-be ugyanarra a helyre csatlakoznak, a jelcsoportcmzs azonos mindkt csatornnl. Az egyik csatorna kimenete a msik csatorna bemenetre vissza van vezetve s ellenrizve. Az ellenrz bemenetek hasznlata szksges.

8.23. bra. Biztonsgi kimenet indirekt meghajtssal (24 V) l) Biztonsgi kimenet indirekt hlzati meghajtssal (8.24. bra). A kapcsolsra jellemz a biztonsgi kimenet, az indirekt meghajtsmsol jelfogkkal (24 V/230 V), a ktcsatorns jelfeldolgozs. A krtyahelyek A s B PLC-be ugyanarra a helyre csatlakoznak, a jelcsoportcmzs azonos mindkt csatornnl. Az egyik csatorna kimenete a msik csatorna bemenetre vissza van vezetve s ellenrizve. Az ellenrz bemenetek hasznlata szksges.

307

8.24. bra. Biztonsgi kimenet indirekt meghajtssal (24 V/230 V) m) Biztonsgi kimenet indirekt meghajts msol jelfogkkal (8.25. bra). A kapcsolsra jellemz a biztonsgi kimenet, az indirekt meghajtsmsol jelfogkkal (230 V /230 V), a ktcsatorns jelfeldolgozs. A krtyahelyek A s B PLC-be ugyanarra a helyre csatlakoznak, a jelcsoportcmzs azonos mindkt csatornnl. Az egyik csatorna kimenete a msik csatorna bemenetre vissza van vezetve s ellenrizve. Az ellenrz bemenetek hasznlata szksges.

8.25. bra. Biztonsgi kimenet indirekt meghajtssal (230 V /230 V) n) Biztonsgi kimenet indirekt meghajts msol jelfogkkal (8.26. bra). A kapcsolsra jellemz a biztonsgi kimenet, az indirekt meghajtsmsol jelfogkkal (24 V/230 V), a ktcsatorns jelfeldolgozs. A krtyahelyek A s B PLC-be ugyanarra a helyre

308 csatlakoznak, a jelcsoportcmzs azonos mindkt csatornnl. Az egyik csatorna kimenete a msik csatorna bemenetre vissza van vezetve s ellenrizve. Az ellenrz bemenetek hasznlata szksges.

8.26. bra. Biztonsgi kimenet indirekt meghajtssal (24 V/230 V)

8.5.2. Analg jeladk biztonsgi kapcsolsai


Az analg jeladk feszltsg- vagy ramjeleket szolgltatnak. A szoksos rtkeket a 8.27. bra mutatja egycsatorns, nem biztonsgi kivitelben.

8.27. bra. Egycsatorns, nem biztonsgi kivitel analg bemenet [6]


Nvelt biztonsg bemeneti fokozatot rendszerint bels tesztelsi lehetsggel alaktanak ki. Az analg jeladk jval kltsgesebbek a digitlis jeladknl, ezrt egycsatorns jeladt alkalmaznak. Erre plda a 8.28. bra. Az brn egycsatorns feszltsgjelad lthat ktcsatorns jelfeldolgozssal. Az zemmdkapcsol 0 s 1 kapcsoljnak aktivlsakor a jelad feszltsge, a 2 s 3 kapcsoljnak aktivlsakor az etalon vizsglati feszltsg jut a bemenetekre.

309

8.28. bra. Egycsatorns feszltsgjelad, ktcsatorns jelfeldolgozs a bemeneti fokozat tesztelsvel


Nvelt megbzhatsg megolds lthat 4-20 mA-es analg jeladval a 8.29. brn

[6].

8.29. bra. Egycsatorns 4-20 mA-es jelad, ktcsatorns feldolgozs a bemeneti fokozat tesztelsvel
Maximlis biztonsg analg bemeneti fokozatot szemlltet a 8.30. bra [6] ktcsatorns analg jeladval, ktcsatorns feldolgozssal s a bemeneti fokozat tesztelsvel.

310

8.30. bra. Maximlis biztonsg analg bemeneti fokozat

8.5.3. Tovbbi biztonsgi megoldsok


A biztonsgi PLC-k piacn egyre gyakoribbak az alapkiptsben specilis, biztonsgi modulokbl felpl PLC-k: nvelt funkcij s megbzhatsg watch dog ramkrk (lsd a 9. fejezetben); bels redundancik (pl. bemeneti modul, kimeneti modul stb); bels krtyatesztek; nagy megbzhatsg, vlogatott alkatrszek stb. Az ilyen felpts PLC-k alacsonyabb ignyek esetn eszkzredundancia nlkl is hasznlhatk, de a redundns konfigurcik is kialakthatk. A klnlegesen veszlyes technolgik (pl. atomreaktor) irnytshoz tovbbi specilis hardver- s szoftvermegoldsokra van szksg.

311
Irodalom: [1] Demmel L., Molnr T., Trk B., Vgvlgyi G.: Programozhat logikj vezrlsek. Budapest, Mrnktovbbkpz Intzet, 1989. [2] Ajtonyi I.: Biztonsgi vezrlk alkalmazsa ermvi gvezrlshez. ME. Automatizlsi Tanszk, Tanulmny, 1996. [3] Holscher, Holger: Microcomputer in der Sicherheitstechnik. Verlag TV Rheinland Kln, 1984. [4] VDI szabvny. [5] DIN 66230 szabvny. [6] SIEMENS: S5-115 H, S5-115 F gpknyvek. 1993. [7] HONEYWELL: SFC Controllers (gpknyv). 1995. [8] ABB: Industrial Manual. 1998. [9] Hajas Gy., Kdr A., Stefn P.: rintsvdelem, robbansbiztos villamos berendezsek. Budapest, Mszaki Knyviad, 1978. [10] Pongrcz, Gajor, Mnyi: Robbansbiztos villamos berendezsek. 1980. [11] DIN V 19250 szabvny. [12] IEC 61508 szabvny. Functional safety of electrical, electronic, programmable electronic safety-related systems. 1997.

312

9. PLC-K KIVLASZTSA, BEZEMELSE, ZEMELTETSE


A PLC-k alkalmazsnak egyik legnagyobb elnye, hogy nagymrtkben lervidtik a beruhzsi idt a huzalozott logikj vezrlsekhez kpest. Ez abbl addik, hogy a technolgiai fejlesztssel egyidejleg a PLC programozhat s tesztelhet, gy a bezemelsi id nagymrtkben lecskkenhet. A PLC-k alkalmazsnak szempontjai: technikai jellemzk, amelyek az adott folyamatirnyts feltteleit kielgtik; gazdasgossgi szempontok, amelyek az automatizlt technolgira vonatkoznak, s amelyek a termk minsgi, mennyisgi jellemzinek figyelembevteltl a balesetvdelmi szempontokig figyelembe veendk.

9.1. PLC-k kivlasztsnak szempontjai


A megfelel PLC kivlasztsnak szmos szempontja lehetsges: gazdasgi, mszaki, szakember-elltottsg stb. Ezen szempontok nem fggetlenek egymstl, ezrt csak a komplex szemllet megfelel eredmny. A mszaki kvetelmnyek kvetik a PLC-k felptst. Ennek megfelelen beszlhetnk a CPU, a memrira, a be/ki vonalak (PLC be/ki egysgei) s az informatikai rendszer kialaktsnak kvetelmnyeirl, valamint az ember-gp kapcsolat ignyeirl. a) CPU kvetelmnyei A CPU kvetelmnyei egyrszt az utastskszletre, msrszt a vals idej feldolgozsra, ill. a rendkvli esemnyek feldolgozsra csoportosthatk. A PLC-k utastskszlete igen eltr lehet a nhny utaststl (6-8) a nagyszm utastsig (~ 140). Az sszetettebb funkcikat tartalmaz (szubrutinhvs, aritmetika, PID funkci stb.) utastskszletes PLC-kel hatkonyabban (kevesebb memria, rvidebb vgrehajtsi id) valsthat meg az irnytsi feladat. Az utastskszlet minstsnek szempontjai: csak Boole-mveletek; adat sz/bjt mveletek: olvass, rs, analg rtkek kezelse stb.; szorzs/oszts/sszeads/kivons mveletek (gyakran analg vagy idztsi adatokon, pl. 16 bites integer: - 32768-tl 32767-ig); adatbzis-kezel mveletek; lebegpontos matematikai mveletek, amelyek a +, , s : -on kvl a trigonometriai, gykvonsi, statisztikai mveleteket is tartalmaznak; szubrutinhvsi lehetsg, programmegszaktsi lehetsg; adatkonverzi (pl. bin/BCD, ill. BCD/bin) lehetsge; soros kommunikcikezels; taszkkezelsi lehetsg; PID algoritmushvsok lehetsge; hlzatkezelsre vonatkoz utastsok. A CPU rtkelsnek szempontjai a program vgrehajtsval kapcsolatos jellemzk, a ciklusid, a taszkszervezs lehetsge, valamint a rendkvli esemnyek feldolgozsi jellemzi, a megszaktskrsek szma, jellege stb. A CPU mkdsnek szempontjai a PLC ellen-

313 rzsi (nteszt, watch-dog stb.) funkciinak meglte, valamint a biztonsgi PLC-k kialaktsnak lehetsge. b) Memrival kapcsolatos kvetelmnyek A PLC-kben RAM s EPROM (EEPROM stb.) modulok biztostjk a program vgrehajtst. A memriaegysgek egy rsze rendszerint a CPU-val van sszeptve. Fontos szempont, hogy rendelkezik-e a PLC memriabvtsi lehetsggel. Br a vgleges programot az EPROM memriban clszer trolni s ilyenkor jval nagyobb EPROM memrira van szksg, mint RAM memrira, mgis szksg lehet az EPROM-mal azonos mret RAM-ra a programbezemels, a tesztels idejn. A program tesztelst a knnyen mdosthat RAM memrival clszer elvgezni, mert ez gyors prototpus-fejlesztst (rapid prototyping) tesz lehetv. A szksges programmemria mrete a programtl fgg, ez pedig sszefgg az I/O vonalak szmval, az elvgzend funkcikkal, azok bonyolultsgval s a PLC utastskszletvel. A PLC kivlasztsnl a hardverkonfigurci meghatrozsnak fontos lpse a szksges memriakapacits, azaz a RAM, ill. EPROM memriakapacitsnak meghatrozsa. A program mretnek becslst az albbi algoritmus szerint vgezhetjk el. 1. lps: szmoljuk meg a szksges be/ki vonalak szmt. 2. lps: becsljk meg a program bonyolultsga alapjn, hogy egy I/O vonal hny utastst ignyel. (Ez kell gyakorlatot ignyl szubjektv rtk). 3. lps: szorozzuk meg a 2. lpsben becslt szmot az 1. lpsben meghatrozott I/O szmmal. Az gy kapott bjtszm olyan esetekben hasznlhat, ha csak Boole jelleg funkcik vannak. Ellenkez esetben a 4. lpst kell elvgezni. 4. lps: az analg I/O vonalak mintegy 200 bjtot ignyelnek vonalanknt. Adjuk hozz az analg vonalak szmval megszorzott 200 bjtot a 3. lps eredmnyhez. 5. lps: a klnbz programfunkcik tovbbi memriaigny-nvelst jelentenek: kzi/automatikus tkapcsols tovbbi ~ 25 %; automatikus restart sorrend tovbbi ~ 25 %; diagnosztika hiba zenetek tovbbi ~ 25-30 %. 6. lps: adatmanipulcis funkcik memriaignynek becslse (bjt jelleg adatok szma szorozva a bjtok szmval). 7. lps: opertor display kezels memriaignye: 20 bjt/zenet. 8. lps: a naplzs memriaignye. 9. lps: a kommunikci memriaignye. c) Be/ki vonalak kvetelmnyei A PLC-k be/ki egysgeinek kvetelmnyei: a szksges I/O vonalak szma; a be/ki vonalak optoizollt levlasztsa; a be/ki vonalak feszltsg- s ramszintje, zavarvdettsge; tvoli I/O kezels szksgessge, hlzati I/O kezels szksgessge; a specilis be/ki egysgek ignye: nagy sebessg szmllk; idztk; I/O bvtk, analg be/ki modulok, fuzzy modulok stb.; a be/ki egysgek tpfeszltsg-elltsa;

314 specilis jelfeldolgoz modulok szksgessge; biztonsgi (hibatr) I/O vonalak kialaktsa. d) Informatikai rendszer kialaktsval kapcsolatos szempontok s kvetelmnyek: pont-pont kommunikcival kapcsolatos adatok (vonalak szma, tviteli sebessg, protokoll stb.); hlzati kommunikcival kapcsolatos adatok (hardverkialakts, terepi busz tpusa, buszhozzfrsi md, vals idej mkds, protokollok stb.); tbbszint informatikai rendszer kialaktsval kapcsolatos szempontok (hardverfelttelek, tjrk, ETHERNET-csatol, rzkel/beavatkoz szervek hlzati kezelse, protokollok stb). e) Ember-gp kapcsolatra vonatkoz ignyek: adatbeviteli/kiviteli eszkzk (numerikus, alfanumerikus, terminl stb.); folyamatvizualizlssal kapcsolatos szoftverek, ill. SCADA rendszer ignye.

9.2. Bezemels (installls)


A programozhat vezrlseknl mindenki a program elksztst tekinti elsdlegesnek, de legalbb ilyen fontos a kvetkez hardverkvetelmnyek betartsa is: a feszltsg, ram, terhelsi viszonyok elrsainak betartsa; a specifiklt kbelmretek betartsa; a rendszer kialaktsra vonatkoz cgajnlsok betartsa; a fldelsi pontok szakszer kialaktsa (kis impedancia, valamennyi rszegysg azonos fldpotencilon, csompontszeren). A PLC-k elhelyezsnek szempontjai: a PLC-k mechanikailag biztonsgos elhelyezse, valamint az illetktelen programmdosts megakadlyozsa, a javts, modulcsere knny elvgzsnek biztostsa; megfelel vdettsggel a biztonsgos zemvitel garantlsa (korrzit okoz kzegtl val vdelem, mgneses, sztatikus s nagyfrekvencis zavarok elleni vdelem); a teljestmnykapcsolk (rel, mgneskapcsol, tirisztor) helyi elklntse a vezrlszekrnyekben. Az installls az adott PLC gpknyvben elrt csatlakoztatsok utn az n. set up tbla kitltsvel indul. Ez a PLC rendszer konfigurcitl fgg kiindulsi adatainak belltst jelenti. A set up tbla az egyes programozvezrlk adottsgaitl fgg. Pldaknt az Omron CPM kzpkategrij PLC belltsi funkciit adjuk meg. Belltsi funkcik: indtsi funkcik, zemmd kijellse (20 sz); ciklusidre vonatkoz belltsok (5 sz): RS 232C portkiszolglsi id, ciklusidfelgyelet belltsa, ciklusid-felgyelet belltsi ideje; I/O s megszaktskezels belltsa (19 sz); gyorsszmllk belltsa (4 sz); RS 232C port belltsa (5 sz): port, a kommunikci mdja, adattviteli sebessg s adatformtum belltsa, a start kd meghatrozsa; tovbbi kommunikcis portok belltsa (5 sz); hibanaplzs belltsa (1 sz). A teljes belltsi terlet az Omron CPM tpus PLC esetn 56 sz. A program fejlesztsre rendszerint a IEC-1131 szabvnyt tmogat grafikus editorok llnak rendelkezsre

315 (LAD editor, FBD editor). A szerkesztett programot a PLC RAM-jba, ill. EEPROM-jba kell betlteni. A vezrl mkdse szempontjbl kiemelked fontossg az adatok s a program megfelel trolsa s a tpfeszltsg kimaradsa elleni vdekezs. A kvetkezkben a Siemens S7-200 PLC hasonl lehetsgeit mutatjuk be. A RAM s az EEPROM funkcionlis felosztst szemllteti a 9.1. bra [2].

9.1. bra. A RAM s EEPROM funkcionlis terletei Az brn a nagy trkapacits RAM teleppel vdett s tartalmazza a felhasznli programot, a CPU-konfigurcit, a vltozk memriaterlett (V), az n. bit memriaterletet (M) s az idztk, szmllk aktulis rtkt trol memriaterletet. Az EEPROM-ban ugyanezen funkciknak van terletk. Programletltskor a szmtgp tkldi a felhasznli programot, a CPU-konfigurcit s a V memria tartalmt a RAM-ba. A PLC CPU-ja az tvett adatokat az EEPROM-ban trolja (9.2.bra) [2].

316

9.2. bra. Programletlts a PLC-be Az S7-200 lehetsget nyjt az elz hrom tpus adatblokk visszatltsre a fejlesztrendszerbe a 9.3. bra szerint [2].

317

9.3. bra. Adatok automatikus mentse tpfeszltsg-cskkenskor A tpfeszltsg cskkensnek detektlsa esetn a V jel memriaterlet tartalma automatikusan ttltdik az EEPROM memria fenntartott terletre a 9.4. brnak megfelelen [2].

9.4. bra. Adatments tpfeszltsg-cskkenskor Tpfeszltsg-bekapcsolskor az S7-200 automatikusan ttlti a CPU konfigurcis adatokat s a felhasznli programot a RAM-ba a 9.5. bra szerint [2].

318

9.5. bra. RAM-feltlts a tpfeszltsg bekapcsolsakor A tpfeszltsg bekapcsolsakor a teljes RAM-tartalom feltltsre is lehetsg van (9.6. bra) [2].

9.6. bra. A RAM teljes feltltse az EEPROM-bl Az EEPROM mellett a tarts adattrols cljra ma mr a memriakrtya is hasznlatos. Az S7-200 a 9.7. bra szerinti adatok mgneskrtyra tltst biztostja.

319

9.7. bra. Adatok letltse mgneskrtyra A mgneskrtyn trolt program s adatok visszatltst szemllteti a 9.8. bra [2]. A feltltsi folyamat bekapcsolskor, ill. installlskor automatikusan vgrehajthat.

9.8. bra. Memriafeltlts mgneskrtyrl

320

9.3. Tesztels
A PLC programok tesztelst installls eltt szimullt, majd installls utn vals be/kimenetekkel clszer elvgezni. Installls eltt a szimullt be/kimenettel trtn tesztels igen fontos a be/kimenetek sszerendelse s a program funkcionlis tesztelse szempontjbl. Minl hatkonyabban vgezzk a tesztelst az installls eltt, annl kevesebb problma addik installls utn. Az installls eltti tesztelst szemllteti a 9.9. bra.

9.9. bra. Programtesztelsi md szimullt hardver be/kimenetekkel Egyes cgek n. statikus diagnosztikai szoftvereket is ajnlanak a tesztelshez. Ezek rvn a program formai hibi knnyen felismerhetk (9.10. bra) [1].

9.10. bra. Diagnosztikai mdszer grafikus szoftverrel

321 Az ilyen szoftver lehetsget nyjt a kontaktusok llapotnak vltoztatsra, MERKER funkci tesztelsre stb. Lteznek n. dinamikus monitorozst segt szoftverek is. Ezek a grafikus program egyes elemeinek szoftveres vizsglatt teszik lehetv. A dinamikus monitorozs lehetsget nyjt az idztsek, szmllk, sszetettebb funkcik ellenrzsre is. Az installls utni tesztels egyik clja a hardveregysgek tesztelse, a kbelezsek ellenrzse stb. Fontos, hogy installci utni tesztelsnl mr elzleg a szoftverfunkcikat teszteljk, mert a technolgiban ez vagyonbiztonsgi s balesetvdelmi problmt okozhat.

9.4. PLC-rendszer karbantartsa, zemeltetse


A programozhat vezrlk nem tartalmaznak mozg alkatrszeket (kivve a bemeneti, ill. beavatkozszerveket), gy hossz lettartamra vannak tervezve. Rendszerint robosztus kivitelek, a mechanikai hatsoktl vdettek. A be/kimenetek optolevlasztsak, gy a kls zavarok nem juthatnak a kszlkbe. A RAM jelleg memrik teleppel vdettek, ami a tpfeszltsg-kiess elleni vdelmet biztostja. A PLC-k idbeli meghibsodsi arnya a 8.2. brn bemutatott lettartamgrbe szerinti. Az bra szerint a meghibsodsok az zemels els peridusban (ami lehet nhny ra, ill. nap) jelentkeznek kiemelkeden magas szzalkban. Ezt egy tlagos meghibsodsi arny kveti. A PLC lettartamnak vgn a meghibsodsok arnya ismt emelkedik. Emiatt a nagy megbzhatsgi znban kell zemeltetni a PLC-ket. A PLC-k zemvitelnek jellemzi: MTBF, meghibsods kztti tlagos id; MTTF, hiba kiessre jut tlagos id; MTTR, javtsra fordtott tlagos id, ahogyan errl a 8. fejezetben volt sz. A PLC zem kzben rendszerint nteszttel ellenrzi a legfontosabb funkcikat. A GEM 80 PLC kilenc ntesztfunkcival ellenrzi nmagt s hromjegy szmkddal jelzi ki a hibt. Ilyen tipikus ntesztfunkcik: az EPROM, a RAM, a logikai s a Watchdog teszthiba. A felsorolt nteszteket rendszerint a bekapcsols utn futtatjk le. Emellett a felhasznl is pthet tesztfunkcikat a rendszerbe. Bels teszthiba esetn a rendszert (CPU-t) le lehet lltani HALT llapotba vagy viszsza lehet lltani a kiindul llapotba. Gyakran a kimeneti eszkzk aktivlst (tpfeszltsgelltst) egy relvel engedlyezik. Teszthiba esetn azonnal letiltjk az sszes kimenetet a relvel. A mikroprocesszor bzis PLC-ket rendszerint watch-dog ramkrrel is elltjk. A 9.11. bra szerint a kimeneti eszkzk tpfeszltsgt a watch-dog rel kapcsolja [1]. Amikor a PLC-t bekapcsoljk s az hibtlanul elindul, akkor watch-dog rel mkdik. Hibadetektls esetn a watch dog rel elejt s a PLC nem tudja mkdtetni a kimeneteket. Az egyes gyrtk egyre specilisabb watch-dog modulokat fejlesztenek ki.

322

9.11. bra. Watch-dog funkci A PLC mkdsnek biztonsgt a 8. fejezetben lert mdszerekkel nvelhetjk. Az zem kzbeni llapotok szoftveres ellenrzshez a CPU opercis rendszere fenntartott RAM terletre teszi azokat az adatokat, amelyek a hibajelzst, nyomkvetst segtik. Ezek flag-ek, bjtok vagy szavak lehetnek. Az Omron CPM tpus PLC-k opercis rendszere ktszer 24 sz terleten trolja a legfontosabb jelzbiteket s szavakat. A teljessg ignye nlkl felsorolunk nhny jellegzetes funkcit: RS 232C kommunikcis kd (3 bit): normlis tvitel, paritshiba, formtumhiba, tlcsorduls; RS 232C hibaflag, amely 1-be billen, ha a hiba bekvetkezett; RS 232C vtelszmll; gyorsszmll-tartomny figyelse; impulzuskimenetet figyel bit; PLC ciklusid-hibafigyels bitcmzsi hiba (nincs a cm a rendszerben); aktulis ciklusid (4 digit); maximlis ciklusid; ra-, dtum-, naptradatok stb. A PLC-k leggyakoribb kls hibi: I/O eszkzhiba (pl. tvad vagy beavatkoz); kbelezsi hiba; kommunikcis hiba; tpfeszltsghiba; kls zavarok okozta hiba. A gyakorlatban a hibk eloszlsa a kvetkez: 45 %-ban rzkel-, tvad hiba; 30 %-ban beavatkozhiba; 5 %-ban kbelezsi hiba (szakads, zrlat); 5 %-ban CPU hiba; 15 %-ban I/O hiba, kommunikci.

Irodalomjegyzk

323 [1] [2] [3] [4] [5] Ian G. Warnock: Programmable Controllers Operation and Application. Prentice Hall International, 1988. Siemens: PCS7 gpknyv. 1997. Omron: CPM PLC Felhasznli kziknyv. 1999. GEM: 80 PLC Users Manual. 1988. Omron: CS1 Users Manual. 1999.

324

10. FOLYAMATVIZUALIZL RENDSZEREK

SCADA PROGRAM-

A technolgiai folyamat felgyelett ellt szemlyzet szmra az informcik mg a kzelmltban is technolgiai smatblkon, kijelzmszereken, regisztrlkon jelentek meg. Ha a folyamat mkdsben valamilyen vszllapot fellpsre lehetett kvetkeztetni, akkor hangjelzssel s a smatbln a hiba oknak kijelzsvel figyelmeztettk a kezelt a fokozott figyelemre, esetleg a beavatkozs szksgessgre. Egy kiterjedt technolgiai folyamat esetn a smatbla mrete, a kijelz- s a regisztrlmszerek szma igen tekintlyes. Ebbl az is kvetkezik, hogy ltrehozsuk kltsges. Problms a technolgia talaktsa, bvtse, az eszkzbzison nehzkes s kltsges a vltoztatsok napraksz kvetse. A gyakorlat szerint a smatblk nem a tnyleges technolgiai llapotot tkrzik, kisebb-nagyobb eltrsek nem tekinthetk kirv kivtelnek. Kzenfekvnek tnik, hogy a funkcik szmtgpes bzison integrldjanak. A szmtgpes hardverbzist napjainkban PC kategrij (esetleg ipari kivitel) szmtgpek, mg a szoftverrendszer alapjt a folyamatvizualizl programrendszer jelenti. A megjelent munkahelyek intelligencija a kezeli funkcik j, korbban elkpzelhetetlen minsgi javulst eredmnyezte. Szakmai vitk trgya, hogy egy vagy tbb szmtgp kpernyjn lehetsges-e a kezel szmra megjelenteni a technolgiai folyamat tfog rtkelsre alkalmas smt, vagy ez csak egy tbb ngyzetmteres fellet smatbln lehetsges. Mindkt fl kpviseli nagyon hihet s megfontoland rveket sorakoztatnak fel llspontjuk igazolsra. A vita sztnzi a szmtgpes megolds hveit, hogy a vizualizlsi feladatok megoldsra tervezett rendszer a lehetsgek ltal megszabott hatrokon bell kielgtse a msik tbor alapvet ignyeit. Napjainkban a gazdasgi s a tbbletfunkcikbl szrmaz elnyk alapjn a szmtgpes folyamatvizualizls trnyerse figyelhet meg. A folyamatvizualizl szoftverek nem egyedi fejleszts eredmnyei. A szoftvergyrtk sokasga forgalmaz olyan keretrendszereket, amelyek alkalmasak a folyamatvizualizls alapvet feladatainak megvalstsra. A keretrendszerek azt biztostjk, hogy az alkalmazk szmra az applikci sorn ne a programozstechnika alapkrdsei (pl. egy grafikus bra kirajzolsa) legyen a f problma, hanem a technolgiai llapot megjelentsnek optimlis mdja kerljn a megoldand feladatok els helyre. Ha egy rendszer tervezi sok egyedi, a keretszoftvert gyrt ltal nem preferlt funkcit kvnnak bepteni, akkor az alkalmazs sikeressge nagymrtkben a keretrendszer rugalmassgn mlik.

10.1. A technolgiai folyamat llapott jellemz vltozk s feldolgozsuk


Egy technolgia folyamat llapott ltalban ktllapot jelzsek s mrsi adatok jellemzik. A folyamatvizualizl rendszerek a technolgia llapotra jellemz jelzsek s mrsek rtkt soros kommunikci tjn kapjk a folyamattal kzvetlen kapcsolatban ll PLCberendezsektl. A megjelent-rendszerek tervezsekor, kialaktsakor trekedni kell arra, hogy a felhasznlt s megjelentett adatok hitelesek, hihetk legyenek. Amennyiben ezt a kvetelmnyt figyelmen kvl hagyjuk, a felhasznlk bizalma jogosan cskken a rendszer irnt. 10.1.1. A jelzsek s hihetsgk A technolgiai folyamat nagyon sok esemnyrl a ktllapot jelzsek megvltozsbl szerezhetnk tudomst. A jelzsek kt, egymst kizr llapothoz rendeldnek. A jelzs egyik

325 rtke (legyen ez a logikai 1) pl. egy gzfklya lngjnak megltt, a jelzs msik (logikai 0) rtke a lng hinyt jelzi. A jelzs aktulis rtkt a technolgin elhelyezett lngrzkel szolgltatja. A jelzsek szerepre szmos ms plda is van. Elemezhetjk, pl. egy kemenceajt zrt, ill. nyitott llapott mutat jelzs kiptsnek technikai rszleteit, vagy egy reaktortartly nyoms-, ill. szintkapcsoljnak kialaktst, amelyek a nyoms, ill. a szint egy adott nagysgot meghalad rtknl szolgltatnak logikai 1 jelet. A jelzsek rtelmezsnek lehetsgeit egyedi jelzsek, jelzsprok s jelzscsoportok szerint csoportosthatjuk. Egyedi jelzsek Ebbe a csoportba azokat a jelzseket soroljuk, amelyek rtelmezshez nem szksges ms jelzs rtkt figyelembe venni. Az a jelzs, ami a lng jelenltt vagy hinyt mutatja, csak nmagban rtelmezhet. A jelzsek hihetsgt a vizualizl rendszer nem tudja ellenrizni, hiszen nincs semmi alap a technolgia fell rkez adat fellbrlatra. Amennyiben egy technolgiai folyamat nagyon fontos egyedi jelzseinek hihetsgrl is szeretnnk informcit kapni, akkor ezen egyedi jelzsek megkettzst alkalmazzk. A megkettzs sorn nem az a clszer eljrs, hogy mindkt jelzs ugyanazt az informcit hordozza, azaz mindkt jelzs azonosan 1, vagy azonosan 0 rtk legyen. Elnysebb, ha a megkettztt jelzsek egyms komplemensei, azaz pl. az egyik jelzs 1 rtke a lng megltt, mg a msik jelzs 1 rtke a lng hinyt mutatja. A hihetsg a kt jelzs eltr rtke (1, 0 vagy 0,1) esetn ll fenn. Jelzsprok Nhny esetben a technolgia llapotra kt jelzs (jelzspr) rtke nyjt informcit. Erre pldaknt egy folyadktartly minimum- s maximumjelzseinek lehetsges rtkvltozatait mutatjuk be. A pldbl kiderl, hogy a jelzsprok esetn mr megkettzs nlkl is bizonyos hibaellenrzsre nylik lehetsg, br ez korntsem jelenti, hogy minden rzkelmeghibsods kijelezhet a rendszerben. Szint a minimum alatt 0 0 1 1 Szint a maximum felett 0 1 0 1 A folyadkszint minimum s maximum kztt maximum fltt minimum alatt hihetetlen

Msik pldaknt egy igen gyakori technolgiai berendezst, a tolzr llapotait emltjk. A tolzr nyitott, valamint a tolzr zrt llapott egy-egy jelzs mutatja. A klnbsg a kt plda llapotjelzsei kztt az, hogy a jelzspr 00 rtkprja csak a tolzr nyitsi, ill. zrsi idtartamra llhat fenn. Ha az idtartamnl hosszabb ideig rzkeljk az rtkprt, akkor a tolzr elakadst prognosztizlhatjuk. Azaz a jelzspr hihetsg-ellenrzsn tl idztsfigyels is szksges. Tolzr nyitott 0 0 1 1 Tolzr zrt 0 1 0 1 z llapot tolzr ppen zr vagy nyit zrt nyitott hihetetlen

Jelzscsoportok Nem tl gyakran fordul el, hogy tbb jelzs egytt mutatja egy technolgiai berendezs llapott. Ha pl. egy szlltszalag kilppontjn hrom klnbz irnyba terelhetjk a

326 szalagon halad anyagot, s a lehetsges tvonalak kzl csak egy lehet belltva (mert mechanikusan csak ez lehetsges), akkor a hrom lehetsges haladsi irny belltst jelz hrom rzkel kzl csak egyetlen jelezhet logikai 1 rtket. A jelzsek minden olyan rtkkombincija, ahol brmelyik kett, vagy mindhrom jelzs 1, csak az rzkelk meghibsodst (a jelzscsoport hihetetlensgt) tkrzi. 10.1.2. A mrsi adatok, hihetsgk s hatrrtk-vizsglatuk A technolgiai berendezs pillanatnyi llapotrl a mrsi adatok (nyomsok, hmrskletek, kzegramok stb.) szolgltatnak a jelzseken tl informcikat. A mrsi adatokat ltalban hagyomnyos, vagy intelligens tvadk, esetleg impulzussorozatot szolgltat eszkzk adjk. A hagyomnyos tvadk a mrt mennyisggel arnyos ramjelet adnak tipikusan a 4...20 mA tartomnyban. Az ramjelet preczis ellenllssal feszltsgjell alaktjk, ami az analg multiplexeren keresztl mr az A/D konverter bemenetre csatlakoztathat. Pldul 100 Ohm lezr ellenlls alkalmazsval maximum 2 V feszltsgjel kapcsoldik (20 mA esetn) az A/D konverter bemenetre. Az A/D konverter a felbontstl fggen 12...16 bites binris szmot szolgltat, amely a bemenetre kapcsolt feszltsggel arnyos. A folyamatvizualizl rendszerekben nyilvn nem ezt a szmrtket, hanem a mrnki egysgre tszmtott megfeleljt kell megjelenteni. Az tszmtst sklzsnak nevezik. A mrsek tbbsge a mrt mennyisggel arnyos ramjelet szolgltat, azaz lineris sklzs szksges. Ha kzegramot kvnunk mrperemmel mrni, gy a mrperemen fellp nyomsklnbsg nagysgbl kvetkeztethetnk a trfogatram nagysgra. A trfogatram a mrt nyomsklnbsg (az A/D ltal adott szmrtk) ngyzetgykvel arnyos. Ebben az esetben gyks sklzs szksges. Ha a szmrtk a 4 mA-nl kisebb, vagy a 20 mA-nl nagyobb ramrtkre enged kvetkeztetni, akkor ez az adat hihetetlensgt jelenti. A folyamatvizualizls sorn a hihetsgi tartomnyt a mrshatrnl szkebbre vlasztjk technolgiai megfontolsok alapjn. Az intelligens (smart) tvadk soros kommunikcival a terepi buszon keresztl a mrt adatot digitlisan szolgltatjk. Ez az adat mr mrnki egysgre szmtva (pl. egy nyoms esetn bar-ban) jelenik meg a rendszerben. A hihetetlensget a tvad als mrshatrnl kisebb, vagy a fels mrshatrnl nagyobb adatok jelentik (br ez elvileg nem fordulhat el). A hihetsgi tartomny belltott rtke itt is lehet kisebb, mint a tvad mrshatra. Kzegram mrsekor gyakori, hogy a mreszkz nem ram-, hanem impulzuskimenetet szolgltat. Egy vz- vagy gzra trfogategysgenknt szolgltat egy-egy impulzust. Ennl sokkal nagyobb szmban fordul el, hogy mrturbinkkal mrik a folyadkok, gzok mennyisgt, egy-egy trfogategysghez egy-egy impulzus tartozik. Az alapvet klnbsg az impulzusok frekvencijban mutatkozik a klnbz mreszkzk kztt. Vannak mreszkzk (pl. vzra, gzra), ahol csak maximum nhny Hz frekvencij impulzus szoksos, mg vannak olyan eszkzk (turbink, rvnyszrsos mrk), ahol kHz-es frekvencik a tipikusak. Az impulzusokat szmllkkal szmlltatjk. Nyilvnval klnbsget jelent egy PLC szmra a maximum nhny Hz s a kHz frekvencij impulzusok szmllsa. Az egyik esetben egy, a PLC-ben szoftveresen lekpezett szmll is megfelel, mg a msik esetben hardveres szmllegysg szksges. Az adat megjelentsnek kvetelmnyei kettsek: egy gztermel kazn legfontosabb adatai kz tartozik, hogy egy mszak, vagy egy nap alatt mennyi vizet, ill. mennyi gzt hasznltunk fel. Ezen adatokat a vz- s a gzrnak az adott idszak vghez, ill. kezdethez tartoz szmllllsaibl szmtjk. Kvetelmny a pillanatnyi vz- s gzfogyaszts megjelentse is. Ehhez meg kell hatroznunk, hogy kt mintav-

327 telezs kztt mennyit vltozott a szmll (mennyi a nvekmny), ill. az ehhez tartoz trfogatot, majd ezt el kell osztani a mintavtelezsek kztt eltelt idvel. gy megkapjuk a pilla3 3 natnyi fogyasztst (az intenzitst) m /s vagy m /h mrtkegysgben. A mdszer csak akkor alkalmazhat, ha a mreszkz a kt mintavtelezs kztt nagyszm (tbb szz) impulzust szolgltat. Amennyiben pl. 1 s mintavteli idvel 1 Hz krnykn kismrtkben ingadoz 3 frekvencij impulzusokat kvnunk megjelenteni (egy-egy impulzus feleljen meg 1 m tr3 fogatnak), gy a vizualizl rendszerben 0, 1, 2 m /s fogyasztsadatokat fogunk ltni, holott a 3 valsgban a fogyaszts 1 m /s krnykn egy szk tartomnyban ingadozik. Ez hasznlhatatlan adat, ezrt ilyen esetekben vltoztatni kell az algoritmuson. A jelensget a trt impulzusok szmllsi problmjnak nevezik, s kikszblsnek tbb mdja ismert. Szoftveresen egy hosszabb idszak szmllllsaibl kpezzk az adott idszak tlagfogyasztst (intenzitst). Korrektebb megolds, ha az impulzus frekvencijra nem a szmllllsokbl, hanem kt impulzus felfut (vagy lefut) le kztt eltelt idbl kvetkeztetnk. ltalban a technolgiai jellemzk, pl. egy kemence munkaternek hmrsklete, nem vltozhatnak ugrsszeren. Ha kt egymst kvet mintavtel adataibl kiszmtott vltozsi sebessg meghalad egy hihetsgi hatrt, akkor az adatot hihetetlennek kell minsteni. Az adat hihetetlensge a mreszkz, ill. a kommunikcis csatorna pillanatnyi hibjra utal, s ekkor az adat ptlsrl kell gondoskodni. Tbbfle adatptlsi technika szoksos. A leggyakoribb mdszer az, hogy hihetetlensg esetn az adatot az utols rvnyes rtkvel helyettestjk (ptoljuk). Az adatptls idtartamt nem lehet nknyesen vlasztani. Amennyiben pl. egy gyorsan vltozni kpes nyoms nagysgt tbb perc idtartamban ptoljuk, gy ennek az adatnak mr semmi kze nincs a valsghoz, csak a kezelt vezeti flre. Nem clszer olyan rendszereket tervezni, amelyek a technolgia nlkl is kpesek adatokat megjelenteni, azokbl kvetkeztetseket levonni. Helytelen tervezsi gyakorlat, hogy a legaprbb hinyossg esetn is riasszuk a kezelt, mert gy eltereljk a figyelmt a technolgia rdemi felgyelettl. Valamilyen sszer kompromisszumot kell tallni a technolgia ismeretben az adatptls mdjrl s idtartamrl. A technolgiai mrt jellemzi (pl. nyoms, hmrsklet, szint) normlis zemels esetn egy-egy elre meghatrozhat, a hihetsgi tartomnytl lnyegesen szkebb, tartomnyon bell vltoznak. A tartomny hatrain val tlpskor fel kell hvni a kezel figyelmt a rendellenessgre. Ezt a funkcit alarmvizsglatnak nevezik. A gyakorlatban az alarmminimum s az alarmmaximum figyelsn tl esetleg a vltozs sebessgnek (trend) alarmvizsglata szoksos. Ha a mrt technolgiai jellemz az alarmhatr szk krnyezetben ingadozik, akkor a kezel folyamatosan figyelmeztetst kap arrl, hogy az alarm fellpett, ill. megsznt. Ez rendkvl zavar, ezrt gyakran az alarm fellpsekor az alarm hatrt automatikusan egy adott rtkkel eltoljk (maximum esetn cskkentik, mg minimum esetn nvelik), majd a norml rtkre val visszatrst kveten visszalltjk az eredeti rtkre, megszntetve a jelensget. 10.1.3. A feldolgozsi feladatok A technolgibl szrmaz jelzsekhez s mrsi adatokhoz szmos feldolgozsi feladat kapcsoldhat, amely feladatok megoldst a vizualizl programrendszernek kell biztostania. a) Esemnyzenetek A jelzsek vltozshoz gyakran szveges esemnyzenetet rendelnek. Az esemnyzenet a jelzsvltozshoz kapcsold trtnst fogalmazza szvegesen. Egy esemnyzenet pldul a kvetkez:

328 2000 jan. 26. 12:15:23 A T1001 tartly szintje a maximum felett. Ezeket a szveges zeneteket azonnal a kezel tudomsra kell hozni (pl. a kperny egy elhatrolt ablakban), mert elfordulhat, hogy valamilyen kezeli intzkeds vlik szksgess. Az ilyen zeneteket vszesemny-zeneteknek nevezik. Vannak rendszerek, ahol kvetelmny, hogy a technolgia norml trtnseirl is n. kznsges esemnyzenetek generldjanak. Vsz- vagy kznsges esemnyzeneteket nemcsak a jelzsek vltozsa generlhat, hanem a mrcsatornkhoz is kapcsoldhatnak akr vsz-, akr kznsges esemnyzenetek. Pldul, ha egy nyoms tllpi a fels vszhatrt (alarm), akkor egy vszzenetet kell generlni. Az esemnyzeneteket az esemny fellpsekor s a megsznsekor hozzk ltre. A rendszerek tervezsekor gondosan elemezni kell, mely llapotok fellpse tekinthet vszllapotnak, azaz mely esemnyekhez kapcsoljunk vszzeneteket. Ha egy rendszerben indokolatlanul gyakran jelennek meg vszzenetek, akkor a kezel kptelen lesz felismerni egy tnyleges vszllapotot. Hasonl dilemma a rendszerek tervezsekor, hogy megkveteljk-e a vsz-esemnyzenetek nyugtzst (pl. egy billenty megnyomsval) a kezeltl, vagy jelentsk meg szmra automatikusan a kvetkez frissebb vszzenetet anlkl, hogy a korbbi zenetsort nem olvasta el. Mindkt vltozatra vannak pldk, ltalnos szablyokat nem lehet fellltani. A vszzeneteket a rendszerben archivlni kell, hogy a legfontosabb rendellenessgek fellpse s megsznse nyomon kvethet legyen. Az archivls napjainkban egyre inkbb egy adatbzisban trtn trolst jelenti. A megrzs idtartama a nhny naptl a nhny hnapig igen vltozatos lehet, a technolgia jellegtl fggen. A vizualizl rendszernek az archivls mellett lehetsget kell teremtenie, hogy az adatarchvumbl kikeressk a szmunkra rdekes esemnyzeneteket. A keress tipikusan egy adott idtartamra, esetleg adott objektumra (pl. T1001 tartly) vonatkozik. Nhny esetben a korbbi szmtgpes hagyomnyok alapjn kvetelmnyknt fogalmazzk meg az azonnali esemnykinyomtatst egy kln n. esemnynyomtatn. b) Szrmaztatott adatok ellltsa Gyakran a mrsi csatornk adataibl valamilyen algoritmus alapjn kell ellltani a kezel szmra szksges adatokat. Ha az raml gz mennyisgt mrperemmel mrjk, gy a gz mennyisge (norml llapotra szmtott rtke):
q=c dpp T

(10-1)

ahol q a gz mennyisge, c a mrperem konstansa, dp a mrperemen ltrejv nyomsess, p a gz abszolt nyomsa s T a gz hmrsklete. A kifejezs alapjn lthat, hogy hrom mrcsatorna adatbl hatrozhatjuk meg a szmunkra szksges gzmennyisget. Egy msik plda a szrmaztatott adat ellltsra egy gmbtartly olajkszletnek a figyelse. Ha mrjk a gmbtartlyban lv olaj szintjt, mr az is igen fontos informci, de ebbl clszer meghatrozni, hogy mennyi a tartlyban lv olaj trfogata. Ehhez a szintrtkhez egy tartlykalibrcis tblzat alapjn, ahol adott lpskzzel az sszetartoz szint- s trfogatadatok tallhatk, hozzrendeljk a trfogati adatot, mint szrmaztatott mennyisget. A tartlykalibrcis tblzat a vizualizl rendszer adatbzisnak a rsze, s az sszerendels algoritmussal trtnik. A pldk nagy szmban sorolhatk, a klnbz technolgiknl igen vltozatos szrmaztatott mennyisgek ellltsra van szksg a technolgia kvetse rdekben.

329 c) Adatarchivls A vizualizl rendszerek alapvet kvetelmnye, hogy legyen lehetsg az adatok archivlsra. Az adatarchvumokba tipikusan a mrsi csatornk adatait (nyomsok, hmrskletek, kzegramok stb.) troljk, de a jelzseket is archivlni kell. Az archivlsnak az a clja, hogy a kezel brmikor megjelenthesse egy vagy tbb mennyisg idbeli alakulst (trendjt). Technolgiafgg az, hogy egy archvumba mennyi ideig kell egy adatot megrizni. Pldul nagy tmeg ssav regenerlsi ciklusa tbb (hrom-ngy) ht idtartam is lehet, ezrt nem tlzott igny, ha az egyhnapos adatmegrzst kveteljk. A legegyszerbb adatarchivlsi technika, hogy minden egyes mintavtelkor minden egyes mrsi csatorna adatt azonostval, dtummal, ill. idponttal kiegsztve az adatbzisba helyezzk. Nzzk meg, hogy ez a mdszer milyen trolsi helyignyt jelent. 2 s mintavteli idvel szmolva mrcsatornnknt 1339200 rekordot kell bejegyezni az adatbzisba egy hnap (31 nap) alatt. A rekord mrete mg a legtakarkosabb szmbrzolsi esetben is minimum 10 bjt (azonost 2 bjt, adat 4 bjt, dtum s id 4 bjt), azaz a helyigny mintegy 13 Mbjt mrcsatornnknt. Sok mrcsatorna hossz idej archivlsra a fenti mdszer mg nagy httrkapacits esetn sem alkalmazhat. A gyakorlatban a mrt mennyisgek norml zemelsnl nem vltoznak gyorsan. A nyoms vagy a hmrsklet az esetek jelents rszben hossz ideig nem, vagy alig vltozik. Ilyen esetekben jelentsen cskkenthetjk a helyignyt, ha egy mrcsatorna adatt (azonostval s dtummal, ill. idponttal kiegsztve) csak akkor rjuk be az archvumba, ha a mrt adat a korbban letrolt rtkhez kpest egy ltalunk elrt szignifikns vltozsi kszbnl nagyobb mrtkben megvltozott. Teht pl. egy hmrsklet csak akkor kerl az archvumba, ha az aktulis rtke pl. 0,5 C nagysggal eltr az utoljra trolt rtktl. Az egsz archivlsi mdszer rtelmt veszti akkor, ha az archvum pontossga rdekben tl kicsire vlasztjuk a szignifikns vltozsi kszbt, vagy a mrt mennyisgek kt mintavtel kztt jelentsen megvltoznak. Egyes vizualizl rendszerek az adattmrts rdekben azt a technikt alkalmazzk, hogy a mrt adatok (idben lineris) vltozsi trendjt troljk az archvumba. Csak akkor kerl j bejegyzs, ha az adat a trend alapjn meghatrozott rtktl szignifiknsan eltr. Azaz minden rekord az adaton (azonostn s dtumidponton) tl tartalmazza az adat vltozsi meredeksgt is a korbbi adatok alapjn. A gyakorlat szerint ez a trolsi technika nagyon hatkony adattmrtst biztost, viszonylag kis httrkapacitson igen hossz idej visszatekintst lehet biztostani. d) Post-mortem adatarchvumok A post-mortem archvumoknak az a szerepe, hogy egy zemzavar utn meg lehessen hatrozni a zavar okt. Az archvum gyakorlatilag minden mrcsatorna s jelzs rtkt tartalmazza minden egyes mintavteli idpontban. Az elvlt adatok fellrdnak, azaz ha az archvumot egy tblzatnak kpzeljk el, akkor az utols sor (rekord) berst kveten ismt a tblzat els sortl kezdve rjuk be az adatrekordokat. A post-mortem archvum rsa felttelekhez kttt. Ha a norml zemelsre vonatkoz felttelek teljeslnek, akkor az archvum az emltett mdon rdik. Ha egy, az zemzavart tkrz felttel (pl. tartlynyoms a maximum fltt jelzs logikai 1 rtk) az archvumba rs megsznik, s az archvum tartalma az zemzavart megelz idszakot tkrzi. Ennek kirtkelse alapjn meg lehet hatrozni, hogy milyen okok vezettek az zemzavarhoz. Az archvum rsnak ismtelt bekapcsolst az ok megsznst kveten a kezel kezdemnyezi.

330 A post-mortem archvumok ltalban azrt klnlnek el a korbbi archvumoktl, mert a norml archivls helytelen paramterezs esetn pontatlan, s egyltaln nem biztos, hogy a hibaok kidertshez szksges valamennyi adat norml archivlsa elrt. A postmortem archvumok alapjn kell intelligencij rendszerben az zemzavar eltti idszak lpsrl lpsre tetszleges lasstssal lejtszhat. Egy ilyen rendszer jelentsgt pl. a vasti forgalmat felgyel rendszer esetn nem lehet tlbecslni. e) rs, mszakos, napi adatok ellltsa A gyakorlatban az adatok ttekinthet terjedelemre tmrtsnek egyik legltalnosabb elve, hogy egy adott idszakra (rra, mszakra, napra) vonatkoz rtkt lltjuk el, s jelentjk meg a termelsi naplkban. A kttt (1, 8, 24 ra) idtartam adatok ellltsnak elvei Az intenzitst tkrz adatokra (nyoms, hmrsklet stb.) az adott idtartamra vonatkoz tlagrtket lltjk el. ltalban az tlagrtk nmagban nem tkrzi megfelelen az adott idszak trtnseit, ezrt ellltjk az adat tlag krli ingadozsra jellemz mrszmot is. Ez kzenfekven a szrs lehet, de az adott idszakon belli legkisebb (minimum), ill. az adott idszakon belli legnagyobb (maximum) adat rtknek trolsa is elfogadott. Szablyozott jellemzk esetn a kvnt rtktl vett eltrs tlagt, ill. ennek ingadozst is elllthatjuk. A fogyasztssal kapcsolatos adatokra (gz, vz, elektromos energia) ltalban az idszakra vonatkoz felhasznls (fogyaszts) meghatrozsa a cl. Amennyiben a mreszkz a fogyaszts pillanatnyi nagysgval (pl. m3/h) arnyos jelet szolgltat, a szmtgpes rendszernek az id szerinti integrlt rtket kell ellltania. Ez tipikusan a tglnyszably szerinti kzeltssel trtnik. Ha a mreszkz a fogyasztssal (pl. m3) arnyos szm impulzust szolgltat (pl. ramlsmrk), akkor a fogyasztst az impulzusok egyszer sszegzsvel hatrozzk meg. A kszletekre jellemz (pl. tartly folyadkszintje, vagy folyadk trfogata esetn), hogy az adott idszak utols rvnyes adatt hasznljk fel. Ez az adott idszak (ra, mszak, nap) vgn rvnyes kszlet kpzst jelenti. A 8, 24 rs adatok akkor korrektek, ha az adott ra valamennyi mintavtele sorn a mrcsatorna hihet adatot szolgltat. Egy folyamatosan zemel berendezsnl hatatlanul elfordul, hogy a mreszkz vagy a kommunikci meghibsodik, vagy a vizualizl szoftvert tartalmaz szmtgpet rvidebb-hosszabb idszakra kikapcsoljk vagy meghibsodik. Ekkor felvetdhet az igny, hogy a hihetetlen adatokat manulisan ptolni lehessen. Az alkalmazk ignylik, hogy a manulis adatptls korltozs nlkl a termelsi naplban megjelen adatcsoportokra alkalmazhat legyen. E trekvs magyarzataknt szmos mszaki okot szoktak felsorolni, de a tnyleges ok az adatok utlagos mdostsnak a lehetsge. Ezt a trekvst mindenkppen meg kell akadlyozni, mert ellenkez esetben a szmtgpes rendszer objektivitsba vetett bizalmat nhny hetes zem utn elveszti. A manulis adatptlst csak a clszeren rs adatokra szabad a rendszernek engedlyezni, ahol jelents idre hinyoznak az objektv adatok. Azt a tnyt, hogy az adat manulisan ptolt az adatot ksr sttusvltozban, ill. a naplban is jelezni kell. Az adatokat termelsi (rs, mszakos, napi) naplkban szoks megjelenteni. A naplk a mrsi adatokkal kapcsolatos informcik mellett az adott idszak egyb trtnseit is tartalmazzk. Meg kell jelenteni az adott idszakra vonatkoz vsz-esemnyzeneteket, amelyek alapveten a jelzsvltozshoz kthetk.

331 Gyakori kvetelmny, hogy a mszakvltskor (amikor a kezelk is kicserldnek) ksztett naplknak tartalmaznia kell a mszakvlts pillanatban fennll vszjelzseket, ill. ezek jelentst. A szolglatot tvev kezelnek ismernie kell az zemels rendellenessgeit. A naplk tervezsnl abbl az alapelvbl kell kiindulni, hogy ezek a termelsi folyamat elsdleges dokumentumai, s a termels menett alapveten befolysol minden tnyezre kvetkeztetni lehessen. f) Ktetlen idtartam adatainak ellltsa Az olajkutak hozamnak megvltozst gy kvetik nyomon, hogy havonta egyszer egyedi mrszepartorra kapcsoljk, mivel nincs annyi mrsre alkalmas mrszepartor, ahny olajkt van egy termeltrsgben. A technolgiai elrs szerint minimlisan 24 ra idtartamban mrni kell a ftermk (olaj), a segdtermk (ksr gz), a mellktermk (vz) hozamt (trfogatramt), valamint mrni kell a ktfej nyomst (tlagrtkt), a hmrskletet (tlagrtkt) s nhny ms paramtert. Egy tartly kszletvltozsnak (betrols, kitrols, ttlts) figyelse a tartlymvelet kezdethez, ill. befejezshez kthet, aminek sem a kezdete, sem az idtartama elre nem rgzthet. Ezekben az esetekben is az rs, mszakos, napi adatok tlag-, fogyaszts-, kszletadat-fogalmaival tallkozunk, de nem meghatrozott idtartamra kpezve. Az adatok kpzsnek kezdett s vgt ltalban a jelzsek megvltozsa jelli ki. Mind az olajkt, mind a tartly esetn a tolzrak jelzseibl kvetkeztethetnk a mveletek kezdetre s a befejezsre. g) zemelsi id ellltsa Gyakori feladat, hogy egy technolgiai berendezs klnbz zemmdjainak zemidejt kell ellltani. Meg kell hatrozni, hogy egy adott idszakban egy kompresszor menynyi ideig mkdtt. El kell lltani, hogy egy olaj vagy gzkt mennyi ideig volt mrszepartoron, mennyi ideig mkdtt kzs szepartoron, s mennyi ideig llt. Az zemid-elllts jelzsek vagy jelzscsoportok vltozshoz kapcsolhat. Egyszer esetben (pl. a kompresszor) egyetlen jelzs logikai 1 vagy 0 rtke jelenti az zemid kpzsnek alapjt. A gz- vagy olajkt esetn mr csak tbb jelzst tartalmaz jelzscsoport kirtkelse alapjn kvetkeztethetnk az zemidre. 10.1.4. Kezeli jogosultsgok Egy nagy rendszer felgyelett nem egyetlen kezel ltja el, mert erre mg szmtgpes tmogatssal is kptelen. Tbb kezel esetn felmerl a jogosultsgok krdse, a kezelket nem felttlenl azonos jogostvnyokkal kvnjk elltni. Nem trvnyszer, hogy a technolgiai folyamat valamennyi adatba valamennyi kezel betekinthessen. Lehetsges, hogy pl. mrcsatornnknt kell elrni, hogy egy-egy csatorna adatt mely kezelk ismerhetik. Ez nem azt jelenti, hogy egy csatorna adatt csak egyetlen kezel ltja, de nem mindenki szmra biztostott a betekintsi jog az adott mrcsatorna adataira. A betekintsi jog meghatrozsa az adatbzis valamennyi adatcsoportjra kvetelmny. A kezelk tevkenysge nem pusztn az adatok megtekintsre korltozdik. Ha pl. egy mrperemet zemszeren (1-2 nap gyakorisggal) vltogatnak, akkor az adatbzisban a mrcsatornhoz tartoz peremkonstansot mdostani kell. Az adat mdostsi joga nem felttlenl biztostand minden kezel szmra. A kezelk adatmdostsait rgzteni kell, pl.

332 esemnyzenet formjban. Az zenetek tartalmazzk, hogy melyik adatot, mikor, ki s mire mdostotta. A munkamegosztsbl addan nem clszer minden esemnyzenetet minden kezel kpernyjre kikldeni. Objektumonknt (pl. jelzsenknt, mrcsatornnknt) elrjk az esemnyzenet illetkessget. Ez azt jelenti, hogy egy esemny csak azon kezelk kpernyjn jelenik meg, akik az illetkessgi krbe esnek.

10.2. A folyamatvizualizl rendszerek szolgltatsai


Ha egy adott technolgia esetn a kvnsgok s a vizualizl rendszer szolgltatsi kre fedi egymst, akkor viszonylag kevs munkval (paramterezssel) megoldhatjuk a feladatokat. Ez egy idealizlt llapot, a kvnsgok kre ltalban meghaladja a lehetsgeket. Ilyen esetben a vizualizl szoftver keretrendszern bell egyedi komponensek megrsa szksges a nem preferlt funkcik lekpezshez. A komponenseket vagy egy magas szint programnyelven (pl.Visual BASIC), vagy egy interpreter nyelv felhasznlsval rhatjuk meg a vizualizl rendszertl fggen. A vizualizl rendszer hasznlata idben elvlaszthat rszekre bonthat: az alkalmazs kifejlesztsnek fzisa; a ksz rendszer futtatsa. A fejlesztshez a vizualizl rendszerek n. fejleszt vltozatt kell hasznlni, ami biztostja a grafikus szerkesztst, a kp dinamizlst (animlst), az azonostk s a technolgiai objektumok sszerendelst stb. A kifejlesztett rendszer olyan PC-n is futtathat, amely csak a vizualizl rendszer egy futtathat verzijval rendelkezik. A ktfle verzi rban igen jelents mrtkben eltr, ezrt nem lnyegtelen, hogy tbb PC esetn milyen mdon rendeljk meg a vizualizl szoftvereket. 10.2.1. A vizualizl rendszer s a technolgia kztti kommunikci Egy folyamatvizualizl rendszer legalapvetbb feladatai kz tartozik, hogy a technolgihoz igazod gyakorisggal lekrdezze az aktulis jelzsllapotokat s egyb mrsi csatornk adatait. Ezek a technolgiai adatok tipikusan PLC-berendezsekben kpzdnek, s a vizualizl rendszer a PLC-egysgeket krdezi. Napjainkra sem sikerlt klnbz okok miatt egysgesen hasznlhat kommunikcis felletet kialaktani a PLC-technikban. gy a vizualizl rendszerek opcionlisan nagyszm, klnbz gyrtmny PLC-protokolljt ismerik (tipikusan 40...100), s kzlk vlaszthatjuk ki a szmunkra szksges protokoll tpust. A vizualizl rendszer kivlasztsnak legalapvetbb szempontja, hogy vajon az adott rendszer kpes-e kommuniklni az alkalmazott PLC-berendezsekkel. A PLC-kommunikci kivlasztsnak menlapjait a 10.1. s a 10.2. brk mutatjk [1].

333

10.1. bra. Az alkalmazott PLC s kommunikcis md kivlasztsa Gyakorlatilag rtelmt veszti egy vizualizl rendszer, ha az alkalmazott PLC kommunikcis programja nem ltezik, mert ennek egyedi fejlesztse szmos akadlyba tkzik, s kicsi az eslye, hogy hatridre, ill. megfelel minsgben ellltsuk. A PLC-k gyrtspecifikus kommunikcis protokollja nem tl nyilvnos, s az is krdses, hogy az esetleges protokoll-lers vajon az adott verzij PLC-vel tnylegesen kompatibilis-e. A vizualizl szoftverek napjainkban ltalban PC-s krnyezeten Windows opercis rendszer alatt mkdnek. A vizualizl szoftverek s a kommunikcis program kztti adatcsere ltalban a DDE (Dynamic Data Exchange, dinamikus adatcsere) szolgltatssal valsul meg. A kommunikcis szoftverek nll kereskedelmi termkknt is megjelenhetnek, azaz egyedi fejleszts programok hasznlhatjk a szolgltatsokat. Megfigyelhetk olyan trekvsek is, hogy klnsebb indok nlkl a lehet leggyorsabb, egyben a legdrgbb megoldst preferljk. Egy nem gyorsan vltoz, nhny 10 mrcsatornt s jelzst tartalmaz rendszernl nem biztos, hogy a lehet legnagyobb adattviteli sebessget kell elrni. A folyamatvizualizl rendszereket nem szoks kzvetlen vezrlsi s szablyozsi algoritmusok realizlsra felhasznlni, alapveten zembiztonsgi okok miatt. A megjelentend adatok frisstsi idejt nem clszer (indokolt) 1-2 s al cskkenteni, gy az tviend informcimennyisgbl s a kvnatos frisstsi idbl meghatrozhatjuk, hogy szmunkra hozzvetlegesen milyen sebessg tviteli vonalra van szksg.

334

10.2. bra. A kommunikci vlasztst segt sg lapok A PLC-berendezsek norml (hagyomnyos) kommunikcis vonalai 19200 Baud tviteli sebessgre kpesek RS 232C vagy RS 485 vonalon. A vonalak protokolljai egy master kiszolglst ttelezik fel. Ezrt csak olyan rendszertechnikai kialaktsoknl jhetnek szba, amikor a vizualizl rendszert tartalmaz PC lehet az egyetlen master, mg a PLC-k slaveknt viselkednek. Ha ez rendszertechnikailag nem tarthat, vagy az tviend informci tl sok, akkor mindenkppen a nagy sebessg, tbb master kiszolglsra alkalmas megoldsokat kell vlasztani, ami a PLC-ben is tbbletberuhzst, esetleg nagyobb teljestmny PLCvltozatot ignyel. 10.2.2. A szerver- s klienskapcsolatok Egy technolgia felgyelett az esetek tbbsgben tbb kezel ltja el. Ennek megfelelen a vizualizl rendszernek tbb munkahelyen (PC-n) kell egyidejleg mkdnie n. szerverkliens kapcsolat rendszerrel (10.3. bra) [1].

335

10.3. bra. Vizualizl rendszer kialaktsa szerver- s kliensgpekkel A szervergp ll kommunikcis kapcsolatban a PLC-berendezsekkel, ill. tartalmazza az adatbzist s vgzi a feldolgozsokat, mg a kliensek nagy sebessg kommunikcis vonalon a szervergptl krik a megjelentshez szksges adatokat. A szervergp megjelent munkahelyknt is hasznlhat. A szervergp munkahelye s a kliensgpek munkahelyei felhasznli szempontbl vagy azonosak, vagy ms vizualizl rendszerek esetn eltrk. Vannak rendszerek, ahol a kliensmunkahelyek csak megjelentsre hasznlhatk, kezeli parancsok nem adhatk ki, adatmdosts nem vgezhet. A vizualizl rendszer egy szervere ltal kezelt objektumok (TAG) maximlis szma kttt. A rendszerek rt nagymrtkben a kezelni kvnt objektumok s a kliensek szma hatrozza meg. A TAG szmra vonatkoz korltozst az indokolja, hogy mg egy gyors gp esetn sem lehetne biztostani a kvnt mintavtelezsi idt az adatbzis mretnek korltozsa nlkl. Amennyiben a technolgia terjedelme lehetetlenn teszi, hogy egy szerver alkalmazsval oldjuk meg a feladatot, akkor feladatmegoszts szksges a 10.4. bra szerinti mdon [1]. A 10.4. brn lthat, hogy a felgyeleti rendszer zembiztonsgnak nvelst a redundancia beptsvel (jelen esetben az azonos funkcij szerverek s az adattviteli utak megkettzsvel) rhetjk el. Napjaink trekvse, hogy a vizualizl rendszerek kliensgpeire ne kelljen telepteni specilis programrendszert (a vizualizl rendszer kliensszoftvert), hanem brmely hlzati gprl interneten (intraneten) keresztl elrhet legyen a szolgltats. Ez azt jelenti, hogy a hlzati gpek bngszprogramjval lehet a vizualizl rendszer szervernek szolgltatsait ignybe venni.

336

10.4. bra. Tbb szervert tartalmaz redundns rendszerkialakts 10.2.3. A vizualizl rendszer adatbzisnak elrse A vizualizl rendszerek adatbzisa tartalmazza a technolgia mkdsvel kapcsolatos adatokat. Az adatok egy rszre a vllalatirnytsi rendszer magasabb hierarchiaszintjnek is szksge lehet. Ezrt a vizualizl rendszerek lehetsget biztostanak, hogy hlzaton keresztl az adatbzis adatait ms szmtgpek is elrjk az ODBC (Open DataBase Connectivity) felleten keresztl, szabvnyos SQL hvsokkal. Az SQL hvsokon keresztl az adatelrsi id nagysgrendekkel megnvekszik a vizualizl szoftverek bels adatbzis-kezelsi idejhez kpest. Ezrt nem clszer olyan rendszereket tervezni, ahol ezen felleten akarunk nagy gyakorisggal adatokat cserlni (pl. a pillanatrtkeket kiemelni egy kls rendszer szmra). A ksrletek szerint ugyanaz a hardvereszkz (kt PC kzvetlenl hlzatba kapcsolva), ugyanaz a szoftverkrnyezet (Windows NT 4.0) adatelrsi ideje klnbzik SQL hvsos adatcsere, ill. egyedi, direkt cmkiszmtson alapul adatbzis-kezelsi technika alkalmazsakor. Az ORACLE adatbzis-kezel rendszernek 10000 rekord felvitelre 210 s, mg az egyedi kezels esetn mindssze 0,2 s idre volt szksg. Ezt a tbb mint ezerszeres sebessgklnbsget a rendszerek tervezsekor nem hagyhatjuk figyelmen kvl. 10.2.4. Technolgiai smakpek ltrehozsa Egy kpernyn a legtbb informcit ttekinthet formban grafikus kppel kzlhetjk. Ezen ok s a hagyomnyok miatt is a technolgiai folyamatok pillanatnyi llapott a smatblkhoz hasonl formban jelentik meg. Egy technolgia jellemzi egyetlen kpernylapon a legritkbb esetben jelenthetk meg. ltalban a technolgiai smt ttekint s rszletez kpekre bontjk. Az ttekint k-

337 pek csak a legfontosabb technolgiai jellemzket mutatjk. Amennyiben valamelyik technolgiai rszletre vagyunk kvncsiak, akkor az adott rszletnek megfelel kpet hvjuk be. Egy nagy technolgia esetn a kpek tbb szintjt szoks kialaktani (egyre kisebb rszlet egyre teljesebb informciit jelentjk meg). A grafikus kpek elksztse a kp fix (idben lland) rsznek kidolgozsval kezddik. A smt ltalban a vizualizl rszt kpez grafikus editorprogrammal rajzoljuk. A rajzolsnl jelents segtsget nyjthat, hogy egy grafikusknyvtrbl a leggyakoribb smatblaelemek (tartly, tolzr, villamos motor stb.) kiemelhetk, s tbbnyire minsgromls nlkl nagythatk, ill. kicsinythetk. A 10.5. bra egy vizualizl rendszer knyvtrnak nhny objektumra vonatkoz kpt mutatja [1].

10.5. bra. Technolgiai berendezsek szimblumai A technolgiai kp megszerkesztse a segdeszkzkkel is meglehetsen idignyes tevkenysg. Taln ez az egyik indoka, hogy egyre gyakrabban a technolgia digitlis fnykpezgppel ksztett felvtelt ptik be a vizualizl rendszerbe fix kpknt. A fix kp nmagban nem sok informcit hordoz. Ezt a kpet dinamizlni, ms szval animlni kell, hogy a futs sorn a technolgia aktulis llapotra jellemz informcik frisslve megjelenjenek. A kpek fejlesztsnl az albbi animcis formkat alkalmazzk. Sznanimci Ez az animcis forma azt jelenti, hogy a grafikus kp egy kijellt objektumnak szne a grafikus objektumhoz rendelt vltoz(k) rtktl fggen ms s ms lesz. Tipikus plda, hogy egy villamos motort brzol kprszlet attl fggen jelenik meg zld, ill. piros sznnel, hogy a motor mkdst mutat ktllapot jelzs logikai 1 (mkdik), vagy logikai 0 (nem mkdik). A motor vagy azrt nem mkdik, mert norml mdon lelltottuk, vagy azrt mert pl. a motor tlterhelse miatt a hkiold mkdtt. A hkiold mkdtt, ill. nem mkdtt llapott is ktllapot jelzs mutatja. Ha azt is meg akarjuk jelenteni, hogy milyen ok miatt llt le a motor, akkor egy jabb kpterlet sznt (ami a hkiold llapott mutatja) a

338 hkiold llapotjelzstl fgg sznben jelentjk meg vagy a motort brzol kprszlet sznt nem kett, hanem a motor mkdsre jellemz jelzsprtl fggen hrom klnbz sznben jelentjk meg. Egy kpen, ha pl. zemzavarra kvnjuk felhvni a figyelmet, akkor ennek leghatkonyabb eszkze a kpterlet villogtatsa.

10.6. bra. Szablyozberendezs adatainak megjelentse Szveganimci Ha egy szvegablakban a mkds sorn vltoz tartalm szveget kvnunk megjelenteni (pl. egy nyoms aktulis nagysgt), akkor ez a szveganimci. A szvegablakban megjelen informci egy adott objektumhoz (pl. mrcsatornhoz, ill. pontosabban TAGhez) rendelhet. Elnys, ha a szveg megjelentsnl a szveg szne, esetleg a httr szne dinamikusan (feltteltl fggen) vltoztathat.

339 Virtulis mszerek, oszlopkijelzk Egy megadott vltoz rtke kijelezhet egy virtulis mutats mszeren, vagy ami gyakoribb, oszlopkijelzn. Specilis kialakts esetn a grafikai objektum (pl. tartly) belsejben a kisznezett terlet nagysga egy TAG rtkvel arnyos (pl. tartlyon belli folyadkszint). Kezelszervek (nyomgombok, csszkk, kapcsolk) A 10.6. brn lthat kezelszerveket helyezhetnk el a kpernyn. Ezek mkdtetsvel akr a PLC ktllapot vagy regisztervltozjt llthatjuk. Mozgs, elforduls Egy grafikus objektum kirajzolsi pozcija egy vltoz (TAG) rtktl fgg. Ezzel, pl. a halad mozgst vgz munkadarab kirajzolsi pozcija a tnyleges helyzetnek megfelelen vltozik. A 10.7. bra egyszer technolgiai vzlat animls kzbeni llapott mutatja [1].

10.7. bra. Technolgiai vzlat fejleszts kzbeni llapotban 10.2.5. Esemnygenerls Egy logikai jelet azonost TAG-hez esemnyzenet generlst rendelhetjk. Az esemny a jelzs megvltozsakor generldik, s archivldik egy ltalunk megadott terjedelm esemnytblban. ltalban az esemnyek azonnal is megjelenthetk a kperny egy elre definilt esemnyablakban, de sszesttblk is kpezhetk a 10.8. bra szerinti mdon [1].

340

10.8. bra. Archivlt esemnyek megjelentse 10.2.6. Trend megjelentse A vizualizl rendszerek biztostjk, hogy kijellt csatornk (TAG-ek) adatai troldjanak az adatarchivlsi, ill. -tmrtsi elvek valamelyike szerint. Ezen adatok brmikor trend formjban grafikusan megjelenthetk (nyomtathatk). A trendet megjelent kperny a 10.9. brn lthat [1].

341

10.9. bra. Trend megjelentse a kpernyn 10.2.7. Egyedi szoftvermodulok fejlesztse Gyakorlatilag minden feladat megoldsakor felmerl olyan feldolgozsi, megjelentsi igny, amely a vizualizl rendszer szolgltatsaival kzvetlenl nem elgthet ki. Ekkor szksgess vlik, hogy a funkcit egyedi szoftverkomponenssel valstsuk meg. Az egyedi komponens rsa tipikusan a rendszertl nem teljesen fggetlen szoftverrel trtnik (pl. egy ltalnos cl C, C++), mert tl sok ponton kell kapcsoldni a vizualizl rendszer adatbzis-kezel, ill. grafikus szolgltatsaihoz. Ezrt a vizualizl rendszer rsze egy olyan magas szint lernyelv, amellyel a feladat megfogalmazhat, s a rendszer szerves rsznek tekinthet. A lernyelvek ltalban hasonltanak a magas szint programnyelvekhez (C, Pascal).

10.3. SCADA rendszerek


Az ipari irnytstechnikai rendszerek tern a knyv rsnak idejn kt kategria igen ers versenye tapasztalhat a piacon: a PLC-SCADA rendszerek s a DCS rendszerek (Distributed Control System, osztott intelligencij folyamatirnyt rendszer). A PLC-SCADA kategria esetn a folyamatjeleket PLC-k vagy intelligens szablyozk kezelik, azaz a vezrlst, a szablyozst ezen eszkzk vgzik, de az ember-gp kapcsolat (MMI vagy HMI) a PC-n vagy munkallomson keresztl valsul meg, s az eszkzket valamilyen ipari loklis hlzat (terepi busz) kti ssze. Az ember-gp kapcsolatot kibvtve rtelmezzk a kzponti adatgyjtssel s a folyamatvizualizlssal bemutatott valamennyi funkcival. A SCADA rvidts a Supervisory Control and Data Acquisition angol nvbl szrmazik s felgyeleti irnytst s adatgyjtst jelent.

342 A SCADA egy kzponti szmtgpen fut szoftver, amelynek rvn a rendszert alkot PLC-k, szablyozk, CNC-k stb, valamilyen loklis hlzaton keresztl a DCS-hez hasonl funkcikkal rendelkez folyamatirnyt rendszer ltrehozsra alkalmasak. Mivel a SCADA-szoftver csak e rendszerben hasznlhat, ezrt PLC-SCADA rendszerrl beszlnek. A PLC-SCADA rendszerek funkcionlisan igen nagy hasonlsgot mutatnak az 1. fejezetben bevezetett s 11. fejezetben trgyalt DCS rendszerekkel. rdemes teht a legfontosabb hasonlsgokat s klnbsgeket sszefoglalni. Hasonlsgok A modern PLC-SCADA s DCS kategrij rendszerek az utbbi idben nagymrtkben kzeledtek egymshoz. Egyrszt a DCS-szlltk ember-gp kapcsolati eszkznek egyre inkbb munkalloms alap eszkzt hasznlnak, melynek konkrt feladatra konfigurlsa megegyezik a SCADA rendszerekvel, msrszt a PLC-gyrtk elssorban a nagykategrij PLC-csaldjaikban egyre tbb olyan megoldst alkalmaznak, amelyek korbban csak a DCSekre voltak jellemzk. A kt rendszer struktrja szinte azonos. Van egy vagy tbb megjelent szmtgp s egy folyamatilleszt rendszer, amelyeket valamilyen nagy sebessg adattviteli hlzat kt ssze. A PLC s a DCS folyamatilleszt hardvere szinte semmiben sem tr el, mindkett modulris, mindkett rendelkezhet tvolba kihelyezett modulokkal, n. remote I/O rendszerrel s mindkt rendszerben nagy teljestmny processzormodulok vannak. Klnbsgek Mindezek ellenre napjainkban mg megklnbztetik e kt kategrit. A DCS tpus rendszereket eredetileg bonyolult s ltalban veszlyes technolgik felgyeletre fejlesztettk ki s ezeket az ismertetjegyeket ma is magukon viselik. Br a PLC-gyrtk is igen nagy gondot fordtanak a megbzhatsgra, a DCS rendszerek esetben ez klnsen hangslyos szempont. A DCS rendszerek sokkal nagyobb mrtkben tartalmaznak redundancikat, mint a PLC-k. Tipikus megolds a PLC-knl a processzormodul opcionlis megduplzsa, az n. hotstandby megolds, tipikus a klnfle adattviteli hlzatok opcionlis dupliklsa, de nem tipikus a technolgiai jeleket illeszt modulok megduplzsa. Redundns analg kimenet pl. csak kls szavaz ramkrrel valsthat meg. Ezzel szemben a DCS rendszerek hardvere s alapszoftvere minden szinten tmogatja a redundancit, tovbb a PLC-hez kpest teljesebb az ndiagnosztika is. A DCS rendszerekben pl. elfordul, hogy nincs kln analg vagy digitlis bemenet s kimenet, hanem analg vagy digitlis csatorna van, amely konfigurlhat akr bemenetnek, akr kimenetnek, hiszen pl. egy kimenetet gyis kapocslcszintrl vissza kell mrni. A DCS rendszerekben a folyamatkzeli hardverek s az ember-gp kapcsolati eszkzk sokkal inkbb sszedolgozott, egysges rendszert alkotnak. A PLC-SCADA rendszerekben a PLC s a SCADA kztt tnylegesen csak az sszekt loklis hlzat tart kapcsolatot. Kln fejlesztsi krnyezete van a PLC-nek s a SCADA-nak. Sok esetben a PLC fejlesztszoftver a SCADA hardveren is futtathat, de a SCADA szoftvertl teljesen fggetlen. Tbbnyire a SCADA szoftver fejlesztje a PLC fejlesztjtl teljesen fggetlen cg. Ez a kln PLC- s SCADA-fejlesztsi technolgia szmos esetben tbbletmunkt okoz a rendszerintegrtor szmra, pl. kln fel kell pteni a PLC adatbzist s azt valamilyen gpi ton ttlteni a SCADA oldalra. Tbb odafigyelst ignyel a kommunikci felptse s sokszor nehzsget okoz az, hogy bizonyos funkcik flig a PLC oldalon, flig a SCADA oldalon futnak, pl. ha a PLC-n fut algoritmusokat a SCADA matematikai modulja tmogatja, vagy recept vgrehajtsa esetn annak lpseit a SCADA futsi idben adagolja a PLC-nek stb.

343 A PLC-SCADA rendszerek javra rhat klnbsg, hogy sokkal szlesebb kapacitstartomnyban nyjtanak piackpes megoldst, sokkal jobban tmogatjk a tbb lpses rendszermegvalstst s sokkal gazdagabb eszkzvlasztk ll a rendszerintegrtorok s vgfelhasznlk rendelkezsre. A PLC-SCADA rendszerek szolgltatsait a Citect [1] fbb jellemzivel szemlltetjk. A rendszer felptse elosztott kliens-szerver-kialakts; kzpontostott vszjelzs, trend- s naplfeldolgozs; j hlzati PC-k hozzadsa programozs nlkl; egyetlen adatbzis, mrethatr nincs. a rendszermret nvekedsvel nem cskken a teljestmny; 100 000 egsz vltoz/s adatgyjtsi sebessg; alacsony hlzati terhels mretektl fggetlenl; dinamikus optimalizls minden I/O driver esetben; kis CPU-teljestmnyigny; adatelrs csak igny esetn.

Teljestmny

Hlzatkezels beptett hlzati redundancia lehetsge, 4-szeres biztonsgig; WAN, PSTN kapcsolt, RAS tmogats; az internetes elrshez nem kell a bejelentkez PC-n HW kulcs. I/O kommunikci j I/O eszkz belltsa 60 msodpercen bell; 256 soros port szerverenknt; 4095 I/O eszkz szerverenknt; definilhat kommunikcis hibajelzs; minden soros meghajt mkdtethet RS 232, TCP/IP, RS 422, RS 485, Arcnet interfszek brmelyikn. beptett elsdleges s tartalk funkcikszlet; LAN-redundancia; vszjelzsszerver-redundancia; trendszerver-redundancia; jelentsszerver-redundancia; I/O-szerverredundancia; automatikus tartalk t- s visszakapcsols; automatikus trendarchvum-szinkronizls; automatikus vszjelzsadatbzis-szinkronizls; idnyilvntarts-funkci redundancija.

Hibatrs

Vltozazonosts max. 80 karakteres vltozazonostk (standard 32);

344 korltlan szm vltoz lehetsges. Kpek 4096x4096 kpfelbonts; fokozatos kpmret-vltoztats futs alatt; ktmonitoros alkalmazsok tmogatsa; objektumorientlt RAD grafika; szinkronizlt villog sznek; 10 ms-tl llthat kpfrisstsi id; 16,8 milli sznbl definilhat felhasznli sznkszlet; 3D-s csvek, 3D-s hatsok, minden objektumra tbbszrs animci; szimblumsor-animci (mozgs); korltlan szm grafikus kp; 32000 animci kpenknt; kzvetlen grafikus bevitel; Windows Bitmap (BMP, RLE, DIB); AutoCad (DXF); Encapsulated Postscript (EPS); Fax Image (FAX); Ventura (IMG); JPEG (JPG, JIF, JFF, JGE); Photo CD (PCD); Paintbrush (PCX); Portable Network Graphic (PNG); Targa (TGA); Tagged Image Format (TIF); Windows Meta File (WMF); Wordperfect (WPG). elre elksztett s szerkeszthet objektumknyvtrak, kpsmk s stlusok; alkalmazsok kztt tvihet szimblumok; kp frisstse automatikusan szimblum vltozsakor; animcis tulajdonsg knyvtrak; tbb mint 500 elre gyrtott szimblum; fggetlen fejlesztk szimblumknyvtrai. minden PC-n automatikus idszinkronizls; 95/NT4 ltvny s rzet; nemzetkzi dtumformtumok; 12 s 24 rs idkijelzs; megadhat decimlis jel.

Szimblumknyvtrak

ltalnos

Smakpknyvtrak elre elksztett s szerkeszthet kpsmk s stlusok; alkalmazsok kztt tvihet smk;

345 kp frisstse automatikusan az alapsma vltozsakor; animcis tulajdonsg smk; tbb mint 70 elre gyrtott smakp. Adattvitel Tmogatott: SQL kliens ODBC kliens OPC kliens DDE Email DLL Windows API ASCII files Serial. Integrlva: Sixtrak Steeplechase Gello VMIC PID

SQL szerver ODBC szerver OPC szerver MAPI HTML Citect API Native dBASE TCP/IP

Beckhoff Paradym OpenControl AdvaBatch FuzzyTech.

Alkalmazi programnyelv (Cicode) multitaszkos nyelvi krnyezet; maximum 512 konkurensthread; 600-nl tbb beptett SCADA funkci; funkciknyvtrak felhasznli fggvnyek ksztshez; tbb mint 2700 felhasznli funkci hasznlhat; loklis, modulszint s globlis vltozk; sajt funkcik rsa minden ms segdeszkz nlkl; kzvetlen hozzfrs a trend-, vszjelzs- s jelentsadatokhoz. Beptett szerkeszt: trspontos futtats; vltoz rtkek ablaka; programszlak kvetse; sznkdok; trspontok ablaka; lpsenknti futtats; aktulis programsor kijelzse; remote debug (csak NT); automatikus debug hiba esetn. Tbbnyelvsg tbbletkonfigurls nlkl tbb nyelven futtathat; nyelvvlts futs kzben; jelentsek brmilyen nyelven; vszjelzslista brmilyen nyelven.

346 Vszjelzsek korltlan szm vszjelzs; kzponti vszjelzskezels. Vszjelzstpusok: ktllapot (1 vagy 2 trigger); analg hatrrtk-tllps; kifejezs-kirtkels eredmnye; kategria, terleti beoszts s priorits kezelse; l ms felbonts idblyegzett vszjelzs; futs kzbeni vszjelzstilts s kszbrtk-mdosts; vltoz adatok behelyettestse a vszjelzszenetbe; csoportos vagy egyedi nyugtzs; nyugtzs priorits vagy kategria alapjn; vszjelzsre nyugta grafikus kprl, listbl vagy Cicode programbl. Trendek korltlan szm csatorna; brmely csatorna megjelentse l mp-en bell; trend adatfjlmret vlaszthat; archivlt s vals idej adatok egyttes, folyamatos megjelentse; az idtengely felbontsa folytonosan vlaszthat, akr l ms; trendek sszehasonltsa.

Jelentsek beptett jelentsszerkeszt WYSIWYN, Rich Text jelents. Indtsi felttel: idpont; kls esemny; kifejezskirtkels; kezeli beavatkozs. nyomtat; e-mail; HTML; fjl; kperny. egyedi vagy csoportos hozzfrs; 250 egyidejleg bejelentkezett kezel; korltlan szm felhasznl definilhat; jogosultsgi szintek s terletek kezelnknt megadhatk.

Kimenet:

Hozzfrsi jogok

A hozzfrsi szint befolysolhatja a grafikus elemek lthatsgt; a kpek hozzfrhetsgt;

347 a vszjelzsek nyugtzhatsgt; a jelents indthatsgt; a rendszersegdprogramok, mveletek hasznlatt. Konfigurls csoportos fejleszts egyidejleg; beptett, tmrtett ments, visszallts; I/O eszkzk szimulcija egyszer tkapcsolssal a valdi s a virtulis eszkz kztt; ipari, nem standard billentyzetek tmogatsa; korltlan UNDD (grafikus szerkeszt); konfigurci automatikus dokumentlsa. Licencek kulcs nlkli fejleszts; kulcs nlkli futtats tesztje; ugyanaz az installci minden PC-n; csak a kls folyamatvltozk szmtanak; korltlan szm, ingyenes bels vltozk; hw vagy sw licenckulcs. beptett, on line protokoll analiztor; beptett, on line parancs vgrehajts; beptett, on line NETBIOS analiztor; kernel ablak tbb mint 300 oldal rendszerinformcival; tudsbzis; internet-weboldalak.

Tmogats

Gpipari alkalmazsokhoz leginkbb a FANUC cg CIMPLICITY SCADA termke hasznlatos, amelynek HMI for CNC funkcija rvn a CNC-gpek kommunikcijt a PLCkhez hasonl mdon oldja meg. Ehhez a CNC s a PC kztt nagy sebessg, optikai sszekttets szksges. Ezltal a kezel a CNC-re hasznlhatja a CIMPLICITY valamennyi szoftverfunkcit. A CIMPLICITY msik jellegzetessge a Webgate-way funkci, melynek rendszervzlata a 10.10. brn lthat. E funkci lland betekintst biztost az interneten keresztl az zem letbe a vilg minden tjrl az internethez csatlakoz eszkz (LAPTOP), vagy telekommunikcis eszkz (mobil-WAP) rvn.

348

10.10. bra. Webgate-funkci Felhvjuk a figyelmet a WONDERWARE cg ltal forgalmazott FACTORY SUITE 2000 elnevezs programcsomagra, amely ht szoftverbl ll (In-Touch, In-Control, In-Batch stb.). Napjainkban egyre inkbb terjednek az olyan PC-bzis rendszerek, amelyek a SCADA-hoz hasonl funkcikat ltnak el (Soft Logic), de a vezrlsi s szablyozsi funkcikat is maguk a PC-k ltjk el szintn hlzati struktrban, PLC-k nlkl.

10.4. Visual Logic Controller


A PLC-k msik versenytrsa a piacon a PC bzis irnytrendszer. A mikroprocessszor alap PLC-k s PC-k felptse kztt nagyfok a hasonlsg. A f eltrs a hardver megbzhatsgban, ill. a szoftver kialaktsban van, mivel a PLC-k vals idej opercis rendszerrel mkdnek. Utbbi klnbsgre ajnl megoldst a Visual Logic Controller (VLC), ami egy teljesen j megolds a PLC-technikban, oly mdon, hogy a PENTIUM processzorok teljestmnyt, valamint a Windows NT elnyeit igyekszik kihasznlni, ugyanakkor kpes a Windows-tl fggetlenl, biztonsgosan mkdni. A VLC fejlesztsekor kiemelt figyelmet szentelnek arra, hogy a vezrlprogram futtatsa kzben egy esetleges merevlemez-meghibsods ne okozzon rendszerlellst. A VLC ezrt a futtatshoz szksges adatokat a memriban trolja, gy a vezrlprogram futtatsa idejn nem kell a merevlemezrl adatokat beolvasnia, arra csak a rendszerindtskor van szksg. A futs kzben fontosnak tlt adatok a PC kikapcsolsa utn kln memriakrtyban megrizhetk. A VLC szmra nem problma a megfelel I/O eszkz s PC kapcsolat. A VLC egyetlen platformon tbb klnbz gyrtmny, tpus, ill. buszcsatlakozs I/O egysg vezrlsre kpes az eszkzmeghajtk (driver-ek) szles vlasztknak ksznheten. A fizikai I/O csatolst vgz modulokat driver-ek illesztik a VLC-hez. A csatolst a 10.11. bra szemllteti [3]. .

349

10.11. bra. Fizikai I/O-k szoftvercsatlakoztatsa VLC-hez A fizikai eszkzk csatolshoz teht hardveres (interfszkrtya) s szoftveres (driver) megolds szksges a 10.12. bra szerint [3].

10.12. bra. Fizikai I/O-k hardverillesztse a VLC-hez terepi buszon keresztl A gyrt cg napjainkban 21 cg eszkzeihez knl hardver I/O modult s VLC drivert. Mind a PLC, mind a PC esetn a szoftverkrnyezet kt rszbl ll: real-time opercis rendszerbl (RTOS) s grafikus kezeli felletbl (GUI, Grafical User Interfece). Mg az elbbi a biztonsgos s determinisztikus mkdsrt felels, az utbbi feladata az ember-gp kapcsolat megteremtse. A VLC egy gpben a grafikus felhasznli krnyezetet real-time opercis rendszerben egyesti. A hagyomnyos PLC-s megoldsokkal szemben a VLC kihasznlja a Windows NT ltal nyjtott krnyezeti elnyket, pldul a hlzaton keresztl vgrehajtott gyors kommunikcit vagy a grafikus programozi-kezeli interfszt. A VLC legfbb jellemzje, hogy a real-time opercis rendszer prioritssal rendelkezik a Windows NT-vel szemben, a vezrlrendszer a Windows-tl fggetlenl fut, biztostva ezltal a vezrlprogramoktl elvrhat teljestmnyt s megbzhatsgot (10.13. bra) [3].

350 A VLC tlli az n. Windows kk hallt, gy a folyamatirnytst nem befolysolja htrnyosan a Windows rendszerhibkbl ered instabilits.

10.13. bra. A Windows NT s a vals idej opercis rendszer kapcsolata A VLC mkdse lnyegesen eltr az n. szoft-PLC programoktl. A szoft-PLC s a hard real-time vezrls kzti klnbsget szemlltetik a 10.14. s 10.15 brk. Szoft-PLC esetn a Windows alapvet funkcii lvezik a legmagasabb prioritst, pl. a lemezmveletek, egrkezels, billentyzetkezels stb., a vezrlsi mveletek brmikor, akr a vezrlsi folyamat kzepn is megszaktdnak. A ciklusid gy nem meghatrozhat, s a vals idej mkds nem garantlhat [3].

10.14. bra. Szoft PLC PLC mveletvgzsnek szemlltetse Hard real-time vezrls esetn a helyzet fordtott: a folyamat szempontjbl fontos taszkok kapjk a legmagasabb prioritst, mg az sszes Windows folyamat a kt ciklus kztt kerl vgrehajtsra (10.15. bra), nem szaktja meg a vezrlst s ezltal akr 1 ms-on belli ciklusidt is lehetv tesz [3].

351

10.15. bra. Hard real-time PLC mveletvgzsnek szemlltetse A klasszikus PLC-vel megvalstott rendszerek kln hardver- s szoftverelemeket hasznlnak, ezrt a rendszer konfigurlshoz ugyanazt az adatot tbb helyen is el kell helyezni. gy a rendszer legkisebb vltoztatsakor is valamennyi adatbzist egyenknt mdostani s tesztelni kell. A VLC lehetv teszi, hogy az sszes szksges adat egyetlen adatbzis szintjn legyen egyestve, ezltal a szoftver valamennyi eleme (fejleszt, MMI, DDE/OLE szerver stb.) ugyanazokat a vltozkat hasznlja. A VLC kzs adatbzisnak felptst szemllteti a 10.16. bra [3].

10.16. bra. Kzs adatbzis-kezels VLC-ben A VLC tmogatja a ltradiagramos programozst is, de a folyamatbrval trtn programozs ajnlatos s elterjedt. Tmogatja az on-line programozsi lehetsget, miltal a program futsa kzben is vgrehajthatk mdostsok, amelyek a program lelltsa s jraindtsa nlkl is azonnal rvnyesthetk. Kiemelked szolgltatsa a Diagnostic Manager, amellyel lehetsges a hozzfrs egy sor felhasznl ltal kialaktott HTML laphoz vagy egy digitlis kamera s MS PowerPoint segtsgvel elksztett segdanyaghoz, mellyel akr a kezel kpes a meghibsodott gp javtsra vagy jraindtsra. Ezzel a web-technolgin alapul eszkzzel azok szmra vlik elrhetv valamennyi informci a PC-n, az zemi LAN hlzaton s interneten, akikre ez leginkbb tartozik. A VLC tmogatja a hlzaton keresztli programfejlesztst s tvprogramozst.

352 Irodalomjegyzk

[1] [2] [3] [4] [5] [6] [7]

Citect 5 folyamatmegjelent, felgyeleti programcsomag. Termkismertet, 1999. WONDERWARE: Factory Suite 2000. USERS MANUAL, 2000. Keresztesi K.: VLC, a megbzhat PC alap vezrl. Magyar Elektronika, 1999/10. OMRON: SYSWIN lers. 1999. R. Carrow: Soft Logic. A Guide to Using a PC as a Programmable Logic Controller. ABB: Industrial Manual, 1998. SIEMENS: SIMATIC HMI. Catalog ST 80.1, 1997.

353

11. OSZTOTT FOLYAMATIRNYT RENDSZEREK


Az irnytrendszerek trtnelmi fejldsnek 4. szintjn jelent meg az osztott intelligencij folyamatirnyt rendszerek (DCS) els, majd msodik genercija. A DCS rendszerekhez szmos ismeret integrldott (kommunikci, terepi buszok, megbzhatsg stb.), ezrt ebben a fejezetben a teljessg ignye nlkl sszefoglaljuk a legfontosabb alkalmazi ismereteket, de ez nmagban nem tekinthet az osztott irnyts tfog ismertetjnek. A decentralizlt rendszerekben tbb, egymssal kommunikcis kapcsolatban lv irnytberendezs (szmtgp) ltja el a folyamat irnytshoz, felgyelethez kapcsold funkcikat. Az irnytsi folyamatban rszt vev berendezsek a rendszertervez ltal meghatrozott rszfeladatokat megfelel hierarchiba szervezve ltjk el, amelynek hrom szintje terjedt el: technolgiakzeli szint, adatkoncentrtorok s termelsirnyts. Technolgiakzeli szint: az alapfeladat a technolgibl (a hatskrbe tartoz rsztechnolgibl) szrmaz mrsi adatok s jelzsek fogadsa, az esetleges beavatkozsok: PID szablyozs, alapjelllts, vezrls jelleg parancsok autonm megvalstsa. Kommunikcis felletet kell biztostani a hierarchia felsbb szintje szmra. Ezek a berendezsek ipari krnyezetben, a szmtgpek szmra mostoha krlmnyek kztt zemelnek. Ezrt ezen a szinten nem az ltalnos cl szmtstechnikai eszkzknl megszokott kialaktsok, hanem robusztus, a krnyezeti hatsoknak ellenll berendezsek kerlnek beptsre. A mozg elemeket tartalmaz eszkzk (pl. norml diszkegysgek) alkalmazsa nem szoksos. A minimlisan szksges kezeli kommunikci is specilis kijelzkn, ill. billentyzeteken keresztl valsul meg. Az adatkoncentrtorok a hierarchia kzps szintjn, ha egyltaln van ilyen a rendszerben, elhelyezked berendezsek. Alapfeladatuk a technolgiakzeli berendezsek adatainak fogadsa, elfeldolgozsa s tovbbtsa a hierarchia fels szintjn lv szmtgp szmra fels szintrl rkez beavatkozsi parancsok (alapjelek, vezrls jelleg parancsok) fogadsa s tovbbtsa a megfelel technolgiakzeli berendezs fel. Termelsirnyts: a hierarchia fels szintje, ahol a technolgia fell, elfeldolgozott adatok koncentrldnak, ezek alapjn az optimlis (vagy optimum kzeli) zemelshez szksges beavatkozsok valamilyen algoritmus alapjn generldnak. Tovbbi feladatuk a kezelk folyamatfelgyeleti tevkenysghez szksges adatok, valamint a vllalatirnytsi rendszerhez (kszletnyilvntarts, rendelsllomny stb.) szksges csatlakozsi lehetsgek biztostsa. A hierarchikus rendszerek kt fajtja: a klasszikus hierarchikus s a buszszervezs decentralizlt irnytrendszer fejldtt ki. A klasszikus hierarchikus decentralizlt irnytrendszer elvi kialaktsa a 11.1. brn lthat [1].

354

11.1. bra. Hierarchikus folyamatirnyt rendszer elvi kialaktsa Az brn az eszkzket sszekt vonalak a (ktirny) kommunikcis kapcsolatokat jelentik, amelyek a fejlds korai szakaszban kizrlagosan egy maximum 9600 Baud tviteli sebessg soros vonalat jelentettek. A klasszikus hierarchikus rendszerek alapvet rendszertechnikai problmja, hogy a hierarchia minl magasabb szintjn ll berendezs meghibsodsa esetn, egyre nagyobb irnytsi szigetek knyszerlnek autonm mkdsre, ami lehetetlenn teszi az optimlishoz kzeli zemelst a teljes rendszerben. Megoldst jelent, ha az informcik egyetlen buszvonalon ramolnak (11.2. bra) [1].

11.2. bra. Buszszervezs rendszer felptse Ilyen buszszervezs struktra esetn a berendezsek logikailag megtarthatjk a hierarchia szerinti besorolst, de lnyeges eltrs a korbbiakhoz kpest, hogy a rendszer valamennyi informcija a buszon ramlik. Ezt a kialaktst a nagy sebessg adattviteli utak

355 megjelense tette lehetv. A 11.1. bra szerinti rendszer 9600 Baud-os csatorni egyenknt mintegy 960 karakter tvitelt biztostjk 1 s alatt. Ez a nem egszen fl kpernylapon (kb. 12 sor 80 karakter) kirhat informcimennyisg rezheten kevs egy nagy rendszer jellemzsre. Ezrt az idegysg alatt tvihet informci nvelse rdekben az adattviteli utakat prhuzamostottk. A korbbi rendszerek soros vonalai csak a pont-pont kapcsolat biztostsra voltak alkalmasak, ami lehetetlenn tette a buszszervezs kialaktst. A buszszervezs rendszerekben minden, a rendszerrel kapcsolatos informci a nagy sebessg (tipikusan 110 Mbit/s) vonalon jelenik meg. Amennyiben brmelyik berendezs meghibsodik, a tbbi berendezs egyms kztti kommunikcija (elvileg) biztostott, a hiba hatkre a minimlis terjedelemre korltozhat. A rendszerek tervezsekor az egyik legfontosabb krds, hogy mi trtnik a folyamattal, ha a rendszer elemei meghibsodnak s a technolgiai folyamat irnyts nlkl marad. A szmtgpek meghibsodsnak valsznsgt az MTBF-el jellemzik, amely a kt meghibsods kztt tlagosan eltelt idt jelenti, rban. A szmtgpes folyamatirnyts kezdetn ezres nagysgrendben mozgott, ami alapjn vente (kb. 8600 ra) tbb meghibsods is elre jsolhat volt. A DCS rendszerekben a redundancianvelsen alapul megoldsokat alkalmazzk a megbzhatsg nvelsre (prhuzamosts, melegtartalk stb.).

11.1. A DCS rendszerek hardverkialaktsa


A DCS rendszerek elosztott intelligencij rendszerek, az eszkzk kztti kommunikci nagy sebessg bels ipari buszon zajlik. A bels buszrendszer redundancival, a vonal megkettzsvel van kiptve. Amennyiben az egyik vonalon lehetetlen a kommunikci, akkor automatikusan a msik vonalra kerl a forgalom. A DCS rendszerek bels technolgiai buszn mg napjainkban is olyan protokollokat alkalmaznak, ahol a kommunikcis jog minden berendezs szmra garantlt ciklusidvel biztostott. Erre a zsetonadogatsi (token passing) elven alapul technikk alkalmasak. Megjelentek az els berendezsek, amelyek az tkzses (pl. Ethernet alap hlzat) elvet alkalmazzk, de itt egy adott idn belli kommunikcis jogot csak egy, a vonal terhelstl is fgg valsznsggel lehet jellemezni. A szakma ezen elv alkalmazsa esetn megosztott, hogy egyltaln beszlhetnk-e DCS rendszerrl, mert az egyik legalapvetbb elv srl. A folyamatok irnytsban kulcsszerepl szmtgpek a bels technolgiai buszra csatlakoznak. A redundancia egy-egy funkcionlis berendezsen bell is alapkvetelmny. A redundancia nemcsak a CPU-ra, a memrira, hanem a technolgibl rkez jelek fogadegysgeire s a segdberendezsekre (pl. tpegysg) is kiterjed. A redundancia mrtke gyrtmnytl fggen vltozhat. Vannak rendszerek, ahol pl. az egysgek meghromszorozsval biztostjk a kell biztonsgot s esetenknt a tbbsgi elv alapjn vlasztjk ki az aktv eszkzket. Vannak olyan rendszerek is, ahol ngyszeres a redundancia, s az eszkzk kt prt alkotnak. Azon pr kapja az aktv szerepet, ahol a pr mindkt tagja hibtlan. A meghibsods felismerse s az tkapcsols nhny 10 ms idn bell automatikus. A meghibsodsok felismerse s az ekkor szksges teendk meghatrozsa taln az egyik legbonyolultabb konstrukcis krds. Nem elegend a nyilvnval hardver- (s az ebbl add szoftver-) hibkat (pl. a programfuts lefagyst) detektlni, folyamatosan figyelni kell a be- s kimeneti krtyk mkdkpessgt, az A/D s D/A talaktk pontossgt, a tpegysgek hibtlansgt. A meghibsodott eszkz zemels kzbeni cserlse alapkvetelmny. Meg kell hatrozni, hogy a mreszkzk (tvadk) mely csoportjt kell redundns mdon kipteni, ill. szksges-e s milyen mrtkben a beavatkozszervek redundns mkdtetse. A 11.3. bra a DCS rendszer elvi felptst mutatja [1].

356

11.3. bra. A DCS rendszerek elvi felptse Lthatjuk, hogy a bels buszra csatlakoznak a folyamatot irnyt llomsok (Control Station), amelyek fogadjk s feldolgozzk a technolgia jellemzit (ramjelek formjban a mrsi adatokat, ill. a ktllapot jelzseket). A feldolgozs eredmnyei, valamint a kommunikcis vonalon kapott kls informcik, parancsok alapjn technolgiai beavatkozsokat kezdemnyeznek. A DCS rendszerek korbbi kpviseli csak a szablyozsi (DDC) feladatok elltst (ramjelek kiadsval), valamint sorrendi vezrlsi lpsek kezdemnyezst biztostottk. A kzvetlen vezrlsi feladatok elltsra a viszonylag jelents (minimum tbbszr 10 ms) mintavteli id miatt nem voltak alkalmasak. Ezrt gyakran lehet tallkozni kls PLC-k alkalmazsval is a DCS rendszer kiegsztseknt. A DCS rendszer kommunikcis csatornkat biztost a PLC-k kapcsolattartshoz (pl. MODBUS, MODBUS PLUS, SINEC stb.), az adatok klcsns cserjhez. A kzelmltban rtek meg a felttelek, hogy a kzvetlen vezrlsi feladatok vgzsre alkalmas egysgeket beptik a folyamatllomsokba, gy a DCS rendszerek nmagukban alkalmasak mind szablyozsra, mind vezrlsre. A folyamatlloms kihelyezett moduljai (lsd a 11.3. brn Remote I/O) egy-egy technolgiai rszkzpontba telepthetk. Ezzel a tvadk jelents kbelezsi kltsgcskkentse biztosthat, mivel nem szksges a jelvezetkek nagyobb tvolsgra ptse. A kihelyezett modulok a jelek fogadst s kiadst vgzik, de a dntshozatal tovbbra is a folyamatllomsban trtnik. A technolgia felgyelete, mkdtetse nem nlklzheti a kezelk munkjt. A kezelk a technolgiai buszra csatolt opertori llomsokon figyelhetik a folyamat llapott s innen avatkozhatnak be. Klnleges jogostvnyokat biztostanak a mrnki munkahelyeken, ami egy klnleges opertori lloms. Ezen llomson lehet a rendszer mkdst befolysol paramtereket mdostani (pl. szablyozsi krk kompenzl tagjainak paramtereit), ill. j szablyozsi s mrsi csatornkat definilni a mkds kzben. Az opertori llomsokat korbban a megbzhat hardver- s szoftvermkds biztostsa rdekben kizrlag munkallomsokon s UNIX alap opercis rendszereken hoztk ltre. Napjainkban kltsgcskkents cljbl egyre inkbb PC-ket s Windows alap rendszereket hoznak ltre. Az opertori munkallomsok a folyamatvizualizls s a kezeli beavatkozs funkciit biztostjk. A DCS rendszerek opertori llomsainak szma hromnl nem tbb, a tovbbi munkahelyeket mr nem csatlakoztatjk r kzvetlenl a DCS bels buszrendszerre. A klvilg a DCS rendszerrel egy szoksos (pl. ETHERNET alap), nem redundns kialakts hlzaton kommuniklhat. E hlzat s a DCS bels busza kztti kapcsolatot a 11.3. bra gateway eszkze biztostja. Ez a kapcsolat a vllalatirnytsi rendszerbe integrlst

357 szolglja elsdlegesen, de tovbbi kezeli munkahelyek becsatlakoztatsra is felhasznlhatjk. Az eddigi DCS rendszerfilozfia szerves rsze, hogy a technolgiai folyamat mrsi csatorni (tvadi) ramjelek formjban szolgltatjk a mrt jellemzket, s az A/D konverzit az I/O krtykkal hajtjk vgre. Alapelv, hogy a DCS szmtott beavatkoz jeleit (szablyozsok esetn) az I/O egysgek D/A konvertereivel alaktottk t analg jell. Az utbbi vekben a tvadk s a beavatkozszervek fejldse maga utn vonta e filozfia megvltozst. Megjelentek az intelligens tvadk, amelyek digitlisan, a DCS rendszer szmra kzvetlenl felhasznlhat mdon szolgltatjk a mrsi adatokat. A kommunikci a terepi (field) buszon zajlik. A terepi buszok egysgestse jelenleg is napirenden szerepel. A leggretesebb fejleszts a Foundation Fieldbus keretben zajlik. Az intelligens tvadk alkalmazst tbb tnyez is indokolhatja. Az egyik alapvet elny, hogy a kbelezsi kltsgek nagymrtkben cskkenthetk mg a kihelyezett I/O egysgek hasznlatakor kalkullhat kltsgekhez kpest is. A SMART tvadk - korltozott szm egysgekbl ll csoportja egyetlen rprra fzdik, s egy master gp a kszlkazonost megadsval tetszleges sorrendben krdezheti a berendezseket. Az intelligens tvadk nyjtotta lehetsgek meghaladjk a hagyomnyos tvadk szolgltatsait. A tvadk mrshatra a kommunikcis vonalon bellthat s bekrhet, ill. a mrt adat mrnki egysgben (pl. bar) krdezhet. Egy hagyomnyos mszerezssel mkd szmtgpes rendszerben az egyik leggyakoribb hibaforrs, hogy a szmtgp adatbzisban szerepl mrshatr s a tnyleges tvad mrshatra eltr egymstl. A tbb ezer mrcsatornt tartalmaz rendszerek tbbves zemels utn mg mindig okozhatnak meglepetst a kutat kedv zemeltetk szmra. A hiba kvetkezmnye, hogy a (hagyomnyos) szmtgpes rendszerben a mrt adat kiszmtott s felhasznlt rtke eltr a tnylegestl, aminek a hatst nem szksges tovbb rszletezni. A beavatkozszervek vlasztkban is megjelentek a terepi buszra csatlakoztathat intelligens eszkzk. Az egyik legfontosabb beavatkozszerv a folyamatirnytsi gyakorlatban a szablyozszelep. Egy szablyozszelep mkdtetse (pl. motoros pozicionlsa) sorn sok tnyezt kell figyelni szenzorok sokasgval (s az adatainak fogadsval, kirtkelsvel), sok paramtert kell belltani, ezrt fontos szerepe van a beptett sajt intelligencinak, ill. ezen adatok kommunikcis csatornn val lekrdezhetsgnek (belltsnak). A szablyozszelep mkdtetsnl az albbi funkcik elltsa szksges: a DCS ltal elrendelt szeleppozci s a tnyleges szelephelyzet sszehasonltsa; a szelepmkdtets sorn a nyomatkigny figyelse, korltozsa. A nyomatkigny nvekedse a szelep megszorulsnak prognosztizlst szolglhatja. A maximlis nyomatk korltozsa a (nem ritkn tzmilli Ft-nl is rtkesebb) szelep tnkrettelt elzheti meg. a szelepllt motor hmrskletnek figyelse, vdelme. Egy (pl. aszinkron motoros) villamos lltmnek a tl gyakori be- ill. kikapcsolsa a motor tlmelegedst jelenti mg akkor is, ha a nyomatkigny egybknt normlis. Egy konkrt gyrtmny rnknt maximum 1200 bekapcsolst visel el. A tl gyakori kapcsolsok elkerlst a mkdtet (llthat idej) bntsval (pl. 3 s) szoks elkerlni. a mechanikai tehetetlensgbl add tlfuts figyelembevtele llthat nagysg rzketlensgi svval s a mechanikai fkrendszer (esetleges) mkdtetse a kvnt pozci elrsekor; a pozciszablyozs PID paramtereinek hangolsa a konkrt szelephez.

358 Az elzek alapjn nem kzmbs, hogy a feladatokat egy kzponti szmtgp (ha a mintavteli gyakorisg egyltaln lehetv teszi), vagy maga az eszkz ltja el. Az intelligens tvadk s beavatkozk a jelenlegi DCS rendszerekbe integrlhatk.

11.2. A DCS rendszerek szoftverkrdsei


Egy folyamatirnyt rendszer hardvernek megbzhatsga nmagban mg nem jelenti azt, hogy a rendszer hiba nlkl fog zemelni. Ehhez a felhasznlt szoftverelemek (opercis rendszer, alkalmazi programok) hibtlan kivitele is szksges. A folyamatirnyt rendszerek szoftversajtossga, hogy alapveten esemnyvezreltek. Egy-egy esemny bekvetkezsekor (aminek az idpontja elre nem tervezhet) egy technolgiailag sszer vlaszidn bell a szmtgpes rendszernek garantltan reaglnia kell. Az ilyen kvetelmnyeknek megfelel kialaktsokat real-time rendszereknek nevezik. A real-time rendszerek ltrehozsnak vannak hardveres elfelttelei is. Ha egy rendszer pl. nem kpes a folyamat irnytshoz szksges mintavteli gyakorisgot biztostani a beptett hardverelemek teljestmnynek elgtelen mrtke miatt, akkor ezt a hinyossgot szoftverton mr nem lehet mdostani. Amennyiben a hardverteljestmny elmletileg elegend, attl mg vlaszthatnnk olyan opercis vagy adatbzis-kezel rendszert, esetleg fejleszthetnnk olyan alkalmazi programokat, amelyek nem felelnnek meg a real-time kvetelmnyeknek. Ezrt a DCS rendszerekben a szoftver kritikus komponenseinek egyike sem egyedi vlaszts vagy fejleszts eredmnye, hanem a gyrt ltal biztostott termk. Klnbsget kell tenni a DCS rendszerek funkcionlisan klnbz rszeinek (a folyamatllomsok s az opertori llomsok) szoftverrendszere kztt. 11.2.1. A folyamatllomsok programrendszere A folyamatllomsok (Control Station) kritikus funkcija a szablyozs s vezrls. Napjainkban jelentek meg olyan hibrid rendszerek, amelyek a kzvetlen vezrlsi funkcikat is tmogatjk. Ezen llomsokon semmilyen szoftver ok miatti meghibsods nem fordulhat el, mert ez a folyamat felgyelet nlkl maradst okozza a hardverredundancia ellenre is. A msik sajtossg, hogy a real-time kvetelmnyeket ezen llomsokon felttlenl teljesteni kell. Az elz okok miatt csaknem ltalnos, hogy az eszkzk opercis rendszere nem a szmtstechnikban megszokott s ltalnos UNIX vagy Windows alap. Nincs is r igny. E gpekre kzvetlenl nem kapcsoldnak felhasznlk, gy szmukra szolgltatst sem kell biztostani. A megoldand feladatok kre (szablyozs, vezrls, technolgiai jelek kezelse, kommunikci) kttt, az egyedi felhasznli ignyeket nem szoks tmogatni. Az opercis rendszernek e krnyezet hatkony mkdtetst kell biztostania. Az opercis rendszer szolgltatsaira tmaszkod programkomponenseket is a gyrtk fejlesztik. Az alkalmazs sorn kell konfigurlni a keretrendszereket a technolgia egyedi vonsainak megfelelen.
11.2.1.1. Folytonos jelek kezelse

A folytonos jeleken a technolgia mrt jellemzit (hmrskletek, nyomsok) s az egyb szrmaztatott mennyisgeket rtjk. E jelek kezelse, feldolgozsa elssorban a szablyozsi feladatok megoldst szolglja. A DCS rendszerek klasszikus feladata a szablyozegysgek digitlis lekpezse. Ennek legegyszerbb formja a hagyomnyos PID-kompenzci lekpezse. A jelenlegi DCS rendszerekben is ez a legltalnosabb elem. A 11.4. brn PID szablyozblokk lthat.

359

11.4. bra. Egyszer PID-szablyoz funkcionlis kialaktsa A szablyozott jellemz (pl. nyoms, hmrsklet) kvnt rtknek nagysgt az alapjel (xa) bemenetre kell kapcsolni. rtktart szablyozsoknl (idben lland szablyozott jellemz biztostsa esetn) ez egy idben lland rtk, amelynek nagysgt a kezel adja meg konstansknt. Egy gzkazn kilp gznyomsnak llandsgt a bevitt gz (h) mennyisgnek vltoztatsval biztostjk, de sorolhatnnk az egyb technolgiai pldkat, amikor rtktart szablyozst kell ltrehozni. Kvetszablyozsoknl az alapjel nagysgt egy msik mrt jellemz pillanatnyi rtke hatrozza meg. A szablyozsi cl, hogy egy mennyisg kvesse egy msik technolgiai jellemz alakulst. A gzkaznba vitt gz elgetshez oxignre is szksg van. Tbb gz elgetshez tbb, kevesebb gz elgetshez kevesebb leveg bevitele szksges az gtrbe. A leveg mennyisgszablyozjnak alapjele kveti a gz mennyisgt, vagyis arnyos azzal. Az arnyt a kvnt lgfelesleg-tnyez nagysga szabja meg. Ezt a kvetszablyozstpust arnyszablyozsnak nevezik. Kvetszablyozs lehet egy hkezel kemence hmrskletnek adott idprogram szerinti szablyozsra szolgl kr. Az alapjel (a hmrsklet kvnt nagysga) egy adott idprogram szerint vltozik, ezrt ezt a tpust programszablyozsnak nevezik. Hierarchikus kaszkdszablyozsok esetn az alapjel nagysgt egy msik (a hierarchiban flrendelt) szablyozblokk kimenete szolgltatja az alrendelt egysg szmra. A 11.5. bra a kaszkdszablyozsok elvt mutatja. A flrendelt szablyoz kimenete szolgltatja az alrendelt kr szmra az alapjelet. A kialakts rtelme, hogy a fellp zavar hatsokra az alrendelt kr gyorsan reagljon s kszblje ki a zavars hatsnak dnt rszt. A kls (flrendelt) szablyoz a pontossgot biztostja. Ennek megfelelen a kls kr ltalban PI, mg a bels kr PD kompenzltagot tartalmaz. A plda kapcsoldjon a gzkaznbl kilp gz hmrskletnek a szablyozshoz. A tlhevtett gz hmrsklett a tlhevtnl befecskendezett vz mennyisgvel lehet belltani. Ha csak a hmrsklet-szablyoz lltan a befecskendezszelepet, akkor a belp vz nyomsnak megvltozsbl add kzegramvltozs csak a gzhmrsklet megvltozsn keresztl lenne rzkelhet. Ezrt ingadoz vznyoms esetn clszer a kaszkdszablyozs kiptse. A flrendelt (hmrsklet) szablyoz kimenete a vzkzegram (szablyozs) alapjelt szolgltatja s az alrendelt kzegram-szablyoz lltja a beavatkozszelepet.

11.5. bra. Hierarchikus kaszkdszablyozs elve

360 A PID-szablyozk elve, hogy az (xa) s a tnylegesen mrt (ellenrz) (xe) jelek klnbsgt (az xr szablyozsi eltrst) hrom prhuzamosan kapcsolt jelforml tagon keresztl vezetik. Az egyes jelforml tagok arnyos (P), integrl (I), s differencil (D) jelformlst valstanak meg. A hrom hats sszege szabja meg a beavatkozs mrtkt. A PID szablyozk kimenete elmletileg az albbi kifejezssel adhat meg. dx r (t ) 1 t (11-1) x v (t ) = Ap x r (t ) + x r (t )dt + T d T 0 dt i A kifejezs legegyszerbb numerikus kzeltst akkor kapjuk, ha az integrlst a tglnyszabllyal, a differencilst pedig a vges differencival kzeltjk. Ekkor a kzelt alak az n jel (n Tm) mintavteli idpontban az
T n (11-2) T x v (n) = Ap xr (n) + m x r ( j ) + d [x r (n) x r (n 1)] Tm T j =0 i formban adhat meg, ahol Tm a mintavteli id nagysgt jelli. A mintavteli id rtknek meg kell felelnie a mintavtelezsi trvny ltal magszabottnak. Klnsebb magyarzat nlkl is belthat, hogy egy gyors folyamat (pl. hengersorhajts) szablyozsnl nem engedhetjk meg, hogy akr csak nhny tized msodpercig ne vltoztassuk meg szksg esetn a beavatkozs mrtkt. A DCS rendszereket a tipikusan nem tl gyors technolgik irnytsra alkalmazzk, ahol a hardver s szoftver sebessge a mintavtelezsi ttel ltal megszabott id tredke alatti lekrdezst s feldolgozst biztost. Az ilyen mintavteles szablyozsok tervezsnl a folytonos rendszerekben megszokott mdszereket alkalmazhatjuk.

A szablyozs minsgi mutatinak (pontossg, lengshajlam, gyorsasg) technolgiailag elvrt rtkt az Ap, Ti s Td megfelel belltsval biztosthatjuk. A paramtereket a mrnki munkahelyen megfelel jogostvnyok birtokban lehet vltoztatni. Mivel a folyamat tviteli tulajdonsgainak meghatrozsa nehz s idignyes feladat, ami nlkl a hangols nem oldhat meg, a DCS rendszerek szoftverkomponensei opcionlisan lehetv teszik az nhangolst, amely a teljes rendszer zemelse mellett a kivlasztott szablyozsi kr alapjelt aktvan befolysolja az eljrs, s a gerjesztsre adott vlaszok elemzse alapjn hangolja be a PID paramtereit. Az eljrs indtsakor meg kell adni az elrni kvnt mutatkat (tllendls, pontossg stb.) s a hangolsnl rvnyes korltozsokat. Ez utbbi azt jelenti, hogy az nhangols sorn pl. egy hmrsklet csak egy adott tartomnyon bell llthat, mert mg a hangols rdekben sem veszlyeztethetjk a technolgia normlis zemelst. Az nhangol funkcit ma mr az egyhurkos kompakt szablyozkba is beptik. A PID kompenzltagokat gyakran elre csatolssal (FeedForward, FF), zavarkompenzcival kiegsztve kpezik le. Az elre csatols a beavatkozs mrtkt azonnal megvltoztatja, ha az FF bemenetre kapcsolt (mrt) jellemz megvltozik. A hats mrtkt az elre csatols erstsnek belltsval vltoztathatjuk. Egy hcserlbl kilp (melegtend) kzeg hmrsklete meg fog vltozni (beavatkozs nlkl), ha a melegtend kzeg belp hmrsklete megvltozik. A kilp hmrsklet lland rtkt biztost szablyozs nem a legjobb hatkonysggal mkdik, ha a meleg kzeg kzegramt csak a kvnt s a tnyleges kilp hmrskletek klnbsgtl (a mr meglv szablyozsi hibtl) fggen, a PID algoritmus szerint vltoztatjuk. Sokkal elnysebb, ha a belp hmrsklet megvltozsakor azonnal megvltoztatjuk az elre csatolson keresztl a kzegramot (a beavatkozst), mert gy cskkenthetjk e zavars miatt bekvetkez kilp hmrsklet vltozst. A zavars hatsnak hatkony cskkentshez az elre csatols erstsnek helyes belltsa szksges.

361 A szablyoz a PID-tag s az elre csatols ltal szolgltatott jelek sszegt adja ki a beavatkozszerv (pl. a szablyozszelepet mkdtet szervomotoros pozcionl) fel. A szablyoz kimenjelt limitlni szksges. Egy szablyozszelep mkdtetsnl csak a 0100 % kztti szeleppozciknak van fizikai rtelme, a negatv beavatkozsi parancsok szablyozstechnikailag brmennyire is kvnatosak lennnek, nem rtelmezhetk. Egy gzfts kemence hmrsklet-szablyozsnl a negatv beavatkozs (szeleplls) fizikailag a htst jelenten, ami kptelensg. Lehetnek olyan beavatkozszervek (pl. egy reverzl hajtsnl), ahol logikailag van rtelme a pozitv s a negatv beavatkozsnak is a mozgats irnytl fggen. A beavatkozk mkdtetsnek tbb formjt kell biztostani. ltalban ramjelet (420 mA) kell a D/A konverterek felhasznlsval ellltani, de ez nem kizrlagos. Elfordulhat, hogy kt- vagy hromlls kimenetet (pl. kontaktusokat) kell szolgltatni a mkdtetshez. Klnbz megfontolsok alapjn nem lineris elemeket iktathatunk a szablyozsi krbe (szoftver ton trtn lekpezssel). A leggyakrabban a 11.6. brn lthat karakterisztikj elemeket (korltozs, rzketlensg, hiszterzis) iktatjk be.

11.6. bra. Tipikus nemlineris karakterisztikk Az egyik leggyakoribb oka a nemlineris elem beiktatsnak a beavatkozszerv (szelep) lland mozgatsnak megakadlyozsa. Amennyiben egy hiszterzist iktatunk a szablyoz kimenete s a szelep kz, akkor a szablyoz kimenjelnek a 2 h tartomnyban val ingadozsa (nyitst kvet zrsa, vagy zrst kvet nyitsa) esetn nem kerl ki j szeleppozci. Ha a klnbsgkpz s a PID-tag kz iktatjuk az rzketlensgi svot, mr a szablyozsi hiba e rtkre cskkense (lsd a 11.6. brt) esetn nem vltozik a beavatkozs mr-

362 tke. Ilyen megolds akkor clszer, ha a szablyozott jellemz (pl. a berendezs mechanikai tehetetlensge miatt) tlfutssal ll be. A korltozs biztostja, hogy egyes hatsok maximlis mrtkt bellthassuk. A DCS rendszerek programozsnl lehetsget teremtenek, hogy a legklnbzbb (szoftver ton lekpezett) jeltviteli tulajdonsg tagjait felhasznljuk a hatkony szablyozs rdekben. Nem optimlis megolds, ha a zavarkompenzcis bemenetre a mrt jellemzt kzvetlenl csatlakoztatjuk. Hatkonyabb megolds (br lnyegesen tbb informcit ignyel a tervezsnl), ha a mrt mennyisget egy, a folyamat dinamikjhoz illeszked tagcsoporton csatoljuk az FF bemenetre. A DCS rendszerek ltalban a fzisksleltet-siettet (Lead/Lag) tviteli tagok dinamikus lekpezst tmogatjk. Egy sszetett rendszer irnytsnl, a jelek feldolgozsnl, kirtkelsnl szmos matematikai funkcit kell hasznlni. Nem csupn az aritmetikai mveleteket (gykvons, sin, ln, exp, stb.), hanem ennl sszetettebb funkcikat, pl. lineris s polinomilis regresszit is. Ide sorolhatjuk azokat a funkcikat is, amelyek a jelek vltozsi sebessgt korltozzk. Egy szablyoz kzi-automatikus tkapcsolsakor a szablyoz kimenett nem ugrsszeren, hanem csak adott meredeksggel illik vltoztatni. Technolgiai kvetelmny, hogy egy orszgos kolajvezetken a nyomsvltozs meredeksge nem haladhatja meg 2 bar/perc rtket. Ha a nyomsszablyozs alapjelt megvltoztatjuk 35 bar rtkrl 42 bar nagysgra, akkor ez nem rvnyeslhet azonnal, csak a meredeksgnek megfelel lpcszssel. A szablyozstechnikban a PID-kompenzci az egyszersg s a robosztussg miatt fontos. A kompenzci megvalstsa analg ramkrkkel (mveleti ersts kapcsolsokkal), de pneumatikus elemekkel is lehetsges. gy a klasszikus szablyozselmlet erre a szmtgpes intelligencit nem ignyl beavatkozsi stratgira alapozva fejldtt. Napjainkban egyre inkbb eltrbe kerlnek azok a beavatkozsi stratgik, amelyek nem nlklzhetik a szmtgp lehetsgeit. Az adaptv szablyozsok jellemzje, hogy a szablyoz paramtereit, esetleg a struktrjt is a szablyozott szakasz jellegnek a vltozshoz kapcsoljk. Egy sokzns kristlyostkemence lnyegesen eltr viselkeds, ha alacsony vagy magas hmrsklettartomnyban vizsgljuk. A kisebb hmrskleteknl a konvekci, mg magas hmrskleteknl a sugrzsos htads dominl s ebbl addik a viselkeds (jeltvitel) klnbsge. Ezrt ugyanazzal a szablyozval nem lehet mind az alacsony, mind a magas hmrsklettartomnyban azonos minsgi mutatkat biztostani. Az egyik lehetsges megolds az adaptv mdszerek alkalmazsa. Sok esetben az adaptv szablyozsok a szablyozott szakasz szmtgpes modelljnek felhasznlsval, a mrt s a modellezett vlaszok sszevetse alapjn mkdnek. Addig befolysoljuk a modell tviteli paramtereit (idllandkat, tviteli tnyezket), amg a modell a valsggal kzel azonos viselkedst nem produkl. Ezen identifikcis eljrs eredmnyeknt a szablyozott szakasz pillanatnyi paramterei rendelkezsre llnak s gy a szablyoz belltshoz, hangolshoz felhasznlhatk. Ezt az eljrst modellreferencis adaptv szablyozsnak nevezik. Az adaptv beavatkozsi algoritmusok beillesztse nagysgrendekkel nehezebb feladat a PID-szablyozk hangolsnl, de ha csak ez az t van a minsgi mutatk biztostshoz, akkor ezt kell vlasztani. Bizonytott tny, hogy a fuzzy mdszerek eredmnyesen alkalmazhatk szablyozsi clokra elssorban ott, ahol nincs remny a szablyozott szakasz matematikai lersra, de annyi tudssal rendelkeznk, hogy a beavatkozs szablyrendszert nyelvi fogalmakkal meg tudjuk alkotni. Egyre tbb gyrt rendszerben jelennek meg a fuzzy modulok, amelyek a konzervatvtl eltr ltsmdot ignyelnek. Megfigyelhet a trekvs, hogy a DCS rendszerek szolgltatsai egyre bvlnek, egyre fejlettebb (s bonyolultabb) beavatkozsi stratgikat is ajnlanak. Nem lehet cl a minl

363 jabb s jabb mdszerek alkalmazsa pusztn a korszersg indoknak hangoztatsval. A vlasztst technolgiai s szablyozstechnikai okok indokoljk. A fejlett (intelligens) beavatkozst megvalst komponensek beszerzsi kltsge nem elhanyagolhat s az alkalmazs sem egy-kt ksrlet nhny perces elvgzsre korltozdik. A tbbletrfordtsnak az elrt eredmnyben is meg kell mutatkoznia. A szablyozs feladatainak megoldsa adott esetben az elzekben emltett funkcik alkalmazsval hajthat vgre. Az alkalmazi szoftverek fejlesztsnl e funkcikat blokkoknak is felfoghatjuk, amelyeknek vannak bemenetei s vannak kimenetei. A blokkokat s a blokkok bemeneteihez, kimeneteihez kapcsold jeleket logikai azonostkkal ltjuk el s gy hivatkozhatunk rjuk. A blokkok felhasznlshoz definilni kell a blokk mkdshez szksges paramterek nagysgt (pl. PID-blokk). Az alkalmazs sorn meg kell adni, hogy a klnbz blokkok milyen mdon kapcsoldnak egymshoz. Mindezek az adatok a DCS adatbzisban troldnak s a futs sorn kerlnek rtelmezsre, ill. vgrehajtsra. Az alkalmazshoz szksges defincikat kt formban adjk meg: az adatdefinils elre szerkesztett rlapok kitltsvel; a blokkok s kapcsolataik brzolsa grafikus felleten. A fejleszti munka a klnleges jogostvny mrnki munkallomson vgezhet, akr mkd rendszer mellett is. Az elre szerkesztett rlapok alkalmazsra a 11.7. s 11.8. brkon lthatunk pldt [2].

11.7. bra. PID-szablyoz rlapja

364

11.8. bra. PID-szablyozblokk be-, ill. kimeneti jeleinek listja Lthatjuk, hogy egy PID-blokk definillapjairl van sz. A funkcisor PI kijellse szerint csak a P s I funkcik lesznek hatsosak (Td megadsa hatstalan, ill. hibs definils). A szablyoz szmos zemmdban mkdhet (pl. a kimeneti jel megfordtsa), ennek elrst vltoztathatjuk a funkcisor egyb elemeinek a megvltoztatsval. A konkrt esetben PV a szablyozott jellemzt (sklzott xs), LS az alapjelet (xa), FF az elre csatolt jelet jelenti. A szablyoz kimenjelt a Q jelli. A szablyozblokk s a be-, ill. kimeneti jelek azonosti (pl. 106TT-1072) mellett az aktulis rtkeket s a jelek dimenziit is lthatjuk. A belltsi paramterek Ap, Ti, (Td itt hatstalan) s az elre csatols erstsnek nagysgt lthatjuk a kvetkez kprszleten, amelyet vltoztathatunk is. Az automatikus/kzi tkapcsolskor az alapjelbetlts mdjt rhatjuk el (LS-PV track). Megadhatjuk az alapjel s a szablyoz kimenetnek mg elfogadhat als s fels hatrt, valamint szksg esetn definilhatjuk a maximlis vltozsi sebessget. A 11.9. bra azt a (csatol-) lapot mutatja, ahol a PID-tag be-, ill. kimenetre kapcsold jeleket definiljuk. A tblzat a jelek forrsait (azonostit), mrsi, ill. vltozsi tartomnyuk nagysgt (mrnki egysgben) s dimenziikat tartalmazza. A PID-blokk LS-bemenete a kezel ltal megadott alaprtk, mg az RS az esetleges kls alapjelcsatornt jelenti. Erre kvet- vagy kaszkdszablyozsokban val felhasznls esetn van szksg. A hold elem a jelforrs meghibsodsa (pl. tvadhiba) esetn val tevkenysg mdjt rja el. Teljesen hasonl a tbbi funkci lersnak elve is. Az alkalmazs msik formja a grafikus kpernylapon val tervezs. A grafikus kpernyn elhelyezzk a feladatmegoldsban szerepet jtsz blokkok szimblumait, majd megadjuk az egymshoz val kapcsolatukat. gy tlthatbb formban szemlltethetjk a blokkok egymshoz csatlakoztatst s egy-egy feldolgozsi lnc logikailag teljesen kvethetv v-

365 lik. A blokkok paramtereinek megadsa a szimblumokra kattintva, a kinyl ablakokban trtnik.
11.2.1.2. A diszkrt jelek kezelse

A DCS rendszerek a mrsi csatornk mellett nagyszm jelzsbemenetet s jelzskimenetet kezelnek. A kzelmltig nem volt jellemz, hogy a nhny ms vlaszidt ignyl kzvetlen vezrlsi feladatokat bztak volna a DCS rendszerre. A kzvetlen vezrlsi feladatokat az esetek tbbsgben a DCS rendszerrel is kapcsolatban ll PLC-k oldottk meg. A bejv jelzsek rszben a technolgia llapotnak kvetsre, kezeli megjelentsre szolglnak. A technolgiai szerelvnyek (pl. tolzrak, motorok) llapotai ezen az ton jutnak a rendszerbe. A fizikai jelzsek mellett a szoftverrendszer is generlhat szmos ktllapot jelzst, pl. amikor a mrcsatornk adata meghalad egy elrt rtket. A jelzsek aktvan befolysolhatjk a szoftver ton lekpezett funkcik vgrehajtst. Ha egy szablyozt kzi zemmdba helyeznk, amit a ktllapot jelzs rtke mutat, akkor a szablyoz kimenetn a kzi zemmdban rvnyes, mg automatikus zemmdban a PID ltal meghatrozott rtk jelenik meg. Teht a folytonos s diszkrt jelkezels objektumai kapcsolatba hozhatk. A jelzskimenetek rtkt rszben a kezel-, rszben a diszkrt jelek kezelsre szolgl vezrlblokkok (funkcik) mkdtetik. A felhasznl is definilhat diszkrt vezrlblokkokat, de a gyrt cg is szerepeltet vlasztkban a gyakori berendezseket (pl. villamos motorok, tolzrak) mkdtet elre definilt blokkokat. A blokkok paramterezst a korbbi technikkkal vgezhetjk el. Egyre inkbb ltalnoss vlik, hogy a vezrlsi feladatok mind nagyobb rszt a DCS rendszer kzvetlenl (kls PLC nlkl) valstja meg. Kzenfekv, hogy a vezrlsi feladatok programjait a PLC-technikban megszokott (grafikus) fejleszti krnyezetben dolgozhassuk ki. A programfejleszts is a mrnki munkahelyen trtnik.
11.2.1.3. Sorrendi feladatok kezelse

Elssorban a szakaszos technolgik irnytsnl fogalmazdnak meg olyan ignyek, hogy a gyrts folyamatt irnytsi lpsekre lehessen bontani. Egy vegyipari vagy gygyszeripari reaktor irnytsnl teljesen termszetes, hogy pl. az albbi technolgiai lpseket kell egyms utn vgrehajtani: alapanyagok elrt mennyisg (receptra) szerinti betltse; adott idej homogenizls (kevers); az elegy adott hmrskletre val melegtse (pl. gzftssel); tovbbi segdanyagok receptra szerinti mennyisgeinek bemrse; az elegy hmrskletnek j rtkre emelse elrt trend szerint; hntarts, mg az elegy viszkozitsa egy adott rtkre nem nvekszik; a reaktortartly hmrskletnek cskkentse adott trend szerint (pl. vzhtssel); a gyrts befejezse.

A feladatsor pontjai az egyes irnytsi lpseket jelentik. Minden egyes lpshez tartozik egy lpsfelttel s egy akcisorozat. A lps szmos eltr jelleg felttelhez, ill. ezek kombincijhoz kapcsoldhat: ktllapot jelzsekbl kpzett logikai fggvnyek rtkhez; mrt technolgiai jellemzk nagysghoz; idtartamhoz; kpzett mennyisgek rtkhez.

366 Egy adott lpshez (a lpsfelttel teljeslst kveten) a legklnbzbb akcisorozat kapcsoldhat: vezrlsi programok indtsa, befejezse (pl. bemrsek indtsa); szablyozsok alapjelnek megvltoztatsa (pl. reaktortartly hmrskleti alapjelnek vltoztatsa); szablyozsok aktivlsa, passzivlsa (pl. htsszablyozs aktivlsa, fts passzivlsa); kpzett jellemzket elllt algoritmusok indtsa, lelltsa. A sorrendi feldolgozsok lpsfeltteleinek s akciinak megfogalmazsa lehetsges az rlapkitltsi technika alkalmazsval is. A feladat sszetettsge miatt azonban magas szint lernyelvek alkalmazsa is szoksos. A sorrendi feladatok hatkony tervezsnek, kezeli kvetsnek elfelttele az, hogy az opertori munkallomsok megfelel komponensekkel (programmodulokkal) rendelkezzenek. E feladatcsoportot megold programkomponensekre a Batch processing nevet hasznljk.
11.2.1.4. A terepi buszrendszerrel val kapcsolattarts

A folyamatllomsoknak hardver- s szoftverton is biztostani kell a terepi berendezsekkel az albbi kapcsolatokat. A kihelyezett (tvoli) I/O egysgekkel val kapcsolat biztostsa, ami a DCS rendszer bels krdse. Kls PLC-berendezsekkel val kapcsolat. Szabvnyos (pl. PROFIBUS) vagy gyrtspecifikus (pl. MODBUS) protokollok alapjn val kommunikci. Nem elnys az olyan rendszerek ltrehozsa, ahol a kls PLC-k sokfajta protokollt alkalmaznak, mert mind a hardver, mind a szoftver jelents kltsgnvekedst okoz. Az intelligens mr- s beavatkozeszkzkkel val kapcsolat. Itt is tbbfle kommunikcis md (pl. HART) vehet figyelembe. Trekedni kell az egysges s lehetleg szles kr (kvzi szabvnyos) eljrsok alkalmazsra. 11.2.2. Az opertori munkallomsok programrendszere Az opertori munkahelyek funkcionlisan kt nagy csoportra bonthatk: kezeli munkahelyek; mrnki munkahelyek. A kezeli munkahelyeken a technolgia felgyelete, a technolgia mkdsnek biztostsa a cl. E munkahelyeken nem szoks szablyozselmleti krdsekkel foglalkozni. Itt a technolgia llapott, a fellp rendellenessgeket kell kijelezni s beavatkozshoz szksges parancsokat kiadni. A rendszer zemels alatti finomtsa (pl. szablyozparamterek hangolsa, a szablyozsi struktra megvltoztatsa), bvtse a mrnki munkahelyeken lehetsges. Ezek a munkahelyek elssorban a tervezsre, a rendszer mkdst alapveten befolysol paramterek megvltoztatsra szolglnak, gy a hasznlat klnsen nagy figyelmet s szakrtelmet ignyel. Az opertori munkallomsok valamennyi adata az adatbzisban van. A DCS rendszerek adatbzisnak sajtossga, hogy osztott kialakts. A real-time kvetelmnyek miatt az

367 adatokat nem egy kzponti adatbzisszerveren kell trolni, hanem a kritikus felhasznlsi helyeken, hiszen gy biztosthat a leggyorsabb s legbiztonsgosabb adatelrs. A gyakorlat szerint e rendszerekben ugyanaz az adat esetleg tbb (fizikai) helyen is troldik az elbbi okok miatt, ami az adatkoherencia szempontjbl klnsen nagy figyelmet ignyel. A realtime kvetelmnyek miatt gyakori a gyrtspecifikus adatkezels. A DCS rendszerek adatbzisa ms kls adatbzisokkal is kapcsolatban ll, ezrt a szabvnyos (SQL) adatbzis-elrst is biztostani kell. E sajtossgok figyelembevtelvel a kezeli munkallomsok feladatkre nagymrtkben hasonlt a folyamatvizualizl (s SCADA) rendszerek munkahelyeinek feladatkrhez. A technolgiai smk megjelentse frissl adatokkal, esemnyzenet kezels, adatarchivls s beavatkozsi parancsok kiadsa az alapfeladat. Ezek rszleteit a hasonlsg miatt itt nem ismertetjk. A mrnki munkahelyek teljes programrendszere a fejlesztst clozza egyedi programok hvsn keresztl. Az adatbzis karbantartsn, ellenrzsn tl nhangol algoritmusok alkalmazsa, nyomtathat naplk s felhasznli kpek definilsa, rendszerbe iktatsa az alapfeladat. Egy-egy rszfeladat, pl. az intelligens tvadk tesztelse, belltsa, karbantartsa nll munkahelyen is trtnhet.

Irodalomjegyzk [1] [2] [3] [4] [5] [6] DCS Folyamatirnyt Rendszerek. Konferenciakiadvny 1997, 1998, 2000. FISCHER-ROSEMOUNT: Rosemount System 3. Control Block Configuration Manual, 1993. SIEMENS: PCS7 gpknyv, 1997. ABB: Industrial Manual, 1998. SIEMENS: SIMATIC HMI. Catalog ST 80.1, 1997. Telkes Z.: Az irnytsok genercis tulajdonsgai (1. rsz). ELEKTROnet, 1996/6.

You might also like