You are on page 1of 102

IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

MUC LUC:

PHAN I:GII THIEU VE VI IEU KHIEN PIC18....................................... 3


1.1 Gii thieu tong quat:................................................................................ 3
1.2 Cau truc bo nh: .................................................................................... 10
1.3 Data EEPROM:...................................................................................... 12
1.4 Cac PORT I/O: ....................................................................................... 13
a.PortA:..................................................................................................... 14
b.PortB:..................................................................................................... 14
c.PortC: ..................................................................................................... 15
d.PortD:..................................................................................................... 15
e.PortE: ..................................................................................................... 15
1.5 Bo nh th trong pic: ............................................................................ 16
a.Timer0:................................................................................................... 16
b.Timer1: .................................................................................................. 17
c.Timer2:................................................................................................... 18
d.Timer5: .................................................................................................. 19
1.6 NGAT:..................................................................................................... 20
1.6.1 Gii thieu tong quat: ....................................................................... 20
1.6.2 Cac thanh ghi ieu khien:............................................................... 21
1.7 CAC MODULE CUA PIC18F4431:...................................................... 25
1.7.1 Module PWM:.................................................................................. 25
1.7.2 Module I2C,SPI: .............................................................................. 33
1.7.3 Module A/D convert ........................................................................ 36
1.7.4 Feeback module:.............................................................................. 36
1.8 Tap lenh cua ho nay: ............................................................................ 40
PHAN 2:GII THIEU VE ONG C KB,BO BIEN TAN VA PHNG
PHAP IEU KHIEN ....................................................................... 43
I.ONG C KHONG ONG BO: .............................................................. 43
2.1 Tong quan ve ong c khong ong bo: ............................................. 43
2.1.1 Nguyen ly lam viec: ................................................................. 43
2.1.2 Cau tao: .................................................................................... 43
2.1.3 Cong dung ................................................................................ 44
2.2 Cac loai ong c khong ong bo: ...................................................... 44
2.2.1 ong c khong ong bo roto long soc:................................... 44
2.2.2 ong c khong ong bo roto day quan:................................. 44
2.3 ng dung cua ong c khong ong bo.............................................. 45
2.4 u iem trong ieu khien cua may ien mot chieu: ....................... 46
2.5 Dung ong c xoay chieu thay the may ien mot chieu: ................ 47

SVTH:V VN V 1-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

II.GII THIEU BO BIEN TAN VA PHNG PHAP IEU KHIEN .... 49


II.1 Khai niem ve bo bien tan:................................................................ 49
II.2 Phng phap ieu khien:.................................................................. 49
II.2.1 ieu khien ien ap STATOR: ............................................... 49
II.2.2 ieu khien cong suat trc ong c roto day quan: ........... 50
II.2.3 ieu khien tan so ong c vi bo bien tan nguon ap: ......... 50
a.Bien tan nguon ap: ................................................................ 50
b.So sanh bien tan nguon ap va bien tan nguon dong:.......... 53
II.3 Phng phap xuat xung: ................................................................... 54
II.3.1 Phng phap xuat xung theo space vector (SVM):.............. 54
II.3.2 Phng phap xuat xung theo SIN:......................................... 60
PHN 4:S O VA LU GII THUT................................................. 65
4.1 S o khoi cua giai thuat ieu khien: ................................................... 65
4.2 Lu o giai thuat:................................................................................... 65
PHAN 3:MACH ONG LC VA IEU KHIEN ......................................... 68
I.S O KHOI TONG QUAT VE HOAT ONG CUA MACH:............. 68
1.1Mach ieu khien :................................................................................ 68
1.1.a Chip master va claver:............................................................ 68
1.1.b khoi giao tiep may tnh: .......................................................... 69
1.1.c khoi buton:................................................................................ 69
1.1.d khoi a/d: .................................................................................... 69
1.2 Mach ong lc: ................................................................................... 69
1.2.1 Mach lai .................................................................................... 69
1.2.2 Mach cach ly ............................................................................ 75
1.2.3 Mach MOSFETS:..................................................................... 77
1.2.4 Mach chnh lu: ....................................................................... 78
II. S O MCH IU KHIN VA MCH NG LC TRONG
ORCAD:........................................................................................................ 81
PHAN 5: KET QUA VA MUC LUC .............................................................. 85
I.S o mach thc te , ket qua thu c va nhan xet: .............................. 85
1.S o mach thc te: .............................................................................. 85
2.Dang song ap day thu c tren dao ong k: .................................... 87
3.Giao dien VB ieu khien t may tnh: ................................................ 88
4.Nhan xet:................................................................................................ 89
II.Muc luc: .................................................................................................... 90

SVTH:V VN V 2-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

PHAN I:GII THIEU VE VI IEU KHIEN PIC18


1.1 Gii thieu tong quat:
Cau truc phan cng co cac ac iem sau:

ac iem PIC18F4431
Tan so nh mc DC-40Mhz
Bo nh lap trnh 16384 (byte)
Bo nh lap trnh(instruction) 8192
Data memory(byte) 768
Data EEPROM memory(byte) 256
Nguon interrupt 34
I/O port A,B,C,D,E
Timers 4
Modules capture/PWM 2
Pwm control 8 kenh
Motion Feedback module 1 QEL or 3*IC
Port noi tiep SSP,USART
A/D module(10bit-hight-speed) 9 input channels
Reset and delays (PWRT, OST), MCLR (optional), WDT
Programmable low-voltage detect Yes
Programmable brown-out reset Yes
Instruction set 75 intruction
packages 40pin_dip va 44 pin_TQFP
44 pin-QFN

SVTH:V VN V 3-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

Cau truc phan cng tong quat ben trong cua ho vi ieu khien PIC 18F4431:

SVTH:V VN V 4-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

40 pin-TQFP

SVTH:V VN V 5-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

-Chc nng ca cc chn:

SVTH:V VN V 6-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

SVTH:V VN V 7-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

SVTH:V VN V 8-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

SVTH:V VN V 9-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

1.2 Cau truc bo nh:


Bo nh c chia lam ba loai:
Bo nh chng trnh
Bo nh d lieu
Data EEPROM
Bo nh chng trnh va bo nh d lieu co the truy cap ong thi bi cac bus
rieng biet.
a.To chc bo nh chng trnh:
Bo nh chng trnh co 21 bit va co kha nang lu tr en 2MB cac vector ngat
nam a ch 0x000008 va 0x000018.

b.To chc bo nh d lieu:


Bo nh d lieu la mot RAM tnh.Moi thanh ghi cha a ch d lieu co 12 bit
a ch va c chia thanh 16 bank thanh ghi moi bank cha 256 bytes, 4 bit
thap cua thanh chon bank BSR<3:0>.
Bo nh d lieu cha thanh ghi co chc nang at biet SFR va thanh ghi a
dung GPR.SFR dung s dung e ieu khien va trang thai cac oi tng ieu
khien. GPR c s dung e lu tr ket qua hoac nhng tnh toan trung gian.

SVTH:V VN V 10-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

*to chc bo nh d lieu:

SVTH:V VN V 11-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

*Thanh ghi cha cc chc nng c bit:

1.3Data EEPROM:
Co the xoa hoac oc bi cac toan hang bnh thng co 4 thanh ghi co chc
nang ac biet co the oc hoac ghi bo nh chng trnh va bo nh d lieu.o la
EECON1, EECON2, EEDATA, EEDAR.
EECON1 va EECON2:

Bit7: eepgd bit chon flash hoac data eeprom


=1 truy cap vung nh flash program
=0 truy cap vung nh data eeprom
Bit6: CFGS bit chon cau hnh flash program/data eeprom
=1:truy cap cau hnh hoac to chc thanh ghi
=0:truy cap flash eeprom hoac vung nh data EEPROM
Bit5: khong tac ong

SVTH:V VN V 12-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

Bit4: free
=1 co the xoa
=0 ch co the thc thi viec ghi
Bit3: WRERR bit co the xoa hoac ghi
=1 toan hang c ghi ket thuc sm
=0 toan hang c ghi c hoan thanh bnh thng
Bit2: bit cho phep ghi hoac xoa
=1 cho phep chu k ghi hoac xoa
=0 ngan chan chu k ghi hoac xoa
Bit1: bit ieu khien ghi
=1 khi au viec ghi hoac xoa data EEPROM
=0 chu k ghi c hoanh thanh
Bit0: bit ieu khien oc
=0 oc hoanh thanh
=1 ang thc hien viec oc
EEDATA: lu gia tr cho ti khi toan hang tiep theo c thc hien.do o
co the oc bi lenh tiep theo.
EEDAR: Thanh ghi a ch co the cha 256 byte
1.4 Cac PORT I/O:
Co tat ca 5 port va co the ieu khien bi thanh ghi TRIS la port xuat hay
port nhap,s o hoat ong nh hnh sau:

SVTH:V VN V 13-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

a.PORTA:
La thanh ghi hai chieu.Neu bit TRISA bang 1 th portA la ngo input ngc lai
la ngo output.
Thanh ghi LATA la thanh ghi co the oc hoac ghi c.Thanh ghi nay lu gi
gia tr xuat cua portA.
Chc nang tng chan cua PORTA:
Ten chan bit Boem Chc nang
RA0/AN0 0 TTL/ST Vao / ra / -va ngo vao analog
RA1 1 TTL Vao / ra / -va ngo vao analog
RA2 2 TTL Vao / ra / -va ngo vao analog,qei input
RA3/PGM 3 TTL Vao / ra / -va ngo vao analog,input capture kenh A
quadrature
RA4 4 TTL Vao / ra / -va ngo vao analog,input capture kenh B
quadrature
RA5 5 TTL Vao / ra / -va ngo vao analog,
OSC1 6 TTL/ST OSC2 clock output or I/O pin
OSC2 7 TTL/ST OSC2 clock input or I/O pin
Cac chan RA<2.4> c tch hp vi ba chan ngo vao Capture va QEI.Cac
chan RA6 va RA7 c tch hp vi chan dao dong chnh.Cac chan RA<3:0>va
chan RA5 chuyen oi A/D khi at hoac xoa bit ieu khien trong thanh ghi
ANSEL0 va ANSEL1.
V du :
Movlw 0x00
Movwf TRISA ;PORTA la port xuat
Movlw 0xff
Movwf TRISA ;PORTA la port nhap

b.PORTB:
(*_*)Chc nang cua tng chan:
Ten chan bit Bo em Chc nang
RBO/INT 0 TTL/ST Vao / ra / ngo vao ngat ngoai
RB1 1 TTL Vao / ra / ngo vao ngat ngoai
RB2 2 TTL Vao / ra / ngo vao ngat ngoai
RB3/PGM 3 TTL Vao / ra / chan lap trnh che o LVP
RB4 4 TTL Vao / ra / vi ngat ngoai tren chan
RB5 5 TTL Vao / ra / vi ngat ngoai tren chan
RB6/PGC 6 TTL/ST Vao / ra / vi ngat ngoai tren chan,chan lap

SVTH:V VN V 14-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

c.PORTC:
Ten chan Bit Boem Chc nang
RC0/T1OSO/T1CKL 0 ST Vao/ra/ngorabodaoongtimer
/capture2 va
RC1/T1OSL/ CCP2 1 ST Vao/ra/ ngo vao bo dao ong timer/ngo
vao xung timer1
RC2 / CCP1 2 ST Vao/ra hay ngo vao Capture1 hay ngo ra
Compare1/PWM1
RC3 / SCK / SCL 3 ST Co the la xung seinal ong bo cho che o
i2c va SPI
RC4 / SDI / SDA 4 ST Chan nhan d lieu cua giao thc i2c
RC5 / SDO 5 ST Vao/ra hay ngo ra d lieu port serial ong
bo
RC6 / TX / CK 6 ST Vao/ra hay phat xung ong bo / bat ong
bo
RC7 / RX / DT 7 ST Vao/ra hay nhan xung ong bo / bat ong
bo
d.PORTD:
Ten chan bit Bo em Chc nang
RD0/PSP0 0 ST/TTL Vao/ra hay bit 0 cua port parallel slave
RD1/ PSP1 1 ST / TTL Vao/ra hay bit 1 cua port parallel slave
RD2/ PSP2 2 ST / TTL Vao/ra hay bit 2 cua port parallel slave
RD3/ PSP3 3 ST / TTL Vao/ra hay bit 3 cua port parallel slave
RD4/ PSP4 4 ST / TTL Vao/ra hay bit 4 cua cu#a port parallel slave
RD5/ PSP5 5 ST / TTL Vao/ra hay bit 5 cua port parallel slave
RD6/ PSP6 6 ST / TTL Vao/ra hay bit 6 cua port parallel slave
RD / PSP7 7 ST / TTL Vao/ra hay bit 7 cua port parallel slave
e.PORTE:
Ten chan Bit Bo em Chc nang
RE0/RD/AN5 0 ST/TTL I/O hay ngo vao ieu khien oc che o port
parallel slave hay ngo vao analog.
RE1 / WR / 1 ST / TTL I/O hay ngo vao ieu khien ghi che o port
AN6 parallel slave hay ngoo va#o analog.
RE2 / CS / 2 ST / TTL I/O hay ngo vao ieu khien chon chip che
AN7 o port parallel slave hay ngo vao analog.
Vi CS =1:thiet b khong c chon,
CS=0:thiet b c chon

SVTH:V VN V 15-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

1.5 Bo nh th trong pic:


Co 5 bo nh th:
a.Timer0:
Co cac chc nang sau:
bo timer/counter 8 hoac 16 bit
co the oc hoac ghi c
phan men chuyen dung co the lap trnh prescale c
nguon xung clock co the chon t ben ngoai hoac ben trong
ngat tran t ff  00h trong 8 bit va ffff0000h trong che o 16 bit
chon canh cho nguon xung clock ngoai
Thanh ghi ieu khien la T0CON
S o thanh ghi T0CON

bit 7 TMR0ON: Timer0 bit ieu khien on/off


1 = Enables Timer0
0 = Stops Timer0
bit 6 T016BIT: Timer0 16-bit Control bit
1 = Timer0 c cau hnh nh an 8-bit timer/counter
0 = Timer0 c cau hnh nh a 16-bit timer/counter
bit 5 T0CS: Timer0 bit chon nguon clock cho timer
1 = Transition on T0CKI pin
0 = Internal instruction cycle clock (CLKO)
bit 4 T0SE: Timer0 Source Edge Select bit
1 = Increment on high-to-low transition on T0CKI pin
0 = Increment on low-to-high transition on T0CKI pin
bit 3 PSA: Timer0 Prescaler Assignment bit
1 = TImer0 prescaler is NOT assigned. Timer0 clock input bypasses
prescaler.
0 = Timer0 prescaler is assigned. Timer0 clock input comes from
prescaler output.
bit 2-0 T0PS2:T0PS0: Timer0 Prescaler Select bits
111 =1:256 prescale value
110 =1:128 prescale value
101 =1:64 prescale value
100 =1:32 prescale value
011 =1:16 prescale value
010 =1:8 prescale value

SVTH:V VN V 16-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

001 =1:4 prescale value


000 =1:2 prescale value
a.a Che o 8 bit:
Hoat ong che o nay khi bit T0CON<6> =1.va c ngat se bat khi timer
tran t ff00h.
a.b Che o 16 bit:
Hoat ong che o nay khi bit T0CON<6>=0 va c ngat se bat khi
timers tran t ffff0000h. che o nay dung hai cap thanh ghi la TMR0H va
TMR0L noi dung cua timer se c cap nhat thong qua hai cap thanh ghi nay
a.c Che o ngat:
b.Timer1:
Co cac chc nang sau:
Timer/counter 16 bit
Co the oc hoac xoa c
La chon nguon xung clock ben ngoai hoac ben trong
Ngat tran t FFFF 0000H
Thanh ghi ieu khien la T1CON
S o thanh ghi ieu khien:

bit 7 RD16: 16-bit bit cho cheup write/read


1 = Enables register read/write of TImer1 in one 16-bit operation
0 = Enables register read/write of Timer1 in two 8-bit operations
bit 6 T1RUN: Timer1 System Clock Status bit
1 = System clock is derived from Timer1 oscillator
0 = System clock is derived from another source
bit 5-4 T1CKPS1:T1CKPS0: Timer1 Input Clock Prescale Select bits
11 =1:8 Prescale value
10 =1:4 Prescale value
01 =1:2 Prescale value
00 =1:1 Prescale value
bit 3 T1OSCEN: Timer1 bit cho pheup Oscillator
1 = Timer1 oscillator is enabled
0 = Timer1 oscillator is shut-off
bit 2 T1SYNC: Timer1 External Clock Input Synchronization Select bit
When TMR1CS = 1 (External Clock):
1 = Do not synchronize external clock input
0 = Synchronize external clock input
bit 1 TMR1CS: Timer1 Clock Source Select bit
SVTH:V VN V 17-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

1 = External clock from pin RC0/T1OSO/T1CKI (on the rising edge)


0 = Internal clock (FOSC/4)
bit 0 TMR1ON: Timer1 On bit
1 = Enables Timer1
0 = Stops Timer1
c.Timer2:
Hot ng ch 8 bit
Counter co th c hoc ghi
Phn mn co th lp trnh bng prescale
Phn mn co th lp trnh bng postscale

bit 7 Unimplemented: Read as 0


bit 6-3 TOUTPS3:TOUTPS0: Timer2 Output Postscale Select bits
0000 = 1:1 Postscale
0001 =1:2 Postscale
1111 =1:16 Postscale
bit 2 TMR2ON: Timer2 On bit
1 = Timer2 is on
0 = Timer2 is off
bit 1-0 T2CKPS1:T2CKPS0: Timer2 Clock Prescale Select bits
00 = Prescaler is 1
01 = Prescaler is 4
1x = Prescaler is 16
Ngat timer2: gia tr cua TMP2 se c so sanh vi PR2 khi gia tr cua hai
thanh ghi bang nhau c ngat TMR2IF se bat len cho en khi c xoa bang
phan men.

SVTH:V VN V 18-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

d.Timer5:
Co cac chc nang sau :
Hoat ong che o timer/counter 16 bit
Bo em ong bo hoac khong ong bo
Co hai che o hoat ong la single-shot va continue
Cho phep chon t le tan so timer/tan so vao timer
Ngat xay ra khi thoa man gia tr thanh ghi PR5

(*_*) Hoat ong cua timer5:


ket hp hai thanh ghi 8 bit la TMR5H va TMR5L thanh thanh ghi 16
bit.Trong o thanh ghi TMR5L that s la byte thap cua timer5,no co the oc va
ghi trc tiep c,con byte cao cua timer5 c oc va ghi thong qua thanh ghi
TMR5.
Cap thanh ghi th hai la PR5H va PR5L lam thanh ghi chu k .Khi gia tr
thanh ghi TMR5 tien en va bang gia tr thanh ghi PR5 th c ngat TMR5IF
c bat len cho en khi c xoa bang phan men.
SVTH:V VN V 19-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

1.6 NGAT:
1.6.1 Gii thieu tong quat:
PIC18F4431 co nhieu nguon ngat khac nhau va co mc o u tien khac
nhau.Vector ngat co mc u tien cao nhat la 000008H va thap nhat la
000018H,s kien ngat u tien cao se c u tien ngat trc so vi ngat co mc
u tien thap hn.
Co 10 thanh ghi e ieu khien viec ngat:
RCON INTCON INTCON2 INTCON3
PIR1, PIR2, PIR3 PIE1, PIE2, PIE3 IPR1, IPR2, IPR3
Moi nguon ngat co ba bit e ieu khien ngat:
Bit c ch s kien ngat xuat hien
Bit cho phep thc thi chng trnh re nhanh en a ch vector ngat khi c
ngat a bat.
Bit u tien e chon mc u tien cao hoac mc u tien thap.
Ngat u tien c cho phep bang cach at bit IPEN.Khi ngat u tien c
cho phep,co hai bit cho phep ngat toan cuc,viec at bit GIE se cho phep ngat
ma co bit u tien cao hn va at bit GIEL cho phep tat ca cac ngat ma co mc
u tien c xoa_u tien thap
Khi co s kien ngat th se nhay vao thc thi cac lenh t a ch ngat va bit
co the b cam thong qua cac bit cho phep cua chung.
Khi bit IPEN b xoa chc nang u tien se b cam.Khi 1 ngat c ap ng
th bit cho phep ngat toan cuc c xoa e cam cac ngat them .Neu bit IPEN b
xoa th o la bit GIE.Neu mc u tien ngat c s dung th o se la GIEH hoac
GIEL .Nguon ngat u tien thap khong c thc thi khi nguon ngat u tien cao
ang thc hien.
a ch PC c cat vao vung stack va pc c nap gia tr la a ch cua
vector ngat.Sau khi co thu tuc ngat,cac nguon ngat co the xac nh bang viec
kiem soat cac bit c ngat.Cac bit c ngat phai c xoa bang phan men trc
khi cho ngat tr lai e tranh ngat lien tuc.
Thu tuc ngat nh sau:
Org 0x000008
.. ;ngat u tien cao nhat
retfie
org 0x000018
.;ngat u tien cao nh
retfie

SVTH:V VN V 20-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

1.6.2 Cac thanh ghi ieu khien:

bit 7: bit cho phep ngat toan cuc


khi IPEN=0:
1=cho phep tat ca cac ngat khong mat na
0=cam tat ca cac ngat u tien
Khi IPEN=1
1=cho phep tat ca cac ngat u tien cao
0=cam tat ca cac ngat u tien cao
bit 6: bit cho phep ngat ngoai vi
Khi IPEN=0:
1=cho phep tat ca cac ngat ngoai vi khong mat na
0=cam ngat ngoai vi
Khi IPEN=1:
1=cho phep ngat ngoai vi u tien thap
0=cam tat ca ngat ngoai vi co mc u tien thap
bit 5:bit ngat tran timer
1=cho phep
0=khong cho phep
bit 4: cho phep ngat ngoai INT0
1=cho phep
0=khong cho phep
bit 3: bit cho phep ngat khi co s thay oi tren portb
1=cho phep t chan RB7:RB4 pin
0=khong cho phep
bit 2:bit c ngat tran timer 0
1=TMR0 b tran
0=cha b tran
bit 1:c ngat INT0
1=ngat hien hu
0=ngat khong hien hu
bit 0: c ngat portb
1=t nhat co s thay oi tren chan RB
0=khong co chan nao thay oi tren chan RB

SVTH:V VN V 21-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

bit 7: RBPU bit cho phep portb keo len


1= tat ca portb cho phep
0=disable
bit 6:INTEDG0 bit 5:INTEDG1
bit 4:INTEDG2 bit 3:read 0
bit 2:bit ngat tran timer0 bit 1: read 0
bit 0:bit ngat thay oi tren portB
1=high priotity
0=low priority

bit 7:INT2 bit u tien ngat ngoai


1=u tien thap
0=u tien cao
bit 6:INT1 bit u tien ngat ngoai
1=u tien cao
0=u tien thap
bit 5:read as 0
bit 4: INT2 cho phep ngat ngoai int2
bit 3:cho phep ngat ngoai INT1
bit 2:read as 0
bit 1:bit c ngat INT1
bit 0:bit c ngat INT0
CAC THANH GHI C:

Bit 7: read as 0
Bit 6:bit c ngat chuyen oi A/D
1=chuyen oi xong
0=cha chuyen xong
Bit 5: USART Receive Interrupt Flag bit
1 = The USART receive buffer, RCREG, is full (cleared when RCREG
is read)
0 = The USART receive buffer is empty
Bit 4: USART Transmit c ngat bit
1 = The USART transmit buffer, TXREG=trong (xoa when TXREG is
written)
0 = The USART transmit buffer a ay

SVTH:V VN V 22-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

Bit 3: bit c ngat port noi tiep ong bo


1 = The transmission/reception a hoan thanh (xoa trong chng trnh)
0 = i cho transmit/receive
Bit 2: CCP1 c ngat CCP1
Capture mode:
1 = A TMR1 register capture occurred (must be cleared in software)
0 = No TMR1 register capture occurre
Compare mode:
1 = A TMR1 register compare match occurred (be cleared in software)
0 = No TMR1 register compare match occurred
PWM mode:
Khong s dung trong che o nay
Bit 1: TMR2IF: bit c ngat khi TMR2 to PR2 Match
1 = TMR2 to PR2 match occurred (must be cleared in software)
0 = No TMR2 to PR2 match occurred
Bit 0: TMR1IF: TMR1 Overflow Interrupt Flag bit
1 = TMR1 register a tran (phai c xoa trong chng trnh)
0 = TMR1 register khong tran

Bit 7: OSFIF: bit c ngat khi co loi Oscillator


1 = System Oscillator failed, clock input has changed to INTOSC (must
be cleared in software)
0 = System clock operating
Bit 6-5: read as o
Bit 4: EEIF: EEPROM or Flash Write Operation Interrupt Flag bit
1 = The write operation is complete (must be cleared in software)
0 = The write operation is not complete or has not been started
Bit 3:read as 0
Bit 2: LVDIF: c ngat bao ve ien ap thap
1 = The supply voltage has fallen below the specified LVD voltage (must
be cleared in software)
0 = The supply voltage is greater than the specified LVD voltage
Bit 1:read as 0
Bit 0: CCP2IF: CCP2 c ngat bit
Capture mode:
1 = A TMR1 register capture occurred (must be cleared in software)
0 = No TMR1 register capture occurred
Compare mode:

SVTH:V VN V 23-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

1 = A TMR1 register compare match occurred (must be cleared in


software)
0 = No TMR1 register compare match occurred
PWM mode:
Khong s dung trong che o PWM

Bit 7-5: Read as 0


Bit 4: PTIF ngat PWM Time Base
1 = PWM Time Base matched the value in PTPER register. Interrupt is
issued according to the postscaler settings. PTIF must be cleared in software.
0 = PWM Time Base has not matched the value in PTPER register.
bit 3 :IC3DRIF IC3 Interrupt Flag/Direction Change Interrupt Flag bit
IC3 Enabled (CAP3CON<3:0>)
1 = TMR5 value was captured by the active edge on CAP3 input (must
be cleared in software).
0 = TMR5 capture has not occurred.
QEI Enabled (QEIM<2:0>)
1 = Direction of rotation has changed (must be cleared in software).
0 = Direction of rotation has not changed.
bit2 :IC2QEIF IC2 Interrupt Flag/QEI Interrupt Flag bitIC2 Enabled
(CAP2CON<3:0>)
1 = TMR5 value was captured by the active edge on CAP2 input (must
be cleared in software).
0 = TMR5 capture has not occurred.
QEI Enabled (QEIM<2:0>)
1 = The QEI position counter has reached the MAXCNT value or the
index pulse, INDX, has been detected. Depends on the QEI operating mode
enabled. Must be cleared in software.
0 = The QEI position counter has not reached the MAXCNT value or the
index pulse has not been detected.
bit 1 IC1IF: IC1 Interrupt Flag bit
IC1 Enabled (CAP1CON<3:0>)
1 = TMR5 value was captured by the active edge on CAP1 input (must
be cleared in software).
0 = TMR5 capture has not occurred.

SVTH:V VN V 24-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

1.7 CAC MODULE CUA PIC18F4431:


PIC 18F4431 co tat ca la 4 module:
1.7.1 Module PWM:
a.1 S o khoi cua pwm:

SVTH:V VN V 25-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

a.2 Cac thanh ghi ieu khien:


-Co tat ca 22 thanh ghi e ieu khien module nay.
-Tam trong so nay c dung e tao xung ieu khien:
PTCON0
PTCON1
PWMCON0
PWMCON1
DTCON0
ODVCOND
ODVCONS
FLTCONFIG
-14 thanh ghi con lai la thanh ghi 16 bit:
Cap thanh ghi PTMRH va PTMRL
Cap thanh ghi PTERH va PTERL
Cap thanh ghi SEVTCMPH va SEVTCMPL
Cap thanh ghi PDC0H va PDCOL
Cap thanh ghi PDC1H va PDC1H
Cap thanh ghi PDC2H va PDC2H
-Chc nang cua tng thanh ghi nay :

Bit 7-4: bit chon postscale thi gian c ban cho ngo ra pwm
0000 =1:1 Postscale
0001 =1:2 Postscale
.
.
1111 =1:16 Postscale
Bit 3-2: bit chon gia tr prescale thi gian c ban cho ngo ra PWM
00 =PWM time base input clock is Fosc/4 (1:1 prescale)
01 =PWM time base input clock is Fosc/16 (1:4 prescale)
10 =PWM time base input clock is Fosc/64 (1:16 prescale)
11 =PWM time base input clock is Fosc/256 (1:64 prescale)
Bit 1-0: bit chon thi gian c ban cho PWM
11= kieu continuous up/down
10= kieu continuous up/down counting
01= kieu single-shot
00= kieu free running

SVTH:V VN V 26-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

Bit 7: PTEN bit cho phep thi gian c ban cho PWM
1=thi gian c ban on
0=thi gian c ban off
Bit6: PTDIR
1=PWM timebase count down
0=PWM timebase count up
Bit5: read as 0

Bit 7 : read as 0
Bit6-4: bit chon module cho PWM
111=tat ca cac chan le cho phep thanh ngo ra pwm
110=cac chan pwm1,pwm3 la ngo ra pwm
101= tat ca cac i/o cho phep thanh ngo ra pwm
100=cac chan pwm0, pwm1, pwm2, pwm3, pwm4, pwm5 la ngo ra
011=cac chan pwm0, pwm1, pwm2, pwm3 la ngo ra pwm
010=cac chan pwm1,2 la ngo ra
001=cac chan pwm1 la ngo ra pwm
000=tat ca cac chan pwm eu la chan I/O
Bit3-0: Cac bit chon kieu cac cap ngo ra pwm
For PMOD0:
1 = PWM I/O pin pair (PWM0, PWM1) is in the Independent mode.
0 = PWM I/O pin pair (PWM0, PWM1) is in the Complementary mode.
For PMOD1:
1 = PWM I/O pin pair (PWM2, PWM3) is in the Independent mode.
0 = PWM I/O pin pair (PWM2, PWM3) is in the Complementary mode.
For PMOD2:
1 = PWM I/O pin pair (PWM4, PWM5) is in the Independent mode.
0 = PWM I/O pin pair (PWM4, PWM5) is in the Complementary mode.
For PMOD3(3):
1 = PWM I/O pin pair (PWM6, PWM7) is in the Independent mode.
0 = PWM I/O pin pair (PWM6, PWM7) is in the Complementary

SVTH:V VN V 27-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

bit 7-4SEVOPS3:SEVOPS0: PWM Special Event Trigger Output Postscale


Select bits
0000 =1:1 Postscale
0001 =1:2 Postscale
.
.
1111 =1:16 Postscale
bit 3 SEVTDIR: Special Event Trigger Time Base Direction bit
1 = A special event trigger will occur when the PWM time base is
counting downwards.
0 = A special event trigger will occur when the PWM time base is
counting upwards.
bit 2 Unimplemented: Read as 0.
bit 1 UDIS: PWM Update Disable bit
1 = Updates from duty cycle and period buffer registers are disabled.
0 = Updates from duty cycle and period buffer registers are enabled.
bit 0 OSYNC: PWM Output Override Synchronization bit
1 = Output overrides via the OVDCON register are synchronized to the
PWM time base.
0 = Output overrides via the OVDCON register are asynchronous.
a.3 Cac kieu xuat xung:
a.3.1 kieu chay t do:
Trong kieu chay t do thi gian c ban cua PWM se bat au em len cho en
khi gia tr thanh ghi PTMR va PTPER tng ng vi nhau.Thanh ghi PTMR se
c reset theo canh xung clock ngo vao va thi gian c ban tiep tuc em len
chng nao bit PTEN van con set.
S kien ngat phat sinh khi gia tr tng ng gia thanh ghi PTMR va
PTPER xay ra.

SVTH:V VN V 28-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

a.3.2 kieu single-shot:


Trong kieu hoat ong nay thi gian c ban cua PWM se bat au em len
khi bit PTEN c set.Khi gia tr thanh ghi PTMR tng ng vi gia tr cua
PTPERL s kien ngat phat sinh ,va thanh ghi PTMR se c reset da vao canh
xung clock ngo vao va bit PTEN se c xoa bang phan cng.

SVTH:V VN V 29-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

a.3.3 Kieu up/down counting:


Trong kieu em len xuong thi gian c ban se bat au em len cho en
khi gia tr thanh ghi PTPER tng ng vi thanh ghi PTMR.Theo canh xung
clock ngo vao timer se em xuong.Bit PTDIR trong thanh ghi PDCON1 la bit
ch oc va ch hng em.Bit PTDIR c set khi timer em xuong.
S kien ngat phat sinh moi khi gia tr cua thanh ghi PTMR tr nen 0 va
thi gian c ban bat au em xuong

a.4 Che o chay PWM:


Chu k PWM c xac nh bi cap thanh ghi PTPER.Chu k PWM co o
phan giai 12 bit c ket hp 4 bit LSB cua PTPERL PTPERH.
Chu k PWM c xac nh theo cong thc sau:
( PTPETL + 1)
For tree running mode: TPWM =
Fosc/(PTMRPS/4)

( RTPER + 1) * PTMRPS
OR : TPWM =
Fosc/4

(2* PTPER)
For up/down counting mode: TPWM =
Fosc/(PTMPRS/4)

SVTH:V VN V 30-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

*Cac che o em cua PWM:


-Che o tree running count

-Che o up/down counting:

*Tao duty cycle:


-PWM duty cycle c xac nh bi cap thanh ghi (PDCxL va PDCxH).Va viec
tao duty cycle nay c tao bang viec so sanh hai cap thanh ghi nay vi PWM
time base (PTPRH va PTPRL).

SVTH:V VN V 31-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

-Khi PWM time base em len fit vi gia tr cua PDCxx th se tao ra c xung
nh hnh sau: gia tr nh cua bo em c lu trong PTPER

4.5 Dead time control:


Dead time la khoang thi gian len va xuong cua 1 xung ieu khien bi v cac
thiet b nang lng khong thuan tuy la ch co ong cat thong thng ma phai co
1 khoang thi gian ong va cat e tranh tnh trang b trung dan dan en co the
h hai thiet b neu hoat ong lau dai.
Khoang thi gian dead time nay co the c lap trnh trong module PWM

SVTH:V VN V 32-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

+Thanh ghi ieu khien nap dead time cho xung:

bit 7-6 DTPS1:DTPS0: Dead Time Unit A Prescale Select bits


11 = nguon clonk cho Dead Time Unit is FOSC/16.
10 = nguon clonk cho Dead Time Unit is FOSC/8.
01 = nguon clonk cho Dead Time Unit is FOSC/4.
00 = nguon clonk cho Dead Time Unit is FOSC/2.
bit 5-0 DT5:DT0: Unsigned 6-bit dead time value bits for Dead Time Unit.

1.7.2.MODULE I2C,SPI:
b.1 Cac thanh ghi lien quan en module:
SSPCON # SSPSTAT # SSPBUF # INTCON # PIR1 #PIE1
b.2 Gii thieu module SPI:
Module nay e ieu khien giao tiep vi thiet b ben ngoai hoac vi ho vi
ieu khien cung loai:co the la EEPROM,A/D converter
SPI mode cho phep 8 bit d lieu c truyen ong bo va nhan cung mot
luc ,ba chan c s dung:
Serial Data Out (SDO) RC7/RX/DT/SDO
Serial Data In (SDI) RC4/INT1/SDI/SDA
Serial Clock (SCK) RC5/INT2/SCK/SCL
La giao tiep de dung nhat hoat ong theo phng phap bat tay ,1 master 2
clave master truyen d lieu cho clave va ngc lai,no phat 8 xung clock qua
ng clock noi vi clave ong thi truyen 8 bit data t chan SDO ti chan SDI
cua clave,neu ang truyen 3 bit ma reset pic th data b mat clave se khong nhan
u 8 bit .
Giao tiep nay can hai day.Neu ch gi data ch ch can day clock va SDO
vdk nhan se dung SDI va day clock,day clock noi chung.
Neu co gi va nhan th day clock dung chung master co SDO noi ti SDI
cua clave,SDO cua clave noi SDI cua master .
SVTH:V VN V 33-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

Neu master can truyen cho nhieu clave tr len th SDO master noi ti cac
SDI cua clave .
b.3 Module i2c:
La cong cu ay u cho che o clave,va cung cap ngat tren hai bit START va
STOP trong phan cng e de dang thc thi tren che o master.
Hai chan c s dung e chuyen d lieu:SDI va SDA pin.Va ngi dung
phai cau hnh hai chan nay la output hay input thong qua hai thanh ghi
TRISC<4,5> va TRISD<2.3>.
Khi s dung i2c e giao tiep th hai chan nay phai c noi len 5v vi ien
tr keo len,va gia tri ien tr nay phu thuoc vao toc o boud cua pic.
Che o clave:
Trong che o nay hai chan SCL SDA phai c cau hnh nh la ngo
vao(TRISC<4.5> hoac TRISD<2.3>c set).khi a ch khp hoac d lieu
chuyen sau khi address match c nhan,t ong phan cng se phat xung ACK
va load ve gia tr trong thanh ghi SSPBUF .
Co hai ieu kien la nguyen nhan module SSP khong phat ACK xung:
+ Buffer full bit BF c set sau khi s chuyen c nhan
+ Bit bao tran SSPOV (SSPCON<6>) c set sau khi s chuyen c
nhan
Trong trng hp nay ,thanh ghi SSPSR gia tr khong load vao SSPBUF
nhng bit SSPIF c set
Trnh t hoat ong nay cho 10 bit_address:
1. Nhan byte cao cua a ch(bits SSPIF,BF,UA c set
2. update thanh ghi SSPADD vi byte th hai cua address(slow)_bit UA
clear
3. oc thanh ghi SSPBUF va clear c SSPIF
4. Nhan byte th hai cua a ch(slow) SSPIF,BF UA c set
5. Update thanh ghi SSPADD vi byte cao cua ADDRESS
6. oc gia tr thanh ghi SSPBUF xoa bit BF va xoa SSPIF
7. Nhan lai ieu kien start
8. Nhan byte th 1 (high) cua address SSPIF,BF c set
9. oc thanh ghi SSPBUF clear bit SSPIF,BF.
Che o master:
Van hanh che o nay s dung ngat khi phat hien ieu kien tren hai bit start
va stop va trong che o nay hai chan SCL SDA c cau hnh nh ngo output

bit7: SMP bit nay phai c xoa trong che o i2c


Bit6: CKE bit nay cung phai c xoa trong che o i2c

SVTH:V VN V 34-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

Bit5: D/A data/address bit ch van hanh che o i2c


1=chnh xac rang byte cuoi cung c nhan la hoac chuyen la data
0=chnh xac rang byte cuoi cung c nhan la hoac chuyen la address
bit4: P stop bit ch van hanh che o i2c
bit nay c xoa khi module ssp c disable hoac khi bit start c nhan
thay.Va SSPEN c xoa
1=bit stop c phat hien
0=bit stop khong c nhn thay
bit3: S start bit ch van hanh trong che o i2c
1=bit start c nhan ra
0=bit start khong c nhan ra
bit 2: R/W bit thong tin ve read va write(ch van hanh trong che o i2c)
1= read
0=write
bit 1: UA bit update a ch
1=chnh sac rang ngi dung can update address trong thanh ghi SSPADD
0=address khong can update
bit 0: BF trang thai buffer
+Trong che o nhan:
1=nhan hoang thanh SSPBUF ay
0=nhan khong hoan thanh SSPBUF cha ay
+Trong che o chuyen
1=ang chuyen SSPBUF ay
0=chuyen a hoan thanh,SSPBUF trong

bit 7:WCOL
1=thanh ghi SSPBUF c viet
0=khong co va cham
bit 6: SSPOV bit nhan bao tran
1=1 byte c nhan trong khi van gi data trc,trong trng hp tran
data in sspsr se b mat
0=khong b tran
bit 5: SSPEN bit cho phep truyen ong bo
1=cho phep port noi tiep,cau hnh chan SDA SCL nh port noi tiep
0=diable port noi tiep
bit 3-0:bit chon che o truyen port noi tiep ong bo
0000= SPI master mode,Fosc/4
0001= SPI master mode,Fosc/16

SVTH:V VN V 35-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

0010= SPI master mode,Fosc/64


0011= SPI master mode,clock=TMR2 output/2
0100= SPI sclave mode,clock=SCK pin,SS pin control enable
0101= SPI sclave mode,clock=SCK pic,SS pin control disable
0110= I2C sclave mode 7-bit address
0111=I2C sclave mode 10-bit address
1011=i2c firmware controlled master mode
1110=i2c sclave mode 7 bit address vi start stop enabled bit
1111=i2c sclave mode 10 bit address vi start stop enabled bit
1.7.3 Module A/D CONVERT:
Co 9 kenh tren pic18f4431 cho phep bien oi a/d.Group A t AN0,AN4 va
AN1,AN5 th thuoc nhom B,AN2 AN6 thuoc nhom C,AN3 AN7 thuoc nhom D
va c chon trong thanh ghi ADCHS
Co 9 thanh ghi ieu khien.Va co hai che o chay adc la che o 8
bit(0255)va che o 161 bit (01024)
Thanh ghi ket qua cho che o bien oi a/d:
ADRESH:ADRESL la cap thanh ghi lu tr gia tr cho phep bien oi a/d.Cap
thanh ghi nay 16 bit

Che o lu tr ket qua la right justified va left justified c ieu khien


trong thanh ghi ADCON2<7>.
1.7.4 Feeback module:
Module nay dung thiet ke ngoi vi cho nhng muc ch ac biet dung trong
cac ng dung ve hoi tiep
Module nay bao gom hai module phan cng :
Input capture module (IC)
Quadrature encoder interface(QEI)
C.1 Quadrarure encoder interface:
SVTH:V VN V 36-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

QEI oc toc o va nhng thong tin ve s chuyen ong.No c s dung trong


mot vai ng dung dung encoder ngo ra dang xung cho hoi tiep
ac iem ben ngoai:
3 chan input :hai pha tn hieu(QEI va QEB) va 1 tn hieu index
ieu khien phat hien co s di chuyen bang viec dieu khien khi co ngat
IC3DRIF
16 bit up/down counter
Two position update modes (x2 and x4)
o toc o vi viec at postcales e o toc o cao
Bit ngat bo em ICQEIF cua PIR3
Bit ngat ieu khien toc o IC1IF cua thanh ghi PIR3
Module gonm ba thanh phan chnh khoi QEI logic control, bo em v tr
,postcale velocity.
Khoi QEI phat hien co canh len hai chan QEA QEB va tao xung em va
se gi ti khoi logic position logic
Bieu o khoi cua QEI:

*..* Cau hnh cho module QEI:


Van hanh cua module nay c ieu khien bi thanh ghi QEICON

Bit 7 :VELM: Velocity Mode bit


1 = Velocity mode khong cho phep

SVTH:V VN V 37-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

0 = Velocity mode cho phep


Bit 6: ERROR: bit bao loi QEI
1 = Position counter(4) overflow or underflow
0 = No overflow or underflow
Bit 5: UP/DOWN: trang thai bit nh hng chieu quay
1 = thuan
0 = nghch
Bit 4-2 :QEIM2:QEIM0: QEI Mode bits(2,3)
111 =khong s dung
110 =QEI ang hoat ong trong che o 4x Update mode; position counter
reset on period match(POSCNT = MAXCNT)
101 =QEI ang hoat ong trong che o 4x Update mode; INDX resets the
position counter
100 =khong s dung
010 =QEI cho phep hoat ong trong 2x Update mode; position counter
reset on period match (POSCNT = MAXCNT)
001 =QEI enabled in 2x Update mode; INDX resets the position counter
000 =QEI off
Bit 1-0: PDEC1:PDEC0: Velocity Pulse Reduction Ratio bit
11 =1:64
10 =1:16
01 =1:4
00 =1:1
QEI x2mode:
Mode nay c chon bi QEIM2:QEIM0.trong mode nay QEI c phat
hien canh tren QEA input,moi canh len va xuong cua QEA cap tn hieu clock
cho bo em v tr.Va bo em v tr co the c reset bi chan INDX hoac khp
chu k,tc khi thanh ghi POSCNT = MAXCNT.
QEI x4 update mode:
Mode nay cung cap nhng giai phap tot nhat cho v tr cua roto,khi bo em
tang hoac giam mot cach thng xuyen hn.Mode nay c chon bi viec thiet
lap QEI mode va bo em v tr c reset tng t nh mode tren
Trong mode nay bo em v tr tang hoac giam tren moi canh len QEA va
QEB nh vao quan he pha gia chung .V du : neu QEA sm pha hn QEB(i
trc) th bo em tang len 1 va ngc lai th bo em giam i 1.

SVTH:V VN V 38-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

Reset bo em xung:
-Mot xung index c phat hien tren chan INDX:
+Neu encoder ang van hanh che o thuan chieu ,POSCNT c reset ve
0
+Neu encoder ang chay che o nghch chieu th gia tr MAXCNT thanh
ghi c ghi vao POSCNT trc khi xung ke tren QEA QEB sau khi co canh
xuong tren chan INDX
-khi hai thanh ghi POSCNT MAXCNT bang nhau
+Neu encoder ang chay chieu thuan th POSCNT c reset ve
khong.Mot ngat se xay ra khi reset ve khong
+Neu encoder ang chay chieu ngch th gia tr MAXCNT thanh ghi c
ghi vao POSCNT.Va cung co s kien ngat xay ra.

SVTH:V VN V 39-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

1.8 Tap lenh cua ho nay:

SVTH:V VN V 40-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

SVTH:V VN V 41-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

SVTH:V VN V 42-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

PHAN 2:GII THIEU VE ONG C KB,BO BIEN TAN VA


PHNG PHAP IEU KHIEN
A.ONG C KHONG ONG BO:
2.1 Tong quan ve ong c khong ong bo:
2.1.1 Nguyen ly lam viec:
Khi nam cham ien quay ( toc o n1 vong/ phut ) lam ng sc t quay cat
qua cac canh cua khung day cam ng gay nen sc ien ong E tren khung day.
Sc ien ong E sinh ra dong ien I chay trong khung day. V dong ien I nam
trong t trng nen khi t trng quay lam tac ong len khung day mot lc ien
t F. Lc ien t nay lam khung day chuyen ong vi toc o n vong/ phut.
V n < n1 nen goi la khong ong bo.
2.1.2 Cau tao:
a)Phan tnh:
Stato co cau tao gom vo may,loi sat va day quan
* Vo may
Vo may co tac dung co nh loi sat va day quan, khong dung e lam mach
dan t. Thng vo may c lam bang gang. oi vi may co cong suat tng
oi ln ( 1000kW ) thng dung thep tam han lai lam thanh vo may. Tuy theo
cach lam nguoi may ma dang vo cung khac nhau.
*Loi sat
Loi sat la phan dan t. V t trng i
qua loi sat la t trng quay nen e giam
ton hao loi sat c lam bang nhng la thep
ky thuat ien ep lai. Khi ng knh ngoai
loi sat nho hn 990 mm th dung ca tam
tron ep lai. Khi ng knh ngoai ln hn
th dung nhng tam hnh re quat nh
hnh 1.1) ghep lai.
*Day quan
Day quan stator c at vao cac ranh
cua loi sat va c cach ien tot vi loi sat.
b)Phan quay (roto)
Rotor co 2 loai chnh : rotor kieu day quan va rotor kieu long soc.
Rotor day quan :
Roto co day quan giong nh day quan cua stator. Day quan 3 pha cua roto
thng au hnh sao con ba au kia c noi vao vanh trt thng lam bang
ong at co nh mot au truc va thong qua choi than co the au vi mach
ien ben ngoai. ac iem la co the thong qua choi than a ien tr phu hay
suat ien ong phu vao mach ien roto e cai thien tnh nang m may, ieu
chnh toc o hoac cai thien he so cong suat cua may. Khi may lam viec bnh
SVTH:V VN V 43-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

thng day quan rotor c noi ngan mach. Nhc iem so vi ong c rotor
long soc la gia thanh cao, kho s dung moi trng khac nghiet, de chay no
Rotor long soc :
Ket cau loai day quan nay rat khac vi day quan stator. Trong moi ranh cua
loi sat rotor at vao thanh dan bang ong hay nhom dai ra khoi loi sat va c
noi tat lai hai au bang hai vanh ngan mach bang ong hay nhom lam thanh
mot cai long ma ngi ta quen goi la long soc.
c)Khe h khong kh
V rotor la mot khoi tron nen khe h eu. Khe h trong may ien khong ong
bo rat nho e han che dong ien t hoa lay t li va nh vay mi co the lam
cho he so cong suat cua may cao hn.
2.1.3 Cong dung
May ien khong ong bo la loai may ien xoay chieu chu yeu dung lam ong
c ien. Do ket cau n gian, lam viec chac chan, hieu suat cao, gia thanh ha
nen ong c khong ong bo la loai may c dung rong rai Trong i song hang
ngay, ong c khong ong bo ngay cang chiem mot v tr quan trong vi nhieu
ng dung nh : quat gio, ong c trong tu lanh.
2.2 Cac loai ong c khong ong bo:
2.2.1 CKB roto long soc:
c dung rong rai trong nhieu lnh vc ng dung cong nghiep.Bang cach
la chon cac thiet b khac nhau e thay oi ien tr va ien khang roto,ket hp
vi cac mc o khac nhau cua hieu ng ranh sau hoac long soc kep,co the thiet
ke cac loai ong c khong ong bo co ac tnh phu hp vi cac ng dung cong
nghiep a dang. CKB c ln thng c che tao phu hp vi tng ng dung
cuk the.Vi cong suat c va va nho,di 150KW,ong c long soc thng c
che tao theo quy chuan nhat nh.Tuy theo tieu chuan rieng cua mnh ma moi
quoc gia co qui chuan khac nhau cho CKB.Ngi ta thiet ke he thong se
chon loai ong c co ac tnh gan vi yeu cau cua mnh nhat.
2.2.2. CKB roto day quan:
u iem quan trong cua CKB roto day quan la co the them ien tr vao
mach roto de dang,do o ong c thng c che tao vi ien tr roto thap e
tang hieu suat khi lam viec.Khi khi ong,ien tr phu co the c them vao
ong c e tang momen khi ong en mc yeu cau.Ngoai ra cung co the a
nguon ien ap vao roto e ieu khien toc o ong c .
Tuy nhien ong c loai nay co nhieu khuyet iem so vi ong c long
soc:gia thanh cao hn,can bao dng choi than va vanh trc, kho s dung
moi trng khac nhiet hoac de chay no ..Do o loai ong c nay khong thong
dung nh ong c long soc.

SVTH:V VN V 44-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

2.3 ng dung cua ong c khong ong bo


Ngay nay, cac he thong truyen ong ien c s dung rat rong rai trong cac
thiet b hoac day chuyen san xuat cong nghiep, trong giao thong van tai, trong
cac thiet b ien dan dung, . . . c tnh co khoang 50% ien nang san xuat ra
c tieu thu bi cac he thong truyen ong ien.
He truyen ong ien co the hoat ong vi toc o khong oi hoac vi toc o
thay oi c. Hien nay khoang 75 80% cac he truyen ong la loai hoat ong
vi toc o khong oi. Vi cac he thong nay, toc o cua ong c hau nh khong
can ieu khien tr cac qua trnh khi ong va ham. Phan con lai, la cac he thong
co the ieu chnh c toc o e phoi hp ac tnh ong c va ac tnh tai theo
yeu cau. Vi s phat trien manh me cua ky thuat ban dan cong suat ln va ky
thuat vi x ly, cac he ieu toc s dung ky thuat ien t ngay cang c s dung
rong rai va la cong cu khong the thieu trong qua trnh t ong hoa.
ong c khong ong bo co nhieu u iem nh: ket cau n gian, lam viec
chac chan, hieu suat cao, gia thanh ha, co kha nang lam viec trong moi trng
oc hai hoac ni co kha nang chay no cao. V nhng u iem nay nen ong c
khong ong bo c ng dung rat rong rai trong cac nganh kinh te quoc dan vi
cong suat t vai chuc en hang nghn kW. Trong cong nghiep, ong c khong
ong bo thng c dung lam nguon ong lc cho cac may can thep loai va
va nho, cho cac may cong cu cac nha may cong nghiep nhe . . . Trong nong
nghiep, c dung lam may bm hay may gia cong nong san pham. Trong i
song hang ngay, ong c khong ong bo ngay cang chiem mot v tr quan trong
vi nhieu ng dung nh: quat gio, ong c trong tu lanh, may quay da,. . . Tom
lai, cung vi s phat trien cua nen san xuat ien kh hoa va t ong hoa, pham
vi ng dung cua ong c khong ong bo ngay cang rong rai.
So vi may ien DC, viec ieu khien may ien xoay chieu gap rat nhieu kho
khan bi v cac thong so cua may ien xoay chieu la cac thong so bien oi theo
thi gian, cung nh ban chat phc tap ve mat cau truc may cua ong c ien
xoay chieu so vi may ien mot chieu.
Cho nen viec tach rieng ieu khien gia moment va t thong e co the ieu
khien oc lap oi hoi mot he thong co the tnh toan cc nhanh va chnh xac
trong viec qui oi cac gia tr xoay chieu ve cac bien n gian . V vay, cho en
gan ay, phan ln ong c xoay chieu lam viec vi cac ng dung co toc o
khong oi do cac phng phap ieu khien trc ay dung cho may ien thng
at va co hieu suat kem. ong c khong ong bo cung khong tranh khoi nhc
iem nay.

SVTH:V VN V 45-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

2.4 u iem trong ieu khien cua may ien mot chieu:

Hnh 1.1: mach ien thay the cua may ien DC kch t oc lap
T s o mach ien thay the tren ta co cac phng trnh bieu dien cho
hoat ong cua may ien mot chieu:
di (1.1)
vf = R f if + Lf f f = f ( if )
dt
di
va = R a i a + La a + e e = K m f
dt
d
Te - TL = J
dt
Te = K m f i a

Vi cac phng trnh (3.1) ta co c qua trnh ieu khien ong c DC nh


sau:

Hnh 3.2: qua trnh ieu khien may ien DC


T hnh (3.2) ta chia qua trnh ieu khien may ien DC (may chay khong tai)
thanh 4 giai oan:
Giai oan A: ay la giai oan t hoa may. Giai oan nay ta se
cung cap dong if vao cuon kch t. Trong giai oan nay ta khong cung cap dong
phan ng ia nen may se khong quay. ay la bang chng au tien chng to rang
moment ien t va t thong cua may c ieu khien oc lap vi nhau.
Giai oan B: giai oan nay la giai oan chuyen tiep, ta se cho may
tang toc bang cach a ia vao cuon phan ng ong thi gi if = const. ay chnh
SVTH:V VN V 46-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

la bang chng th hai cho thay moment ien t va t thong cua may c ieu
khien oc lap vi nhau.
Giai oan C: giai oan may i vao trang thai on nh. Ta van xem
ay la mot giai oan chuyen tiep.
Giai oan D: giai oan may quay vi van toc eu.
Nhan xet: qua 4 giai oan ieu khien tren ta nhan thay may ien DC
co the ieu khien moment ien t va t thong oc lap vi nhau.
2.5. Kha nang dung ong c xoay chieu thay the may ien mot chieu:
Nhng kho khan trong viec ng dung ong c xoay chieu chnh la lam
the nao e co the de dang ieu khien c toc o cua no nh viec ieu khien
cua ong c DC. V vay, mot y tng ve viec bien oi mot may ien xoay chieu
thanh mot may ien mot chieu tren phng dien ieu khien a ra i. ay chnh
la ieu khien vector. ieu khien vector se cho phep ieu khien t thong va
moment hoan toan oc lap vi nhau thong qua ieu khien gia tr tc thi cua
dong (ong c tiep dong) hoac gia tr tc thi cua ap (ong c tiep ap).
ieu khien vector cho phep tao ra nhng phan ng nhanh va chnh xac
cua ca t thong va moment trong ca qua trnh qua o cung nh qua trnh xac lap
cua may ien xoay chieu giong nh may ien mot chieu. Cung vi s phat trien
cua ky thuat ban dan va nhng bo vi x ly co toc o nhanh va gia thanh ha, viec
ng dung cua ieu khien vector ngay cang c s dung rong rai trong nhieu he
truyen ong va a tr thanh mot tieu chuan cong nghiep.
Vi s phat trien nhanh chong, nganh cong nghiep t ong luon oi hoi s
cai tien thng xuyen cua cac loai he truyen ong khac nhau. Nhng yeu cau
cai tien cot yeu la tang o tin cay, giam kha nang tieu thu ien nang, giam thieu
chi ph bao dng, tang o chnh xac va tang kha nang ieu khien phc tap. V
vay, nhng he truyen ong vi ong c ien mot chieu ang dan thay the bi
nhng he truyen ong ong c xoay chieu s dung ieu khien vector. Bi v, ly
do chnh e s dung rong rai ong c ien mot chieu trc kia la kha nang ieu
khien oc lap t thong va moment lc a neu cung nh cau truc he truyen ong
kha n gian. Tuy nhien, chi ph mua va bao tr ong c cao, ac biet khi so
lng may ien phai dung ln. Trong khi o, cac ng dung thc te cua ly thuyet
ieu khien vector a c thc hien t nhng nam 70 vi cac mach ieu khien
lien tuc. Nhng cac mach lien tuc khong the ap ng c s oi hoi phai
chuyen oi tc thi cua he quy chieu quay do ieu nay oi hoi mot khoi lng
tnh toan trong mot thi gian ngan.
S phat trien cua nhng mach vi x ly a lam thay oi viec ng dung cua
ly thuyet ieu khien vector. Kha nang toi u trong ieu khien qua o cua ieu
khien vector la nen mong cho s phat trien rong rai cua cac he truyen ong
xoay chieu (v gia thanh cua ong c xoay chieu rat re hn so vi ong c mot
chieu).

SVTH:V VN V 47-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

Ngoai nhng phat trien trong ieu khien vector, mot s phat trien ang chu y
khac chnh la viec ng dung mang neural (neural networks) va logic m (fuzzy
logic) vao ieu khien vector ang la nhng e tai nghien cu mi trong nghien
cu he truyen ong. Hai ky thuat ieu khien mi nay se tao nen nhng cai tien
vt bc cho he truyen ong cua may ien xoay chieu trong mot tng lai gan.
Trien vong ng dung rong rai cua hai ky thuat nay phu thuoc vao s phat trien
cua bo vi x ly ban dan (semiconductor microprocessor).
Vi s phat trien manh cua cac bo bien oi ien t cong suat, mot ly thuyet
ieu khien may ien xoay chieu khac han vi ieu khien vector a ra i.
Ly thuyet ieu khien trc tiep moment lc (Direct Torque Control hay viet tat la
DTC) do giao s Noguchi Takahashi a ra vao cuoi nam 80. Tuy nhien, ky
thuat ieu khien moment trc tiep van cha phai hoan hao va can phai nghien
cu them
Ket luan:
S ra i cua bo vi x ly a lam thay oi viec ieu khien toc o cua ong
c xoay chieu. ieu nay co ngha la ong c xoay chieu se c ng dung rong
rai hn va thay the phan nao cac ng dung cua ong c mot chieu trong tng
lai khong xa

SVTH:V VN V 48-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

B.GII THIEU VE BO BIEN TAN VA PHNG PHAP IEU KHIEN


B.1 Khai niem ve bo bien tan:
Bo bien tan dung e bien oi ien ap hoac dong ien xoay chieu au
vao t tan so nay sang dang ien ap hoac dong ien xoay chieu tan so khac.
Bo bien tan hien nay ang c su dung rong rai trong cong nghiep cung
nh trong i song hang ngay.V du nh trong ieu khien may lanh(air
condition) ieu khien nhiet o dung trong bep ien
ng dung chu yeu la cot loi cua bo bien tan la dung e ieu khien ong
c khong ong bo AC,thay oi tan so ngo ra tc la thay oi c toc o cua
ong c tuy nhien khi thay oi tan so ong c ma gi nguyen bien o ien ap th
se lam cho mach t bao hoa dan en dong t hoa tang,v vay khi thay oi tan so
dang ien ap th cung phai thay oi bien o dang ien ap ngo ra,va s thay oi
nay theo qui luat ieu khien v/f.
B.2 Phng phap ieu khien:
Co nhieu phng phap e ieu khien toc o ong c dung ban dan cong
suat.Cac phng phap nay gom:
ieu khien ien ap stator
ieu khien tan so
ieu khien ien tr roto
ieu khien cong suat trc roto
Phng phap 1,2 co the ap dung cho ong c khong ong bo roto long soc va
day quan.Phng phap 3,4 ch co the ap dung cho roto day quan.Tronmg pham
vi luan van th em dung phng phap ieu khien tan so ong c vi bo bien tan
nguon ap.
B.2.1 ieu khien ien ap STATOR:
Viec thay oi ien ap stator thc hien bi bo bien oi ien ap xoay chieu:

SVTH:V VN V 49-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

Goc kch ti han cua mach tnh bi cong thc:

X
th =tan -1 in
R in

Trong o Xin va Rin la tong tr cua vao cua CKDB

Vi goc kch th ien ap at len ong c khong oi va bang ien ap li


,dong qua ong c la lien tuc.Dong va ap tren ong c luc nay co dang sin.
Vi goc kch ngc lai th dong qua ong c la gian oan va ap at len ong
c giam khi tang.Mach nh hnh tren ch cho phep ong c lam viec che o
ong c va che o ham ngc
B.2.2 ieu khien cong suat trc ong c roto day quan:

* He ieu khien xung ien tr roto


ien tr roto c thay oi dung nguyen ly chopper.Khoa ban dan S gan
song song vi vi R ong cat vi chu k T trong o thi gian dan cua khoa la
Ton.Khi Ton thay oi trong khoang 0 en T th gia tr tng ng cua R cung
thay oi trong khoang R en 0.
Khoa ban dan S thng dung trasitor cong suat va vi he thong cong suat
ln th GTO cung co the c s dung.
B.2.3 ieu khien tan so ong c vi bo bien tan nguon ap:
a.Bien tan nguon ap:
Toc o ong c t le trc tiep vi tan so nguon cung cap.Do o khi thay oi
tan so nguon cung cap cho ong c th se thay oi toc o ong bo cua ong c.
SVTH:V VN V 50-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

Neu giam tan so nguon nhng gi nguyen ien ap se dan en gia tang t
thong trong khe h khong kh,va viec tang t thong se dan en bao hoa mach t
.ieu nay dan en dong t hoa tang,meo dang dong va ap cung cap gia tang ton
hao loi va ton hao ong stator va gay tieng on c tan so cao.
Ngc lai t thong khe h khong kh giam di mc nh mc se lam giam
kha nang tai cua ong c.V vay viec giam tan so ong c di tan so inh mc
thng i oi vi viec giam ien ap pha sao cho t thong trong khe h khong kh
c gi khong oi va khi lam viec vi tan so ln hn nh mc thng gi ien
ap cung cap khong oi va bang nh mc do gii han cach ien cua stator hoac
cua ien ap nguon.
Tai iem lam viec nh mc:
Edm
Im =
fdm .2..Lm

Tai tan so lam viec f:


E
I =
m a. f .2. .L
dm
m
ieu kien e dong Im khong oi va bang nh mc : E=A.Em
Cho thay t thong ong c c gi khong oi neu E/f c gi khong
oi.Neu bo qua sut ap tren ien tr va ien khang tan cua stator ,co the coi E=V
va nguyen tac E/f thay bang V/f.
*phng phap V/f=const:
Trong phng phap nay khi ieu chnh tan so f t so V/f c gi khong oi va
thng la gi bang t so nay nh mc.
ac tuyen ieu khien:

Ta co cong thc moment nh mc ng vi s o n gian cua ong c:

SVTH:V VN V 51-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG


2 R'
Vm . 2
3 s
M= . 2

b '
R 1 + R 2 + X1 + X '2
2

s
( )

Va moment cc ai che o nh mc:


2

3 Vm
Mmax = .
2.b
(
R 1 R 12 + X1 + X '2 )
2

Khi thay cac gia tr nh mc bang gia tr o nhan vi t so a (aum, aVm,


aX), Ta co c cong thc moment cua ong c tan so f khac nh mc:

R'
Vm2 . 2
3 a.s ,a <1
M= .
b R1 R2' 2 2

+ + X1 + X ' ( )
a as 2

Va moment cc ai tan so f khac nh mc:


3 Vm2
Mmax = . , a < 1
2.b R 2
R 2
(
1 1 + X1 + X '2 )
a a
Da theo cong thc tren ta thay, cac gia tr X1 va X2 phu thuoc vao tan so,
trong khi R1 lai la hang so. Nh vay, khi hoat ong tan so cao, gia tr
(X1+X2)>> R1/a, sut ap tren R1 rat nho nen gia tr E suy giam rat t dan en t
thong c gi gan nh khong oi. Moment cc ai cua ong c gan nh khong
oi.
Tuy nhien, khi hoat ong tan so thap th gia tr ien tr R1/a se tng oi
ln so vi gia tr cua (X1+X2), dan en sut ap nhieu ien tr stator khi
moment tai ln. ieu nay lam cho E b giam va dan en suy giam t thong va
moment cc ai.
e bu lai s suy giam t thong tan so thap. Ta se cung cap them cho
ong c mot ien ap Uo e cung cap cho ong c t thong nh mc khi f=0. T
o ta co quan he nh sau:
U=Uo+K.f
Vi K la mot hang so c chon sao cho gia tr U cap cho ong c bang
Um tai f=fm.
Khi a>1 (f>fm) tan so hoat ong ln hn tan so nh mc .ien ap c
gi khong oi va bang nh mc. Khi o ong c hoat ong che o suy giam t
thong.

SVTH:V VN V 52-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

Gia tr moment M va Mmax c tnh nh sau:

2 R2
'

V .
3 m
a.s
M = . ,a>1
b R '2 2 2 ' 2
(R + ) +a .(X1 +X 2 )
1 a

2

. ,a > 1
3 Vm
M max =
2.a.b R 2
+a 2 ( X1 +X '2 )
2
1 ( R1 )

V a>1 gia tr Mmax se giam khi f tang


b.So sanh bien tan nguon ap va bien tan nguon dong:
+Trong bo bien tan nguon dong, khi hai khoa ban dan trong cung mot nhanh
cua bo nghch lu cung dan(do kch nham hoac do chuyen mach),dong ngan
mach qua hai khoa c han che mc cc ai xac nh trc do tac dung cua
mach ieu khien nguon dong chnh lu.Trong bo bien tan nguon ap, viec nay co
the gay ra s co ngan mach lam hong khoa ban dan.Do o co the xem bien tan
nguon dong tin cay va chac chac hn bien tan nguon ap.
+Do mach chnh lu tao nguon dong co the hoat ong che o tra nang
lng ve nguon,bo bien tan nguon dong de dang lam viec che o ham tai
sinh.Vi bo bien tan nguon ap,e hoat ong c che o ham tai sinh,can
them vao he thong mot cau chnh lu ieu khien hoan toan.
Trong trng hp mat nguon li khi ang hoat ong,bo bien tan nguon ap
co the hoat ong che o ham ong nang, nhng bo bien tan nguon dong khong
the hoat ong c che o nay khi o.
Bo bien tan nguon dong thng c s dung cuon khang Ld kha ln trong
mach chnh lu tao ra nguon dong,ieu nay lam ap ng qua o cua he thong
cham hn so vi bo bien tan nguon ap kieu PWM
Vi bo bien tan nguon ap,de dang ap dung k thuat PWM e ieu khien
ong ngat cac khoa ban dan.K thuat PWM cho phep giam ton hao do song hai
gay nen tren ong c, khong gay ra momen ap mach lam rung ong c toc o
thap.Tuy nhien,k thuat ieu che kieu PM kho ap dung cho bien tan nguon
dong,thng ch co the s dung tan so hoat ong thap.
Khi hoat ong v nguon cap la DC bo bien tan nguon ap nho gon va re tien
hn so vi bo bien tan nguon dong-thng kong kenh hn do phai s dung cuon
khang Ld ln va cac tu chuyen mach co gia tr cao
Dai ieu chnh bien tan nguon dong thap hn dai ieu chnh tan so cua bien
tan nguon ap.

SVTH:V VN V 53-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

B.3 Phng phap xuat xung:


B.3.1 Phng phap xuat xung theo space vector (SVM):
Phng phap ieu che vect khong gian xuat phat t cac ng dung cua vect
khong gian trong may ien xoay chieu, sau o c m rong trien khai trong
cac he thong ien ba pha. Phng phap ieu che vect khong gian va cac cai
bien cua no co tnh hien ai, giai thuat da chu yeu vao ky thuat so va la cac
phng phap c s dung pho bien nhat hien nay trong lanh vc ien t cong
suat lien quan en ieu khien cac ai lng xoay chieu ba pha nh ieu khien
cac mach loc tch cc, ieu khien ong c khong ong bo ba pha.
S o cua bo bien tan 6 khoa ieu khien ong c khong ong bo ba pha

6 khoa t S1 en S6 c ieu khien t 6 nguon xung clock(a,b,c,a,b,c.Khi


nhng khoa tren eu on het th nhng khoa di phai off het.Do o trang thai on
of cua ba khoa di co the ieu chnh c ien ap ngo ra.
Sau ay la 8 trang thai vector ien ap V0 en V7

SVTH:V VN V 54-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

ien ap pha va ien ap day ng vi 8 trang thai:

SVTH:V VN V 55-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

8 vecto nay bieu dien len he truc toa o dq:

Tai sao lai co the bieu dien gia tr cac vecto tren he toa o nay ta lay v du gia
tr vecto V1 at trung vi he toa o d ng vi trang thai xung kch la 100 (S1 S3
S5).Ta tnh toan cac gia tr ien ap Uday :Uab=UDC,Ubc=0, Uca=-UDC
 Vreg = V1 = k *(Vab + Vbc + Vca ) .Tng t vi V2 ta c nhng hnh di ay
    

T o ta ac toa o truc q trung vi truc cua vecto V1 th c cac phan bo cac


vecto nhng hnh tren.
Vreg la ket qua trang thai ngo ra nh vao trang thai ong cat Fpwmva tan so ong
cat nay quyet nh thi gian Ts cho vecto Vreg : Ts=1/Fpwm.co nhieu phng
phap ong cat e tao nen Vreg t V0 en V7:

SVTH:V VN V 56-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

vi Vs=Vreg
Khi trang thai ong cat nhay t 1-2-3-4-5-6-1-2 th no c goi la phng phap
six step nhng phng phap nay co he so THD cao va dang song ngo ra khong
c sin.
Con oi vi phng phap SVM khoa ong cat tang theo qui luat vecto Vreg
quay theo vong tron,trang thai cuoi cung cua 1 chu k phai la trang thai au tien
cua chu k tiep theo.
Tnh toan e tao ra vecto Vreg:

TA T T
Vs = ( *V1 ) + ( A *V2 ) + ( 0 / 7 *V0 / 7 )
TS TA TS
vi Ts=Ta+Tb+T0/7
ng vi moi gia tr goc quay trong moi vung cua moi vecto th se co cac gia tr
khac nhau cua Ta TB e update cho duty cycle:

vi m=Vs/VDC

SVTH:V VN V 57-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

Bang gia tr update cho duty cycle:

a.sector1

b.sector2

SVTH:V VN V 58-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

c.sector3

d.sector4

SVTH:V VN V 59-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

B.3.2 Phng phap xuat xung theo SIN:


Trong phng phap nay ta se so sanh hai tn hieu o la tn hieu song sin
va tn hieu song mang(tn hieu song mang la tn hieu song tam giac co tan so
cao nhng tan so nay co gii han v phu thuoc vao tan so ong cat cua
mosfet.Neu bien o song mang ln hn bien o song sin th xung nhan c
mc 1,ngc lai neu bien o song sin ln hn bien o song mang th xung mc
0.C nh vay ta se c xung vi tan so khac nhau.
Neu tan so song mang cang cao th se han che tan so hai bac cao cua tn
hieu song ieu khien tc se giam o nhap nho cua song ieu khien.
Song ieu khien ur mang thong tin ve o ln tr hieu dung va tan so song
hai c ban cua ien ap ngo ra.oi vi bo nghich lu ap ba pha,ba song ieu
khien cua ba pha phai c tao lech pha nhau mot goc 120o .Trong trng hp
bo nghch lu ap mot pha ta can tao lech pha nhau chu ky cua no
e ieu khien c ong c khng dong bo ba pha ta can song ien ap ba
pha lech nhau 1200 nh hnh sau:

SVTH:V VN V 60-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

ien ap pha:

ien ap day:

Muong giam o nhap nho cua tn hieu song ieu khien th ta phai tang
tan so ong cat cua linh kien tc tang tan so song mang

SVTH:V VN V 61-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

Viec tang gia tr mf se dan en viec tang gia tr tan so cac song hai xuat
hien,muong vay phai tang tan so song mang nhng iem bat li la ton hao do
ong cat ln
Goi ma la t so ieu che bien o
U sin
ma =
U carry
oi vi bo nghch lu ap ba pha bien o ap hai c ban :
U
U tm = ma .
2

Giai thuat xuat xung trong vi ieu khien:


Thong qua tan so at t may tnh hoac phep bien oi A/D a vao tnh toan ap
cap cho ong c da vao cong thc:
Ureg=a.freg
U dm Vi: :(a=4.4 vi Um=220 fm=50)
a=
f dm

Da vao cong thc cua bo nghch lu ieu rong xung SINPWM, ng vi gia tr
cua hai c ban bang vi gia tr Ureq, ta tnh c t so ieu bien.

2.U SIN (1) 2.U req


ma = =
U DC U DC
Gia tr Udc co the chon sao cho ng vi tan so nh mc 50Hz th ap cap cho ong
c la 220 va t so ieu bien ma=1.
T ma ta co the tnh toan bien o song sin update cho duty cycle:

U = ma *U carry
sin
Gia tr Usin c c nap vao thanh ghi PDC e tao duty cycle
Con gia tr song sin n v c tao bang phng phap tra bang.Bang sin
mau c tao bang 720 gia tr vi gia tr tang la 0, moi chu k lay mau sin ta goi
la chu k lay mau va tan so cua song sin mau nay phu thuoc vao chu k lay mau
song sin trong bang
Neu goi fsin la tan so song sin mau Tsin=1/fsin
Cong thc tnh chu k lay mau song sin:
Tcycle=Tsin/720 (s)
Van e at ra ay la ng vi 1 gia tr cua tan so th bat buoc phai lay het
gia tr cua ban sin e tao ra c tan so mong muon.Ma trong giai thuat xuat
SVTH:V VN V 62-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

xung ieu khien th moi chu k update bang sin th co nh (do moi chu k ngat
PWM se lay 1 gia tr trong ban sin).ieu nay phu thuoc vao tan so song mang
ieu khien .Neu moi lan ngat PWM tang bien con tro len 1 e lay gia tr ke can
cua ban sin th ch co the tao c 1 tan so song sin ma thoi
Tsin =TPWM*720
Do o c moi lan ngat PWM neu ch nhay ti so ke tiep cua ban sin th se
khong tao c tan so song sin mong muong.
Do o giai thuat e ra la ng vi 1 tan so song sin th se co 1 bc nhay
trong viec lay gia tr ban sin.Neu tan so song sin cang thap (tc Tsin cang cao
th bc nhay se thap i e sau khi update het ban sin se mat thi gian la Tsin)
va ngc lai neu tan so song sin cang cao th bc nhay se ln e nhanh tro het
ban sin.
Mac khac bc nhay nay lai phu thuoc vao tan so song mang
+ Cong thc tnh toan e cho ra bc nhay :
-Goi Fsin : la tan so song sin
Fpwm: la tan so song mang ieu khien
Gia tr bang sin la 720 gia tr
-Thi gian ngat PWM: TPWM=1./FPWM
-Thi gian tro het ban sin la :T= TPWM*720=720/ FPWM
-Khi co 1 tan so song sin Fsin,bc nhay e khi tro het bang sin th thi gian tro
het bang sin phai bang vi chu k song sin hien tai.
720 1 1
. = vi K la bc nhay
Fpwm K Fsin
720
 K= .Fsin
Fpwm
VD: Vi tan so PWM thay oi
+ Fpwm = 5 KHZ : K=0.144* Fsin
+ Fpwm = 4 KHZ : K=0.18 * Fsin

(*_*) Ve viec x ly chong trung dan:


-oi vi phan cng th viec chong trung dan c thc hien bang con
IR2136,con nay co chc nang se khoa xung ra neu co hien tng trung dan
xay ra
-oi vi viec x ly chong trung dan bang phan men th do trong modun PWM
cua pic18f4431 co 1 thanh ghi chuyen dung e ieu khien thi gian len va
xuong cua he thong xung PWM ngo ra (DTCON0).

SVTH:V VN V 63-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

va ch can thiet lap gia tr trong thanh ghi nay th co the ieu chnh c
dead time.Trong phan men lap trnh th thiet lap thi gian len xuong cua
xung PWM la 2us.

SVTH:V VN V 64-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

PHN 4:S O KHOI VA LU O GIAI THUAT


4.1 S o khoi cua giai thuat ieu khien:

4.2 Lu o giai thuat:

SVTH:V VN V 65-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

HANDLE

ENABLE
INTERRUPT PWM

SELECT
DIRECTION

FORWARD REVERSE

DAO=0 DAO=1

Start motor

Freg=freg+1

Delay and
wait interupt
PWM

yes
Interrupt pwm ? interrupt

No

no Get table sin


Freg==Fdat ?

yes
Caculator
prameter to
Read_adc
update
dutycycle

Convert adc
to freg

Delay and
wait interupt
PWM

SVTH:V VN V 66-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

PC CONTROL

GET VALUE
FROM PC RUN

ENABLE
INTERRUPT PWM

FREG=RUN

SVTH:V VN V 67-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

PHAN 3:MACH ONG LC VA IEU KHIEN


I.S O KHOI TONG QUAT VE HOAT ONG CUA MACH:

MACH ONG LC
human
MOTOR
MACH

IEU

KHIEN
computer

FEEDBACK

1.1Mach ieu khien :


S o:

COMPUTER
PWM
MAX-232

PIC
18F4431

AD

LCD DISPLAY

BUTON

Giai thch hoat ong cua mach ieu khien:


1.1.a Chip master va claver:
Hai chip nay la loai vi ieu khien co ten la 18F4431 1con dung lam master
va con con lai dung lam claver.
Master co chc nang tnh toan thong so ieu khien theo phng phap v/f,cu
the la quy oi thong so t module A/D ve ra tan so va tnh toan cac thong so
khac va hien th ket qua ra LCD.Giao tiep I/O ben ngoai qua cac buton(nut
nhan).Giao tiep may tnh thong qua RS-232 e nhan d lieu t may tnh a ve
x ly.S dung module Feedback e o hoi tiep toc o ve roi xuat toc o ra LCD.
Claver nhan thong so tnh toan t master ve update thong so pwm xuat ra
xung ieu khien ong c va con nay c viet bang ngon ng co ien nhat cho
PIC la asm.

SVTH:V VN V 68-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

Hai con nay giao tiep vi nhau thong qua module i2c .Master truyen d
lieu update pwm cho con claver x ly va suat xung pwm ieu khien ong c.

1.1.b khoi giao tiep may tnh:


khoi giao tiep may tnh giao dien dung ngon ng visual basic truyen d lieu
thong qua giao thc RS-232 cho con master.va ieu khien ong c t PC.
1.1.c khoi buton:
Gom ba nut nhan nut START STOP INVERT
Giao tiep vi pic thong qua he thong I/O dung e ieu khien cho ong c
khi ong cho ong ao chieu ong c va dng ong c
1.1.d khoi a/d:
Dung hai bien tr dang a e bien oi analog ra digital a ve x ly qui
oi ra tan so tnh toan va c noi vi chan RA0 cua chip master.

1.2 Mach ong lc:


S o:

Giai thch hoat ong cua mach ong lc:


1.2.1 Mach lai
a.Tai sao phai s dung mach lai?
Co hai s la chon cho cac khoa ong ngat cong suat e ieu khien ong
c la MOSFET va IGBT v ca hai eu co kha nang chu dong va ap cao.
Noi chung , nhng loai ong c ma s dung cac khoa ong ngat (MOSFET
, IGBT ) e ieu khien th eu can dung en mach lai (gate drive scheme). Co 2
SVTH:V VN V 69-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

phan c ban trong viec ieu khien cac ong ngat cac linh kien cong suat la: ieu
khien pha cao (high side Q1) va pha thap (low side Q2).

Trong v du tren Q1 va Q2 luon trang thai lam viec oi nghch nhau. Khi
Q1 trang thai ON th Q2 trang thai OFF va ngc lai.
Khi Q1 ang trang thai OFF chuyen sang trang thai ON => chan S
(MOSFET) hay chan E (IGBT) cua Q1 chuyen t ground sang ien ap cao
( high voltage rail). Do o muon kch Q1 tiep tuc ON th phai tao ien ap kch
VGS1 co gia tr VGS1= VSQ1 + #V.Trong khi o tn hieu ra cua vi x ly ieu khien
ong ngat cac khoa ch co gia tr ien ap +5V (so vi ground). Nen can phai co
mach lai e tao troi ap va cach ly trong viec ong ngat pha cao Q1.
Tuy nhien oi vi Q2 th chan S c noi ground , do o ien ap kch VGS2
ch can co gia tr #V. Do o viec ong ngat khoa low side (Q2) c ieu khien
de dang hn .
Ghi chu:
#V: gia tr ien ap can thiet e kch Q1 hay Q2 dan. oi vi MOSFET va
IGBT #V co gia tr t 10 en 15 (V).
b.Cac s o mach lai e ieu khien ong ngat MOSFET hay IGBT
Co 3 dang s o c ban nh sau:
Single ended or double ended gate drive transfomer.
High voltage bootstrap diver Ics.
Floating bias voltages and opto isolater drive.

SVTH:V VN V 70-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

Single ended or double ended gate drive transform


Single ended gate drive transfomer:

**S dung s o mach nh hnh 9 th co mot so u iem sau:


au tien viec kch ong ngat Q1 (high side) c giai quyet. Tiep theo,
mach nay co the tao ra ien ap kch vi gia tr ien ap am va dng (positive
and negative gate drive voltage). Neu s dung IGBT th ien ap kch am th that
s can thiet va hu dung, v IGBT can ien ap phan cc am e tr ve trang thai
OFF. oi vi MOSFET th ien ap kch am la khong mong i v no lam tang
thi gian delay. Mot so linh kien c them vao e lam mat ien ap kch am
nay c bieu dien Figure 13. Khi o Diode se khoa ien ap kch am khi no
xuat hien.

Double ended gate drive transfomer:

SVTH:V VN V 71-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

Vi hnh 10 th MBA co the lai theo hai hng. Do o ma co the ieu


khien ong kch oi nghch Q1 ON, Q2 OFF va ngc lai. Loai nay thng dung
cho opto mach ban cau hay toan cau (half or full birdge topologies). Va e khoa
ien ap kch am th co the s dung them cac linh kien nh hnh 13.

High voltage bootstrap diver Ics

Hnh 11 a ra mot giai phap e ieu khien kch ong ngat pha cao Q1, va
hn the na no khong oi hoi ngi dung can phai co kien thc ve may bien ap
.Nhng ICs loai nay s dung mach dch mc (level shifting circuitry) bang tu C
bootstrap e lai pha cao.
Trong suot thi gian ON cua Q2 chan S cua Q1 co ien the la ground. ieu
nay cho phep tu Cboot c nap (thong qua diode D1) en gia tr VBIAS. Khi Q2
c kch OFF va Q1 c kch ON th ien ap chan S cua Q1 bat au tang len.
SVTH:V VN V 72-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

Tu Cboot luc nay ong vai tro cua nguon phan cc, cung cap dong e lai pha cao
Q1.
Nhc iem mach lai loai nay la co thi gian delay gia tn hieu input va
tn hieu ong ngat cac khoa ban dan. Thi gian tr hoan t 500ns 1us. No co
the la van e khi tien hanh cac ng dung hoat ong tan so cao (nhng tan so
hoat ong cua ong c < 60Hz).
Floating bias voltage and opto isolater drive

S o nh hnh 12 tren rat thng c dung cac ng dung cong suat


ln, ni ma cac module IGBT/MOSFET c s dung. Trong cac ng dung o
th cac khoi mudule c at cach xa cac mach ieu khien. ieu nay gay kho
khan trong viec dan cac tn hieu lai cong (gate drive signal) en cac module v
khang tr cua ng day se gay rung (ringing) cac cong cua module. V ly do
o mach phan cc cach ly thng c gan tren cac PC card va noi trc tiep en
cac IGBT/MOSFET module.
Vi s o nay, ch nhng tn hieu can c mang en module la nhng
ng tn hieu nho c lai bi opto isolater.
c.IR2136 (High voltage bootstrap diver ICs)
Trong cac phng an co bien ap xung, trng hp xung ieu khien co canh
tac ong keo dai hoac tan so thap, bien ap xung sm at trang thai bao hoa va
ngo ra cua no khong phu hp yeu cau ieu khien. Do o ta nen s dung loai
high voltage bootstrap diver ICs.
Gii thieu:

SVTH:V VN V 73-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

IR2136 la loai IC chuyen dung e lai MOSFET va IGBT cua hang IR -


International Rectifier. IC nay co 3 kenh output oc lap (moi kenh gom high
side and low side) dung cho cac ng dung 3 pha.
Cac thong so
Cac kenh troi ap thiet ke cho che o bootstrap co the len en +600V.
Chong dV/dt (dV/dt immune)
ien ap kch cong t 10V 20V.
Undervoltage lockout for all channels.
Chong qua dong: sau tn hieu driver outputs se b tat khi khi qua dong
xay ra (Thong qua chan ITRIP cua IR).
Logic inputs tng thch vi CMOS hay LSTTL outputs, co the xuong
en 3.3 V
Giam di/dt cho cac tn hieu lai cong, do o chong nhieu tot hn.
Co the ieu chnh thi gian delay cho che o t ong xoa loi
(automatically fault clear), thong qua chan FAULT cua IR.
S o ket noi tieu bieu do hang IR cung cap

SVTH:V VN V 74-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

S o chan cua IR2136

nh ngha cac chan cua IR2136


VCC Nguon cung cap 15VDC
VSS Ground
HIN1,2,3 Logic input cho pha gate diver outputs (HO1,2,3,), tch cc mc thap
LIN1,2,3 Logic input cho pha gate diver outputs (LO1,2,3,), tch cc mc thap
FAULT Phat hien qua dong (ITRIP) hay low side undervoltage lockout xay ra
EN Logic input cho phep chc nang I/O .
ITRIP Anolog input . Khi hoat ong, ITRIP khoa cac ngo ra va kch hoat chan
FAULT va RCIN. Khi ITRIP tr ve trang thai bnh thng (inactive),
FAULT
van tch cc set thi gian TFLTCLR, sau o t ong inactive (open drain
high impedance).
RCIN at thi gian FAULT CLEAR delay
COM Low side gate return
VB1,2,3 High side floating supply
HO1,2,3 High side gate driver output
VS1,2,3 High voltage floating supply returns
LO1,2,3 Low side gate diver output
1.2 Mach cach ly
Cac mach phat ra tnh hieu e ieu khien mach cong suat dung ban dan
thng yeu cau cach ly ve ien. ieu nay co the thc hien bang opto hoac bang
bien ap xung.
a.Bien ap xung:
Gom mot cuon day s cap va co the nhieu cuon th cap. Vi nhieu cuon
day pha th cap, ta co the kch ong nhieu transistor mac noi tiep hoac song
song.
SVTH:V VN V 75-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

Bien ap xung can co cam khang tan nho va ap ng nhanh. Trong trng
hp xung ieu khien co canh tac ong keo dai hoac tan so thap, bien ap xung
sm at trang thai bao hoa va ngo ra cua no khong phu hp yeu cau ieu khien.
b.Opto thng :
Gom nguon phat tia hong ngoai dung diode (IR - LED) va mach thu dung
phototransistor. Do o thoa man yeu cau cach ly ve ien, ong thi ap ng cua
opto tot hn may bien ap xung.

Khuyet iem:co tan so ong cat khong cao


c.Opto cao tan:
iem han che khi dung opto thng la khi tan so ong cat cao th tn
hieu ra se b meo dang,nen khi dung con nay phai i kem vi con IC-4049 e
sa dang xung ra t con opto thng.That chat la cho tn hieu ra cua opto qua
cong not e tn hieu t 2.5 tr xuong th no se cho ve mc 0 va 2.5 tr len th no
cho len mc 1,v the no se lam cho dang xung ngo ra vuong hn.
oi vi opto cao tan th no khac phuc c iem yeu o,khong dung con
IC-4049 dang xung ngo ra at c khong khac may so vi dang xung ngo vao
cap cho opto.Va a kiem nghiem hoat ong co tan so len en 100khz th dang
xung ngo ra mi bat au bien dang oi chut so vi dang xung ngo vao.
ieu ac biet cua con nay la co hai kenh vao va hai kenh ra thuan tien
trong viec thiet ke.

SVTH:V VN V 76-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

Cac ac iem:
+Nhiet o chu c:-40800C
+Dong hoat ong:input= 5mA output=13mA (max)
+Input voltage:5V
+TEHL=TEHL=20ns
1.3 Mach MOSFETS:
a. linh kien s dung trong bo nghch lu:
Co hai loai chnh cho viec s dung khoa ong cat cong suat trong viec ieu
khien ong c o la mosfet va TGBT.Ca hai loai eu la linh kien c ieu
khien bang ap ngha la viec dan va ngng dan cua linh kien c ieu khien
bang mot nguon ap noi vi cc gate cua linh kien thay v la dong ien trong cac
bo nghch lu s dung transitor nh trc ay.V vay cach s dung loai linh kien
nay lam cho viec ieu khien tr nen de dang hn trc hn.

Viec ong cat linh kien cung gay nen ton hao cong suat
b.ac iem ng dung :
Thong thng th MOSFET c s dung vi cac ng dung oi hoi toc o
cao,tuy nhien no khong co kha nang chu c dong cao.Trong khi o IGBT
thch hp vi cac ng dung toc o thap,tuy nhien IGBT co kha nang chu dong
cao.V vay tuy vao ac iem cua cac ng dung ma co s la chon linh kien cho
phu hp.
IGBT la linh kien co tan so ong cat gii han thap hn so vi MOSFET,v vay
dan en ton hao cong suat do ong cat linh kien se cao hn oi vi MOSFET

Viec la chon link kien phu thuoc vao ien ap DC can cung cap cho bo
ngch lu va dong ong c:
Da vao ac iem nay ta chon con mosfet co so hieu nh sau:
SVTH:V VN V 77-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

1.4 Mach chnh lu:


ien ap VDC au ra cua bo chnh lu:
U dc
+Trong phng phap SINPWM: USIN =ma.
2
+e ong c van hanh che o nh mc (gia tr Vpha =220 2 ) va chon ma =1
th gia tr VDC:
2 *U SIN
=> VDC 622(V )
ma
a) Phng phap chnh lu cau ba pha khong ieu khien :
Ta s dung phng phap chnh lu cau vi 6 diode

SVTH:V VN V 78-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

Tr trung bnh ien ap au ra khi chnh lu cau 3 pha (khong ieu khien):
3 6 *Vpha
VDC = cos 515 (V) VDC yeu cau

+Vpha : tr hieu dung ap pha nguon (220 VAC)
+ = 0 : bo chnh lu khong ieu khien
Mac dau phng phap dung cau chnh lu ba pha nay cho ap chnh lu phu hp
vi phng phap ieu khien nhng trong pham vi luan van va theo tieu ch thiet
ke bo bien tan nho gon va re tien nen dung phng phap nay qua ton kem va
kha kong kenh v tu dung e nan dong va lam phang dang ien ap kha
ln(>1000uF va >550V).
Nen trong pham vi luan van th dung bo chnh lu cau 1 pha khong ieu khien.

SVTH:V VN V 79-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

b.Phng phap chnh lu cau 1 pha khong ieu khien:

Trong ieu kien thc te, neu ch co nguon 1 pha e thc hien chnh lu th ien
ap VDC sau chnh lu :
2 2 *Vpha
VDC = cos 200(V ) => ong c se khong the van hanh het nh mc

Phng phap nay de thc hien lai khong ton kem nho gon

c.Chon linh kien cho bo chnh lu:


+Tai yeu cau thc te: ong c 2 HP =1500 w
+Bo chnh lu ch at 200V
+Thiet ke gon nhe re tienDong qua diot:
1500
ID = =4.26A
2*220*0.8

SVTH:V VN V 80-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

Chon cau iot co kha nang chu dong 10A


Chon tu 1000 F ,400V e nan dong
Mot van e nay sinh trong trng hp nay la neu bo chnh lu ch gom tu va
diode th ban au khi mi cam ien dong nap tu kha ln co the lam hong diode
v vay ta can phai co cuon cam e bao ve linh kien
II. S MCH IU KHIN VE MCH NG LC TRONG ORCAD:
2.1 Mch iu khin:

U19 KHOI NGUON


CON6M U4

3
VCC U6 U5

1
LM7805/TO JACKDC
3 1 4 - + 1 1
VOUT VIN

1
PGC

PGD

MCRL
VCC

GND
R_LED C5

2
C5_1 CAU-DIODE
VCC 10uF 1000u

2
330

2
CLAVER PORTB_1
PORTA_1 MCRL 1 40 PGD 8 PORTB_PWM
6 RA0 2 MCRL RB7/PGD 39 PGC 7 8 7 LED9
U16 6 5 3 RA0/AN0 RB6/PGC 38 PWM4 6 7 PWM5 6 7 VCC
5 4 RA2 4 RA1/AN1 RB5/PWM4 37 PWM5 5 6 PWM4 5 6 LED9 NGUON JP4
4 3 RA3 5 RA2/AN2 RB4/PWM5 36 PWM3 4 5 PWM3 4 5 2 4
ZENNER 3 2 RA4 6 RA3/AN3 RB3/PWM3 35 PWM2 3 4 PWM2 3 4 2 1 4 3
2 1 RA5 7 RA4/AN4 RB2/PWM2 34 PWM1 2 3 PWM1 2 3 1 3 2
1 RA5/AN5 RB1/PWM1 33 PWM0 1 2 PWM0 1 2 2 1
8 RB0/PWM0 1 1 1
VCC PORTA_1 9 RE0/AN6 VU VCC
VCC 10 RE1/AN7 VCC PORTB_1 PORTB_PWM 2 CON4 VCC
RE2/AN8 32 2 1
R1 C3_4 11 Vdd 31 1
1K 12 Vdd Vss
104 Vss
MCRL OC1 13 PORTD_1
OC2 14 OSC1/RA7 30 D7 8
OSC2/RA8 RD7/PWM7 29 D6 7 8 VCC
SW1 C0 15 RD6/PWM6 28 D5 6 7
C4 C1 16 RC0 RD5/PWM4 27 D4 5 6
OC1 C2 17 RC1/CCP2 RD4 22 D3 4 5
JP3

16
Y2 C3 18 RC2/CCP1 RD3/SCK 21 D2 3 4 RA5 1 U10
4MHZ 22P C4 23 RC3/INT0 RD2/SDI 20 D1 2 3 RA6 2 1 C_C3

VCC
C5 24 RC4/SDA RD1/SDO 19 D0 1 2 3 2 1 2
OC2 C6 25 RC5/SCK RD0 1 4 3 C_1 C+ V+ C_cocuc C_C4
22P C7 26 RD6/TX/CK 4 6 C_cocuc
C3 RC7/RX/DT PORTD_1 1UF 3 V-
CON4
PIC18F4431 C1- 9
VCC 4 R2OUT
C2+ 7
1UF C_C2 5 T2OUT
U7
C2- 10 5
T2IN 9
PORT_led
8 4
C_C5 R2IN 8
PORTD_led
VCC C_cocuc RS3 3
8
7
6
5
4
3
2
1

RS2 14 11 C6 7
T1OUT T1IN
3

JP1 R17 RS2 2


2 R_VAR1 R_VAR2 6
8
7
6
5
4
3
2
1

2 1 RS3 13 12 C7 1

GND
1 2 2 10K R1IN R1OUT
1k 10K COM9NS
CON 2 MAX232

15
1

R16
R2 R3 R4 R5 R6 R7 R8 R9
330 330 330 330 330 330 330 330

1k
JP2
CON4
LED1 LED2 LED3 LED4 LED5 LED6 LED7 LED8
LED1 LED2 LED3 LED4 LED5 LED6 LED7 LED8

1
2
3
4
1
2
3
4
C0 C3

C1
C2
VCC
3

U18

2 R12 R13 R14 R15


VCC 10k 330 330 330 330
VCC VCC
D3
D2
D1
D0

2 2 2
D6
D5

D7

R5_EN VCC LED_buton2


1

R6_EN R7_EN LED_buton LED_buton1 LED_buton3

10k 10k 10k


16
15

14
13
12
11
10
9
8
7

6
5
4

3
2
1

1 1 1
Lam+

RS
DB7
DB6
DB5
DB4
DB3
DB2
DB1
DB0

Vee
Lamp-

R/W

VCC
GND

R2_EN
RA3 1 2
1k FEEDBACK SW4 SW5 SW6 SW7
1
RA4 1 2 2 1
1k R3_en 3 2
4 3
RA2 1 2 5 4
5 U17
R4_EN1k
VCC FEEDBACK VCC
LCD

SVTH:V VN V 81-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

2.2 Mch ng lc:

UDC

2
Q1 Q3 Q5
IRFP450N IRFP450N IRFP450N

470uF 450V

470uF 450V
D4 D5 HO1 1 HO2 1 HO3 1
10A 10A

3
J7 VS1 VS2 VS3 J5
220VAC C7 C6 Motor
1 220VAC1 + + PHASE A 1
2 220VAC2 PHASE B 2
PHASE C 3

2
Q4 Q6 Q2
IRFP450N IRFP450N IRFP450N J6
D6 D7 LO1 1 LO2 1 LO3 1 HO1 1
10A 10A VS1 2 1
HO2 3 2

3
VS2 4 3
HO3 5 4
COM VS3 6 5
LO1 7 6
LO2 8 7
0 LO3 9 8
10 9
10
CON10

5V_1 U5_ Q LM 78 12 C

U3 L M 7 80 5C 1 3

GND
IN OUT J9
1 3 D19_Q
GND

IN OUT

+ 1
1
D15 D17 J7_Q 2

2
+ 1

LED C18_Q
J5 1 2 3
2

C11 2 C19_Q 100uF C21_Q CON2_0


1 2 3 470uF
2 C12 100uF C13 C16 104
-

470uF 10uF 6V_AC BRIDGE_3A


104 R1
4
-

6V_AC BRIDGE_3A 330


4

15V
5V_2
U4 LM7815C
U5 LM 78 05 C
1 3
GND

IN OUT 1 3
GND
D18 IN OUT
D16
+ 1

LED D19 D20


+ 1

J6 LED
2

C10 J7
2

1 2 3 C14 C15 C17 C18


2 470uF 100uF 10uF 104 1 2 3
2 C19 100uF C20 C21
R2 470uF 10uF
-

12V_AC BRIDGE_3A 1k 104 R3


-

6V_AC BRIDGE_3A
4

330
4

SVTH:V VN V 82-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG
5V_1

5V_2

R35 R42
L1
220 220 H1
J8 R33 220 U10 + C7 L2
1 PWM0 1 8
2 PWM1 Vin1+ VCC 0.1uF
3 PWM2 2 7 H2
4 PWM3
0 Vin1- Vout1 L3
5 PWM4 3 6 H3
6 PWM5 Vin2- Vout2
7 4 5
Vin2+ GND
R34 220 HCPL2631
0

5V_1

5V_2

R43 R44

R37 220 U11 + C8


1 8
Vin1+ VCC 220 220 0.1uF
2 7
0 Vin1- Vout1
3 6
Vin2- Vout2
4 5
Vin2+ GND
R38 220 HCPL2631

5V_1

5V_2

R36 R45 + C9
R40 220 U12
1 8 0.1uF
Vin1+ VCC 220 220
2 7
0 Vin1- Vout1
3 6
Vin2- Vout2
4 5
Vin2+ GND
R41 220 HCPL2631

SVTH:V VN V 83-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

15V
D3 f ulse diode

D2 1N4007

D1 1N4007

1 28
H1 R26 100 2 VCC VB1 R20
H2 R27 100 3 HIN1 U1 27 + C1 HO1
H3 R28 100 4 HIN2 IR2130 HO1 1uF
HIN3 100
26 VS1
L1 R29 100 5 VS1
L2 R30 100 6 LIN1
L3 R31 100 7 LIN2 24
LIN3 VB2 R21
FAULT 8 23 + C2 HO2 J1
ENABLE 10 FAULT HO2 1uF OUTPUTS
EN 100
22 VS2 HO1 1
VS2 VS1 2
HO2 3
20 VS2 4
VB3 R22 HO3 5
19 + C3 HO3 VS3 6
HO3 1uF LO1 7
100
15V 18 VS3 LO2 8
VS3 LO3 9
15V 25 COM 10
17 25
R32 21 17 16 R23 100 LO1
+ C6 21 LO1
100
10uF 15 R24 100 LO2
11 LO2
RCIN 14 R25 100 LO3
ITRIP 9 LO3
+ C4 ITRIP
ITRIP .1uF 12 13 COM
VSS COM

+ C5
10uF
RVAR1

15V
PR 1R 5W
COM

15V

RVAR2
ENABLE

SVTH:V VN V 84-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

PHAN 5: KET QUA VA MUC LUC


I.S o mach thc te , ket qua thu c va nhan xet:
1.S o mach thc te:

SVTH:V VN V 85-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

SVTH:V VN V 86-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

2.Dang song ap day thu c tren dao ong k:


a.ap day:

b.ap pha:

SVTH:V VN V 87-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

3.Giao dien VB ieu khien t may tnh:

SVTH:V VN V 88-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

4.Nhan xet:
-Dang song ap pha va ap day thc te giong vi mo phong tren Psim.
-Dong khi ong khi khong tai tang tang en khoang 0.8A v cho ong c khi
ong mean tc tang tan so t t va co thi gian khi ong.
-Khi ong c hoat ong vi tan so song mang thap th ong c co tieng hu to do
co nhieu thanh phan hai bac cao cua dang song ap pha tai.Khi hoat ong tan
so song mang cang cao th thanh phan hai bac cao cang giam nen ong c bt
tieng hu hn.
-oi vi linh kien khi hoat ong tan so song mang cang cao th mosfet nong
dan v tan so ong cat cao dan en ton hao cao.
-Do ban au ch s dung hai tu 1000uf 400V e nan dong va lam phang dang
ien ap chnh lu nhng ket qua thc te dang song ap ba pha ngo ra cua bo
nghch lu khi cho chay tai R th dang song ngo ra nay phang nhng khi tai la
ong c th dang ien ap b meo dang ieu nay ong thi lam cho dong khong
on nh,do o can phai tang ien dung cua tu len e lam phang dang ien ap va
thc te khi cho ien dung cua tu tang (5000uf hoac cao hn)len th dang ien ap
ngo ra phang va dong chay on inh hn .

SVTH:V VN V 89-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG

II.Muc luc:
Chng trnh ccs viet cho pic 18f4431:
//******************************************************************
//PROGRESS:LAP TRINH CHO DNG CO HOAT NG THEO HAI CHIU THUN V
NGUOC
//C NT NHN DAO CHIU V STOP-->TN S SONG MANG=5k .. 208=3k
//******************************************************************
#include <18f4431.H>
#device*=16 ADC=10
#Use delay(clock=20000000)//su dung chuong trinh delay
#fuses HS,NOWDT,NOPROTECT,PUT,NOBROWNOUT,NOLVP
#use rs232(baud=9600,xmit=PIN_C6,rcv=PIN_C7)
#include <LCD_VU.C>
#byte TRISD=0XF95
#BYTE TRISC=0XF94
#BYTE TRISA=0XF92
#define rc0 PIN_C0
#define rc1 PIN_C1
#define rc2 PIN_C2
#define rc3 PIN_C3
int f,dao=0,data_vu,run,T=0;
int16 i=0,k,j,step_1;
float freg,Usin,ad_re,vanvu,step,step_2,fdat=0.0,Tstart=0.0;
float f2=0.0;
int16 update_1;
float const data[1450]={
0.00000 ,0.00873 ,0.01745 ,0.02618 ,0.03490 ,0.04362 ,0.05234 ,0.06105
,0.06976 ,0.07846 ,0.08716 ,
0.09585 ,0.10453 ,0.11320 ,0.12187 ,0.13053 ,0.13917 ,0.14781 ,0.15643
,0.16505 ,0.17365 ,0.18224 ,0.19081 ,0.19937 ,
0.20791 ,0.21644 ,0.22495 ,0.23345 ,0.24192 ,0.25038 ,0.25882 ,0.26724
,0.27564 ,0.28402 ,0.29237 ,0.30071 ,0.30902 ,
0.31730 ,0.32557 ,0.33381 ,0.34202 ,0.35021 ,0.35837 ,0.36650 ,0.37461
,0.38268 ,0.39073 ,0.39875 ,0.40674 ,0.41469 ,
0.42262 ,0.43051 ,0.43837 ,0.44620 ,0.45399 ,0.46175 ,0.46947 ,0.47716
,0.48481 ,0.49242 ,0.50000 ,0.50754 ,0.51504 ,
0.52250 ,0.52992 ,0.53730 ,0.54464 ,0.55194 ,0.55919 ,0.56641 ,0.57358
,0.58070 ,0.58779 ,0.59482 ,0.60182 ,0.60876 ,
0.61566 ,0.62251 ,0.62932 ,0.63608 ,0.64279 ,0.64945 ,0.65606 ,0.66262
,0.66913 ,0.67559 ,0.68200 ,0.68835 ,0.69466 ,
0.70091 ,0.70711 ,0.71325 ,0.71934 ,0.72537 ,0.73135 ,0.73728 ,0.74314
,0.74896 ,0.75471 ,0.76041 ,0.76604 ,0.77162 ,
0.77715 ,0.78261 ,0.78801 ,0.79335 ,0.79864 ,0.80386 ,0.80902 ,0.81412
,0.81915 ,0.82413 ,0.82904 ,0.83389 ,0.83867 ,
0.84339 ,0.84805 ,0.85264 ,0.85717 ,0.86163 ,0.86603 ,0.87036 ,0.87462
,0.87882 ,0.88295 ,0.88701 ,0.89101 ,0.89493 ,
0.89879 ,0.90259 ,0.90631 ,0.90996 ,0.91355 ,0.91706 ,0.92050 ,0.92388
,0.92718 ,0.93042 ,0.93358 ,0.93667 ,0.93969 ,
0.94264 ,0.94552 ,0.94832 ,0.95106 ,0.95372 ,0.95630 ,0.95882 ,0.96126
,0.96363 ,0.96593 ,0.96815 ,0.97030 ,0.97237 ,
0.97437 ,0.97630 ,0.97815 ,0.97992 ,0.98163 ,0.98325 ,0.98481 ,0.98629
,0.98769 ,0.98902 ,0.99027 ,0.99144 ,0.99255 ,
0.99357 ,0.99452 ,0.99540 ,0.99619 ,0.99692 ,0.99756 ,0.99813 ,0.99863
,0.99905 ,0.99939 ,0.99966 ,0.99985 ,0.99996 ,
1.00000 ,0.99996 ,0.99985 ,0.99966 ,0.99939 ,0.99905 ,0.99863 ,0.99813
,0.99756 ,0.99692 ,0.99619 ,0.99540 ,0.99452 ,

SVTH:V VN V 90-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG
0.99357 ,0.99255 ,0.99144 ,0.99027 ,0.98902 ,0.98769 ,0.98629 ,0.98481
,0.98325 ,0.98163 ,0.97992 ,0.97815 ,0.97630 ,
0.97437 ,0.97237 ,0.97030 ,0.96815 ,0.96593 ,0.96363 ,0.96126 ,0.95882
,0.95630 ,0.95372 ,0.95106 ,0.94832 ,0.94552 ,
0.94264 ,0.93969 ,0.93667 ,0.93358 ,0.93042 ,0.92718 ,0.92388 ,0.92050
,0.91706 ,0.91355 ,0.90996 ,0.90631 ,0.90259 ,
0.89879 ,0.89493 ,0.89101 ,0.88701 ,0.88295 ,0.87882 ,0.87462 ,0.87036
,0.86603 ,0.86163 ,0.85717 ,0.85264 ,0.84805 ,
0.84339 ,0.83867 ,0.83389 ,0.82904 ,0.82413 ,0.81915 ,0.81412 ,0.80902
,0.80386 ,0.79864 ,0.79335 ,0.78801 ,0.78261 ,
0.77715 ,0.77162 ,0.76604 ,0.76041 ,0.75471 ,0.74896 ,0.74314 ,0.73728
,0.73135 ,0.72537 ,0.71934 ,0.71325 ,0.70711 ,
0.70091 ,0.69466 ,0.68835 ,0.68200 ,0.67559 ,0.66913 ,0.66262 ,0.65606
,0.64945 ,0.64279 ,0.63608 ,0.62932 ,0.62251 ,
0.61566 ,0.60876 ,0.60182 ,0.59482 ,0.58779 ,0.58070 ,0.57358 ,0.56641
,0.55919 ,0.55194 ,0.54464 ,0.53730 ,0.52992 ,
0.52250 ,0.51504 ,0.50754 ,0.50000 ,0.49242 ,0.48481 ,0.47716 ,0.46947
,0.46175 ,0.45399 ,0.44620 ,0.43837 ,0.43051 ,
0.42262 ,0.41469 ,0.40674 ,0.39875 ,0.39073 ,0.38268 ,0.37461 ,0.36650
,0.35837 ,0.35021 ,0.34202 ,0.33381 ,0.32557 ,
0.31730 ,0.30902 ,0.30071 ,0.29237 ,0.28402 ,0.27564 ,0.26724 ,0.25882
,0.25038 ,0.24192 ,0.23345 ,0.22495 ,0.21644 ,
0.20791 ,0.19937 ,0.19081 ,0.18224 ,0.17365 ,0.16505 ,0.15643 ,0.14781
,0.13917 ,0.13053 ,0.12187 ,0.11320 ,0.10453 ,
0.09585 ,0.08716 ,0.07846 ,0.06976 ,0.06105 ,0.05234 ,0.04362 ,0.03490
,0.02618 ,0.01745 ,0.00873 ,0.00000 ,-0.00873 ,
-0.01745 ,-0.02618 ,-0.03490 ,-0.04362 ,-0.05234 ,-0.06105 ,-0.06976 ,-0.07846 ,-
0.08716 ,-0.09585 ,-0.10453 ,-0.11320 ,
-0.12187 ,-0.13053 ,-0.13917 ,-0.14781 ,-0.15643 ,-0.16505 ,-0.17365 ,-0.18224 ,-
0.19081 ,-0.19937 ,-0.20791 ,-0.21644 ,
-0.22495 ,-0.23345 ,-0.24192 ,-0.25038 ,-0.25882 ,-0.26724 ,-0.27564 ,-0.28402 ,-
0.29237 ,-0.30071 ,-0.30902 ,-0.31730 ,
-0.32557 ,-0.33381 ,-0.34202 ,-0.35021 ,-0.35837 ,-0.36650 ,-0.37461 ,-0.38268 ,-
0.39073 ,-0.39875 ,-0.40674 ,-0.41469 ,
-0.42262 ,-0.43051 ,-0.43837 ,-0.44620 ,-0.45399 ,-0.46175 ,-0.46947 ,-0.47716 ,-
0.48481 ,-0.49242 ,-0.50000 ,-0.50754 ,
-0.51504 ,-0.52250 ,-0.52992 ,-0.53730 ,-0.54464 ,-0.55194 ,-0.55919 ,-0.56641 ,-
0.57358 ,-0.58070 ,-0.58779 ,-0.59482 ,
-0.60182 ,-0.60876 ,-0.61566 ,-0.62251 ,-0.62932 ,-0.63608 ,-0.64279 ,-0.64945 ,-
0.65606 ,-0.66262 ,-0.66913 ,-0.67559 ,
-0.68200 ,-0.68835 ,-0.69466 ,-0.70091 ,-0.70711 ,-0.71325 ,-0.71934 ,-0.72537 ,-
0.73135 ,-0.73728 ,-0.74314 ,-0.74896 ,
-0.75471 ,-0.76041 ,-0.76604 ,-0.77162 ,-0.77715 ,-0.78261 ,-0.78801 ,-0.79335 ,-
0.79864 ,-0.80386 ,-0.80902 ,-0.81412 ,
-0.81915 ,-0.82413 ,-0.82904 ,-0.83389 ,-0.83867 ,-0.84339 ,-0.84805 ,-0.85264 ,-
0.85717 ,-0.86163 ,-0.86603 ,-0.87036 ,
-0.87462 ,-0.87882 ,-0.88295 ,-0.88701 ,-0.89101 ,-0.89493 ,-0.89879 ,-0.90259 ,-
0.90631 ,-0.90996 ,-0.91355 ,-0.91706 ,
-0.92050 ,-0.92388 ,-0.92718 ,-0.93042 ,-0.93358 ,-0.93667 ,-0.93969 ,-0.94264 ,-
0.94552 ,-0.94832 ,-0.95106 ,-0.95372 ,
-0.95630 ,-0.95882 ,-0.96126 ,-0.96363 ,-0.96593 ,-0.96815 ,-0.97030 ,-0.97237 ,-
0.97437 ,-0.97630 ,-0.97815 ,-0.97992 ,
-0.98163 ,-0.98325 ,-0.98481 ,-0.98629 ,-0.98769 ,-0.98902 ,-0.99027 ,-0.99144 ,-
0.99255 ,-0.99357 ,-0.99452 ,-0.99540 ,
-0.99619 ,-0.99692 ,-0.99756 ,-0.99813 ,-0.99863 ,-0.99905 ,-0.99939 ,-0.99966 ,-
0.99985 ,-0.99996 ,-1.00000 ,-0.99996 ,
SVTH:V VN V 91-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG
-0.99985 ,-0.99966 ,-0.99939 ,-0.99905 ,-0.99863 ,-0.99813 ,-0.99756 ,-0.99692 ,-
0.99619 ,-0.99540 ,-0.99452 ,-0.99357 ,
-0.99255 ,-0.99144 ,-0.99027 ,-0.98902 ,-0.98769 ,-0.98629 ,-0.98481 ,-0.98325 ,-
0.98163 ,-0.97992 ,-0.97815 ,-0.97630 ,
-0.97437 ,-0.97237 ,-0.97030 ,-0.96815 ,-0.96593 ,-0.96363 ,-0.96126 ,-0.95882 ,-
0.95630 ,-0.95372 ,-0.95106 ,-0.94832 ,
-0.94552 ,-0.94264 ,-0.93969 ,-0.93667 ,-0.93358 ,-0.93042 ,-0.92718 ,-0.92388 ,-
0.92050 ,-0.91706 ,-0.91355 ,-0.90996 ,
-0.90631 ,-0.90259 ,-0.89879 ,-0.89493 ,-0.89101 ,-0.88701 ,-0.88295 ,-0.87882 ,-
0.87462 ,-0.87036 ,-0.86603 ,-0.86163 ,
-0.85717 ,-0.85264 ,-0.84805 ,-0.84339 ,-0.83867 ,-0.83389 ,-0.82904 ,-0.82413 ,-
0.81915 ,-0.81412 ,-0.80902 ,-0.80386 ,
-0.79864 ,-0.79335 ,-0.78801 ,-0.78261 ,-0.77715 ,-0.77162 ,-0.76604 ,-0.76041 ,-
0.75471 ,-0.74896 ,-0.74314 ,-0.73728 ,
-0.73135 ,-0.72537 ,-0.71934 ,-0.71325 ,-0.70711 ,-0.70091 ,-0.69466 ,-0.68835 ,-
0.68200 ,-0.67559 ,-0.66913 ,-0.66262 ,
-0.65606 ,-0.64945 ,-0.64279 ,-0.63608 ,-0.62932 ,-0.62251 ,-0.61566 ,-0.60876 ,-
0.60182 ,-0.59482 ,-0.58779 ,-0.58070 ,
-0.57358 ,-0.56641 ,-0.55919 ,-0.55194 ,-0.54464 ,-0.53730 ,-0.52992 ,-0.52250 ,-
0.51504 ,-0.50754 ,-0.50000 ,-0.49242 ,
-0.48481 ,-0.47716 ,-0.46947 ,-0.46175 ,-0.45399 ,-0.44620 ,-0.43837 ,-0.43051 ,-
0.42262 ,-0.41469 ,-0.40674 ,-0.39875 ,
-0.39073 ,-0.38268 ,-0.37461 ,-0.36650 ,-0.35837 ,-0.35021 ,-0.34202 ,-0.33381 ,-
0.32557 ,-0.31730 ,-0.30902 ,-0.30071 ,
-0.29237 ,-0.28402 ,-0.27564 ,-0.26724 ,-0.25882 ,-0.25038 ,-0.24192 ,-0.23345 ,-
0.22495 ,-0.21644 ,-0.20791 ,-0.19937 ,
-0.19081 ,-0.18224 ,-0.17365 ,-0.16505 ,-0.15643 ,-0.14781 ,-0.13917 ,-0.13053 ,-
0.12187 ,-0.11320 ,-0.10453 ,-0.09585 ,
-0.08716 ,-0.07846 ,-0.06976 ,-0.06105 ,-0.05234 ,-0.04362 ,-0.03490 ,-0.02618 ,-
0.01745 ,-0.00873,
//het 1 chu k
0.00000 ,0.00873 ,0.01745 ,0.02618 ,0.03490 ,0.04362 ,0.05234 ,0.06105
,0.06976 ,0.07846 ,0.08716 ,
0.09585 ,0.10453 ,0.11320 ,0.12187 ,0.13053 ,0.13917 ,0.14781 ,0.15643
,0.16505 ,0.17365 ,0.18224 ,0.19081 ,0.19937 ,
0.20791 ,0.21644 ,0.22495 ,0.23345 ,0.24192 ,0.25038 ,0.25882 ,0.26724
,0.27564 ,0.28402 ,0.29237 ,0.30071 ,0.30902 ,
0.31730 ,0.32557 ,0.33381 ,0.34202 ,0.35021 ,0.35837 ,0.36650 ,0.37461
,0.38268 ,0.39073 ,0.39875 ,0.40674 ,0.41469 ,
0.42262 ,0.43051 ,0.43837 ,0.44620 ,0.45399 ,0.46175 ,0.46947 ,0.47716
,0.48481 ,0.49242 ,0.50000 ,0.50754 ,0.51504 ,
0.52250 ,0.52992 ,0.53730 ,0.54464 ,0.55194 ,0.55919 ,0.56641 ,0.57358
,0.58070 ,0.58779 ,0.59482 ,0.60182 ,0.60876 ,
0.61566 ,0.62251 ,0.62932 ,0.63608 ,0.64279 ,0.64945 ,0.65606 ,0.66262
,0.66913 ,0.67559 ,0.68200 ,0.68835 ,0.69466 ,
0.70091 ,0.70711 ,0.71325 ,0.71934 ,0.72537 ,0.73135 ,0.73728 ,0.74314
,0.74896 ,0.75471 ,0.76041 ,0.76604 ,0.77162 ,
0.77715 ,0.78261 ,0.78801 ,0.79335 ,0.79864 ,0.80386 ,0.80902 ,0.81412
,0.81915 ,0.82413 ,0.82904 ,0.83389 ,0.83867 ,
0.84339 ,0.84805 ,0.85264 ,0.85717 ,0.86163 ,0.86603 ,0.87036 ,0.87462
,0.87882 ,0.88295 ,0.88701 ,0.89101 ,0.89493 ,
0.89879 ,0.90259 ,0.90631 ,0.90996 ,0.91355 ,0.91706 ,0.92050 ,0.92388
,0.92718 ,0.93042 ,0.93358 ,0.93667 ,0.93969 ,
0.94264 ,0.94552 ,0.94832 ,0.95106 ,0.95372 ,0.95630 ,0.95882 ,0.96126
,0.96363 ,0.96593 ,0.96815 ,0.97030 ,0.97237 ,
0.97437 ,0.97630 ,0.97815 ,0.97992 ,0.98163 ,0.98325 ,0.98481 ,0.98629
,0.98769 ,0.98902 ,0.99027 ,0.99144 ,0.99255 ,

SVTH:V VN V 92-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG
0.99357 ,0.99452 ,0.99540 ,0.99619 ,0.99692 ,0.99756 ,0.99813 ,0.99863
,0.99905 ,0.99939 ,0.99966 ,0.99985 ,0.99996 ,
1.00000 ,0.99996 ,0.99985 ,0.99966 ,0.99939 ,0.99905 ,0.99863 ,0.99813
,0.99756 ,0.99692 ,0.99619 ,0.99540 ,0.99452 ,
0.99357 ,0.99255 ,0.99144 ,0.99027 ,0.98902 ,0.98769 ,0.98629 ,0.98481
,0.98325 ,0.98163 ,0.97992 ,0.97815 ,0.97630 ,
0.97437 ,0.97237 ,0.97030 ,0.96815 ,0.96593 ,0.96363 ,0.96126 ,0.95882
,0.95630 ,0.95372 ,0.95106 ,0.94832 ,0.94552 ,
0.94264 ,0.93969 ,0.93667 ,0.93358 ,0.93042 ,0.92718 ,0.92388 ,0.92050
,0.91706 ,0.91355 ,0.90996 ,0.90631 ,0.90259 ,
0.89879 ,0.89493 ,0.89101 ,0.88701 ,0.88295 ,0.87882 ,0.87462 ,0.87036
,0.86603 ,0.86163 ,0.85717 ,0.85264 ,0.84805 ,
0.84339 ,0.83867 ,0.83389 ,0.82904 ,0.82413 ,0.81915 ,0.81412 ,0.80902
,0.80386 ,0.79864 ,0.79335 ,0.78801 ,0.78261 ,
0.77715 ,0.77162 ,0.76604 ,0.76041 ,0.75471 ,0.74896 ,0.74314 ,0.73728
,0.73135 ,0.72537 ,0.71934 ,0.71325 ,0.70711 ,
0.70091 ,0.69466 ,0.68835 ,0.68200 ,0.67559 ,0.66913 ,0.66262 ,0.65606
,0.64945 ,0.64279 ,0.63608 ,0.62932 ,0.62251 ,
0.61566 ,0.60876 ,0.60182 ,0.59482 ,0.58779 ,0.58070 ,0.57358 ,0.56641
,0.55919 ,0.55194 ,0.54464 ,0.53730 ,0.52992 ,
0.52250 ,0.51504 ,0.50754 ,0.50000 ,0.49242 ,0.48481 ,0.47716 ,0.46947
,0.46175 ,0.45399 ,0.44620 ,0.43837 ,0.43051 ,
0.42262 ,0.41469 ,0.40674 ,0.39875 ,0.39073 ,0.38268 ,0.37461 ,0.36650
,0.35837 ,0.35021 ,0.34202 ,0.33381 ,0.32557 ,
0.31730 ,0.30902 ,0.30071 ,0.29237 ,0.28402 ,0.27564 ,0.26724 ,0.25882
,0.25038 ,0.24192 ,0.23345 ,0.22495 ,0.21644 ,
0.20791 ,0.19937 ,0.19081 ,0.18224 ,0.17365 ,0.16505 ,0.15643 ,0.14781
,0.13917 ,0.13053 ,0.12187 ,0.11320 ,0.10453 ,
0.09585 ,0.08716 ,0.07846 ,0.06976 ,0.06105 ,0.05234 ,0.04362 ,0.03490
,0.02618 ,0.01745 ,0.00873 ,0.00000 ,-0.00873 ,
-0.01745 ,-0.02618 ,-0.03490 ,-0.04362 ,-0.05234 ,-0.06105 ,-0.06976 ,-0.07846 ,-
0.08716 ,-0.09585 ,-0.10453 ,-0.11320 ,
-0.12187 ,-0.13053 ,-0.13917 ,-0.14781 ,-0.15643 ,-0.16505 ,-0.17365 ,-0.18224 ,-
0.19081 ,-0.19937 ,-0.20791 ,-0.21644 ,
-0.22495 ,-0.23345 ,-0.24192 ,-0.25038 ,-0.25882 ,-0.26724 ,-0.27564 ,-0.28402 ,-
0.29237 ,-0.30071 ,-0.30902 ,-0.31730 ,
-0.32557 ,-0.33381 ,-0.34202 ,-0.35021 ,-0.35837 ,-0.36650 ,-0.37461 ,-0.38268 ,-
0.39073 ,-0.39875 ,-0.40674 ,-0.41469 ,
-0.42262 ,-0.43051 ,-0.43837 ,-0.44620 ,-0.45399 ,-0.46175 ,-0.46947 ,-0.47716 ,-
0.48481 ,-0.49242 ,-0.50000 ,-0.50754 ,
-0.51504 ,-0.52250 ,-0.52992 ,-0.53730 ,-0.54464 ,-0.55194 ,-0.55919 ,-0.56641 ,-
0.57358 ,-0.58070 ,-0.58779 ,-0.59482 ,
-0.60182 ,-0.60876 ,-0.61566 ,-0.62251 ,-0.62932 ,-0.63608 ,-0.64279 ,-0.64945 ,-
0.65606 ,-0.66262 ,-0.66913 ,-0.67559 ,
-0.68200 ,-0.68835 ,-0.69466 ,-0.70091 ,-0.70711 ,-0.71325 ,-0.71934 ,-0.72537 ,-
0.73135 ,-0.73728 ,-0.74314 ,-0.74896 ,
-0.75471 ,-0.76041 ,-0.76604 ,-0.77162 ,-0.77715 ,-0.78261 ,-0.78801 ,-0.79335 ,-
0.79864 ,-0.80386 ,-0.80902 ,-0.81412 ,
-0.81915 ,-0.82413 ,-0.82904 ,-0.83389 ,-0.83867 ,-0.84339 ,-0.84805 ,-0.85264 ,-
0.85717 ,-0.86163 ,-0.86603 ,-0.87036 ,
-0.87462 ,-0.87882 ,-0.88295 ,-0.88701 ,-0.89101 ,-0.89493 ,-0.89879 ,-0.90259 ,-
0.90631 ,-0.90996 ,-0.91355 ,-0.91706 ,
-0.92050 ,-0.92388 ,-0.92718 ,-0.93042 ,-0.93358 ,-0.93667 ,-0.93969 ,-0.94264 ,-
0.94552 ,-0.94832 ,-0.95106 ,-0.95372 ,
-0.95630 ,-0.95882 ,-0.96126 ,-0.96363 ,-0.96593 ,-0.96815 ,-0.97030 ,-0.97237 ,-
0.97437 ,-0.97630 ,-0.97815 ,-0.97992 ,
SVTH:V VN V 93-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG
-0.98163 ,-0.98325 ,-0.98481 ,-0.98629 ,-0.98769 ,-0.98902 ,-0.99027 ,-0.99144 ,-
0.99255 ,-0.99357 ,-0.99452 ,-0.99540 ,
-0.99619 ,-0.99692 ,-0.99756 ,-0.99813 ,-0.99863 ,-0.99905 ,-0.99939 ,-0.99966 ,-
0.99985 ,-0.99996 ,-1.00000 ,-0.99996 ,
-0.99985 ,-0.99966 ,-0.99939 ,-0.99905 ,-0.99863 ,-0.99813 ,-0.99756 ,-0.99692 ,-
0.99619 ,-0.99540 ,-0.99452 ,-0.99357 ,
-0.99255 ,-0.99144 ,-0.99027 ,-0.98902 ,-0.98769 ,-0.98629 ,-0.98481 ,-0.98325 ,-
0.98163 ,-0.97992 ,-0.97815 ,-0.97630 ,
-0.97437 ,-0.97237 ,-0.97030 ,-0.96815 ,-0.96593 ,-0.96363 ,-0.96126 ,-0.95882 ,-
0.95630 ,-0.95372 ,-0.95106 ,-0.94832 ,
-0.94552 ,-0.94264 ,-0.93969 ,-0.93667 ,-0.93358 ,-0.93042 ,-0.92718 ,-0.92388 ,-
0.92050 ,-0.91706 ,-0.91355 ,-0.90996 ,
-0.90631 ,-0.90259 ,-0.89879 ,-0.89493 ,-0.89101 ,-0.88701 ,-0.88295 ,-0.87882 ,-
0.87462 ,-0.87036 ,-0.86603 ,-0.86163 ,
-0.85717 ,-0.85264 ,-0.84805 ,-0.84339 ,-0.83867 ,-0.83389 ,-0.82904 ,-0.82413 ,-
0.81915 ,-0.81412 ,-0.80902 ,-0.80386 ,
-0.79864 ,-0.79335 ,-0.78801 ,-0.78261 ,-0.77715 ,-0.77162 ,-0.76604 ,-0.76041 ,-
0.75471 ,-0.74896 ,-0.74314 ,-0.73728 ,
-0.73135 ,-0.72537 ,-0.71934 ,-0.71325 ,-0.70711 ,-0.70091 ,-0.69466 ,-0.68835 ,-
0.68200 ,-0.67559 ,-0.66913 ,-0.66262 ,
-0.65606 ,-0.64945 ,-0.64279 ,-0.63608 ,-0.62932 ,-0.62251 ,-0.61566 ,-0.60876 ,-
0.60182 ,-0.59482 ,-0.58779 ,-0.58070 ,
-0.57358 ,-0.56641 ,-0.55919 ,-0.55194 ,-0.54464 ,-0.53730 ,-0.52992 ,-0.52250 ,-
0.51504 ,-0.50754 ,-0.50000 ,-0.49242 ,
-0.48481 ,-0.47716 ,-0.46947 ,-0.46175 ,-0.45399 ,-0.44620 ,-0.43837 ,-0.43051 ,-
0.42262 ,-0.41469 ,-0.40674 ,-0.39875 ,

};
#INT_PWMTB
void PWM_INTERRUPT()
{
//Usin=0.40625*ad_re;
Usin=0.3906*ad_re;
step=0.144*freg;
// if(Usin>395.0)
// {
// Usin=395.0;
// }
step_1=step; //gn vo bien int
step_2=step-step_1;
if(step_2>0.5)
{
step_1=step+0.5;
}
else
{
step_1=step;
}
if(dao==0)
{
vanvu=(data[i]*Usin+400.0);
update_1=vanvu;
set_power_pwm0_duty(update_1);
//********************************************
j=i;
i=i+240;
vanvu=(data[i]*Usin+400.0);

SVTH:V VN V 94-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG
update_1=vanvu;
set_power_pwm4_duty(update_1);
//********************************************
i=i+240;
vanvu=(data[i]*Usin+400.0);
update_1=vanvu;
set_power_pwm2_duty(update_1);
i=j;
i=i+step_1;
if(i>=720)
{
j=0;
i=0;
}
}
if(dao==1)
{
vanvu=(data[i]*Usin+400.0);
update_1=vanvu;
set_power_pwm0_duty(update_1);
//********************************************
j=i;
i=i+240;
vanvu=(data[i]*Usin+400.0);
update_1=vanvu;
set_power_pwm2_duty(update_1);
//********************************************
i=i+240;
vanvu=(data[i]*Usin+400.0);
update_1=vanvu;
set_power_pwm4_duty(update_1);
i=j;
i=i+step_1;
if(i>=720)
{
j=0;
i=0;
}
}
}
void pwm_init()
{
setup_power_pwm_pins(PWM_COMPLEMENTARY,PWM_COMPLEMENTARY,PWM_COM
PLEMENTARY,PWM_OFF );
setup_power_pwm(PWM_CLOCK_DIV_16|PWM_UP_DOWN|PWM_DEAD_CLOCK_DIV_
4,1,1,200,0,1,20);
//enable_interrupts(INT_PWMTB);
// 1) mode:PWM_CLOCK_DIV_4; PWM_UP_DOWN; PWM_DEAD_CLOCK_DIV_4,
// 2) postscale:1
// 3) time_base:=> first value of timebase
// 4) period:chu ky` xung 6 PWM =500 =>200uS
// 5) compare:0
// 6) compare_postscale:1
// 7) dead_time:10 => Tdeatime=10*0.2=2uS
set_power_pwm0_duty(0);
set_power_pwm2_duty(0);
set_power_pwm4_duty(0);

SVTH:V VN V 95-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG
enable_interrupts(GLOBAL);
}
void port_init()
{
freg=0.0;
Usin=0.0;
j=0;
K=0;
TRISD=0X00;
TRISC=0xff;
TRISA=0X01;
f=0;
enable_interrupts(GLOBAL);
setup_adc(ADC_CLOCK_INTERNAL);
Setup_adc_ports(sAN0);
Set_ADC_channel(0);
read_adc(ADC_START_ONLY);
}
void stop()
{
for(k;k<60;k++)
{
freg=freg-1;
if(freg<1)
{ freg=0;
i=60;
goto end;
}
lcd_gotoxy(0,1);
printf(lcd_putc,"f =%2.1f",freg);
ad_re=freg*17.06667;
delay_ms(T);
}
end:
k=0;
}
void daochieu()
{ stop();
freg=0;
dao=!dao;
for(k;k<60;k++)
{
freg=freg+1.0;
ad_re=freg*17.06667; //do freg=ad_re*60/1024
if(dao==0)
{ lcd_gotoxy(0,1);
printf(lcd_putc,"f =%2.1f DIR:T ",freg);
}
if(dao==1)
{ lcd_gotoxy(0,1);
printf(lcd_putc,"f =%2.1f DIR:N",freg);
}
delay_ms(T);
}
k=0;
}
void Main(void)

SVTH:V VN V 96-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG
{
lcd_init();
pwm_init();
port_init();
// CHOSSE ONE IN TWO MODE
back:
if(input(rc3))
{ MODE:
lcd_gotoxy(0,1);
printf(lcd_putc," **Select Mode** ",freg);
lcd_gotoxy(0,0);
printf(lcd_putc,"1:H 2:PC 3:auto",freg);
if(input(rc0)) // MODE CONTROL FROM
VAR_RESISTER
{ delay_ms(100);
lcd_gotoxy(0,1);
printf(lcd_putc," set parameter",freg);
lcd_gotoxy(0,0);
printf(lcd_putc,"fd=0.0 ",freg);
increase:
if(input(rc0)) // START MOTOR
{ delay_ms(100);
fdat=fdat+0.5; // INCREASE SET FREQUENCY WITH 0.5 STEP
lcd_gotoxy(0,0);
printf(lcd_putc,"fd=%2.1lf",fdat); // DISPLAY TO LCD
lcd_gotoxy(11,0);
printf(lcd_putc,"T=%2.1lf",Tstart);
}
if(input(rc1)) // DECREASE SET FREQUENCY
WITH 0.5 STEP
{ delay_ms(100);
fdat=fdat-0.5;
if(fdat<=0)
{
fdat=0.0;
}
lcd_gotoxy(0,0);
printf(lcd_putc,"fd=%2.1lf",fdat);
lcd_gotoxy(11,0);
printf(lcd_putc,"T=%2.1lf",Tstart);
}
if(input(rc2)) // SET TIMER START FOR MOTOR
{ delay_ms(100);
Tstart=Tstart+0.5;
lcd_gotoxy(11,0);
printf(lcd_putc,"T=%2.1lf",Tstart);
T=T+1;
}
if(input(rc3))
{
goto HANDLE; // IF BUTON OK IS SET,WILL
JUMP TO HANDLE
}
goto increase;
}
if(input(rc1)) // mode CONTROL FROM PC
{ delay_ms(100);

SVTH:V VN V 97-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG
lcd_gotoxy(0,1);
printf(lcd_putc," ",freg);
lcd_gotoxy(0,0);
printf(lcd_putc," ",freg);
goto PC;
}
if(input(rc2)) //MODE SET TWO SPEED
{ delay_ms(100);
lcd_gotoxy(0,0);
printf(lcd_putc,"fd =%2.1lf ",freg);
lcd_gotoxy(10,0);
printf(lcd_putc,"T=%2.1lf ",Tstart);
lcd_gotoxy(0,1);
printf(lcd_putc," two speed ",Tstart);
setup:
if(input(rc0))
{ delay_ms(100);
fdat=fdat+0.5;
lcd_gotoxy(0,0);
printf(lcd_putc,"fd=%2.1lf",fdat);
lcd_gotoxy(11,0);
printf(lcd_putc,"T=%2.1lf",Tstart);
}
if(input(rc1))
{ delay_ms(100);
fdat=fdat-0.5;
if(fdat<=0)
{
fdat=0.0;
}
lcd_gotoxy(0,0);
printf(lcd_putc,"fd=%2.1lf",fdat);
lcd_gotoxy(11,0);
printf(lcd_putc,"T=%2.1lf",Tstart);
}
if(input(rc2))
{ delay_ms(100);
Tstart=Tstart+0.5;
lcd_gotoxy(11,0);
printf(lcd_putc,"T=%2.1lf",Tstart);
T=T+1;
}
if(input(rc3))
{
goto TWO_SPEED;
}
goto setup;
}

goto MODE;
}
else
{
lcd_gotoxy(0,1);
printf(lcd_putc,"** well come **",freg);
goto back;
}

SVTH:V VN V 98-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG
HANDLE:
enable_interrupts(INT_PWMTB);
lcd_gotoxy(0,1);
printf(lcd_putc," Mode:handle ",freg);
lcd_gotoxy(0,0);
printf(lcd_putc,"1=forward 2=revert",freg);
if(input(rc0)) // MOTOR START FOLLOW
{
lcd_gotoxy(0,0);
printf(lcd_putc,"fd=%2.1lf Handle ",fdat);
while(freg<fdat)// for(k;k<60;k++)
{ dao=0;
freg=freg+0.5;
ad_re=freg*17.06667;
f=freg;
lcd_gotoxy(0,1);
printf(lcd_putc,"f =%2.1f DIR:T ",freg);
delay_ms(T);
}
k=0;
goto read_ad;
}
if(input(rc1))
{ dao=!dao;
for(k;k<60;k++)
{
freg=freg+1.0;
f=freg;
ad_re=freg*17.06667;
lcd_gotoxy(0,1);
printf(lcd_putc,"f =%2.1f DIR:N ",freg);
delay_ms(T);
}
k=0;
}
if(f==60)
{ loop:
if(input(rc2))
{
stop();
goto HANDLE;
}
if(input(rc1))
{
daochieu();
}
read_ad:
ad_re=read_adc();
freg=ad_re*60/1024;
lcd_gotoxy(0,1);
printf(lcd_putc,"f =%2.1f",freg);
delay_ms(30);
if(input(rc3))
{
goto TWO_SPEED;
}
goto loop;

SVTH:V VN V 99-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG
}
goto HANDLE;
TWO_SPEED:
enable_interrupts(INT_PWMTB);
if(input(rc0)) // MOTOR START FOLLOW
{
lcd_gotoxy(0,1);
printf(lcd_putc,"f1 f2 f ",fdat);
lcd_gotoxy(0,0);
printf(lcd_putc,"%2.1lf ",fdat);

while(freg<fdat)// for(k;k<60;k++)
{ dao=0;
freg=freg+0.5;
ad_re=freg*17.06667;
f=freg;
lcd_gotoxy(13,0);
printf(lcd_putc,"%2.1lf",freg);
delay_ms(T);
}
k=0;
}
vanvinh:
lcd_gotoxy(6,0);
printf(lcd_putc,"%2.1lf",f2);
if(input(rc1))
{ delay_ms(10);
f2=f2+0.5;
lcd_gotoxy(7,0);
printf(lcd_putc,"%2.1lf",f2);
}
if(input(rc2))
{ delay_ms(10);
f2=f2-0.5;
lcd_gotoxy(7,0);
printf(lcd_putc,"%2.1lf",f2);
}
if(input(rc3))
{
freg=f2;
lcd_gotoxy(13,0);
printf(lcd_putc,"%2.1lf",freg);
}
goto TWO_SPEED;
PC:
lcd_gotoxy(4,1);
printf(lcd_putc,"PC_Control",freg);
run=fgetc();
enable_interrupts(INT_PWMTB);
switch (run)
{
case 1:
goto START;
case 2:
goto DUNG;
case 3:
goto DAO_CHIEU;

SVTH:V VN V 100-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG
default:
freg=run;
ad_re=freg*17.06667; //freg=ad_re*60/1024
goto PC;
}
START:
freg=0.0;
dao=0;

for(k;k<60;k++)
{
freg=freg+1.0;
data_vu=freg;
fputc(data_vu);
ad_re=freg*17.06667;
delay_ms(200);
}
k=0;
goto PC;
DUNG:
for(k;k<60;k++)
{
freg=freg-1;
data_vu=freg;
ad_re=freg*17.06667;
fputc(data_vu);
if(freg<1)
{ freg=0;
goto PC;
}
delay_ms(200);
}
k=0;
goto PC;
DAO_CHIEU:
for(k;k<60;k++)
{
freg=freg-1;
data_vu=freg;
fputc(data_vu);
if(freg<1)
{ freg=0;
i=61;
goto conti;
}
ad_re=freg*17.06667;
// lcd_gotoxy(0,1);
// printf(lcd_putc,"run=%2.1f",freg);
delay_ms(10);
}
conti:
freg=0;
dao=!dao;
for(k;k<60;k++)
{
freg=freg+1;
data_vu=freg;

SVTH:V VN V 101-102
IU KHIN NG C KHNG NG B BA PHA GVHD:PHAN QUC DNG
fputc(data_vu);

ad_re=freg*17.06667;

delay_ms(200);

goto PC;

2.Tai lieu tham khao :


-ien t cong suat1 TS Nguyen Van Nh

-Truyen ong ien _ TS Phan Quoc Dung


-Datasheet pic18f4431,

-CCS manual guide

SVTH:V VN V 102-102

You might also like