You are on page 1of 81

SELUK NVERSTES

MHENDSLK-MMARLIK FAKLTES
ELEKTRK-ELEKTRONK MHENDSL BLM

LOJK DEVRE TASARIM


DERS NOTLARI

Konya- 2012
i

KONULAR

1. Ardl lojik devreler, senkron ardl lojik devreler ve analizi

2. Durum saysnn azaltlmas, durum diyagram, durum tablosu ve uygulama tablolarnn

elde edilmesi

3. Ardl devrelerin sentezi, deiik tipte senkron sayc tasarmlar, dizi yakalayclar

4. Registerlar, kaydrc registerlar, paralel yklemeli registerlar, i register transfer, bus

transfer, registerlar aras veri transferi

5. Hafza elemanlar ile senkron ardl devre tasarm, zamanlama diyagramlar

6. ALU, genel zellikleri, kaydrc tasarm, durum registeri

7. Akmlatr register tasarm

8. Genel ilemci tasarm, kontrol birimlerinin yaplar ve genel zellikleri

9. Algoritmik durum makinalar (ASM), ASM emas, durum kutusu, karar kutusu, koul

kutusu, ASM blou

10. Kontrol biriminde her bir durum iin bir flip-flop kullanlmas, decoder kullanlmas ile

oluan tasarm, uygulamal rnekler

11. Kontrol biriminde; decoder, multiplexer ve PLA kullanarak oluan tasarm, uygulamal

rnekler

12. Lojik kap devrelerinde fan-out hesab

KAYNAKLAR

1. Saysal Tasarm, Morris MANO, MEB Yaynlar. (Dijital Tasarm)

2. Lojik Devreler, Prof. Dr. Emin NALAN, T Yaynlar.

3. Lojik Devreler, Prof. Dr. Emre HARMANCI, T Yaynlar.

4. Digital Design Principles&Practices, John F. Wakerly, Prentice Hall.

5. The Design of Digital Systems, J.B. Reatman, McGraw-Hill.

6. Principles of Digital Design, Daniel D. Gajski, Prentice Hall.


1

1. ARDIIL DEVRELER

imdiye kadar ele alnan saysal devrelerin klar tamamen o anki devre girilerine
bal olan kombinasyonel devrelerdi. rnein VE kaps bir kombinasyonel devredir. Baz
lojik devrelerde giriteki bilgi deise bile saat darbesi gelmedike k konum deitirmez
ise bu devrelere ardl devre (sequential logic) denir. 7 rakaml bir telefon numarasnda 6
rakam evirdiimizde telefon almaz, 7. rakam evrilince telefon alar, burada 7. rakam clock
darbesidir.
Kombinasyonel devre + Bellek = Ardl devre

Giriler klar

Kombinasyonel
Devre
Bellek
(Hafza elm.)

ekil 1.1 Ardl devre blok emas

Ardl devrenin durumu, bellekteki durumun deimesi ile deiir. Yani kombinasyonel
devre, girileri belirler, bellein durumu ise klar belirler.

1
1



11
Bellek
Kombinasyonel 1
FF1
1 Devre

1
Bellek

FFm

ekil 1.2 Genel ardl devre emas

1 , , tane giri , bamsz byklk


1 , , tane k , baml byklk, bellek klarna baldr
2

1 , , Durum bykl, Bellek (FF) k


11 , , FF uyarma girileri
ki eit ardl devre vardr ve bunlarn snflandrlmas devrelerin sinyal
zamanlamasna baldr. Baka bir ekilde ifade edilecek olursa ardl devreler girilerinde
olan deiikliin klarna yanstlma zamanna gre snflandrlrlar. Birinde girilerinde
olan deiiklik beklemeksizin yalnzca kullanlan kap vs. gibi birimlerin gecikme sresi
kadar sonra ka yanstlr. Burada herhangi bir senkronlama iareti yoktur. Dolaysyla bu
alma ekli asenkron olarak adlandrlr. Dierinde ise girilerinde olan deiiklik
klara hemen yanstlmaz; deiikliin yanstlmas iin bir senkronlama iareti kullanlr.
Bu iaret aktif olduunda girilerinde olan deiiklik klara yanstlr, burada da tabi ki
devrede kullanlan kap vs. eleman gecikmeleri yine sz konusudur. Bu ekilde alma
ekliyse senkron olarak adlandrlr.

Flip-Flop Uyarma Tablolar

() ( + )
0 0 0 X 0 X 0 0
0 1 1 0 1 X 1 1
1 0 0 1 X 1 0 1
1 1 X 0 X 0 1 0

1.1. Senkron Ardl Lojik Devreler


Ardl devreler senkron ve asenkron olarak ikiye ayrlmaktadr. Yaygn olarak ok
kullanld iin senkron ardl devreler (zamanlanm sral devreler, senkron ardl lojik)
anlatlacaktr. Ardl senkron devreler iki aamada incelenecektir:
a) Senkron ardl devre analizi
b) Senkron ardl devre sentezi

1.1.1. Senkron ardl devre analizi


Senkron ardl devrelerde klar ve bir sonraki durum, girilerin ve mevcut
durumlarn bir fonksiyonudur. Byle bir devrenin blok emas aada gsterilmitir:
1 1 1 , , :Devre girileri
Senkron Ardl 1 , , :Devre klar
Lojik Devre
() Saat (tetikleme) darbesi

ekil 1.3. Senkron ardl devre emas


3

rnek 1.1. ekil 1.4 de verilen devrenin analizini gerekletiriniz.



1 1 2 2 3 3

1 1
1
1 1

2 2
2
2 2

3 3
3
3 3

ekil 1.4. Senkron ardl devre analizi- rnek devre1


(Devrede kullanlan tm kaplar NAND dr)

Devrenin bir girii ve bir k vardr: X girii ve Z k yannda dardan CLK senkronlama iareti
verilmektedir. ekil 1.4 deki devreden Z k iin;

= 2 3

fonksiyonu bulunur. Clock darbesi geldiinde 2 3 = 111 ise = 1 dir. Dier yandan FF
uyarma fonksiyonlar u ekilde yazlabilir:

1 = 2 3 2 1 = 2 3 + 2 , 1 = 2

2 = 1 2 + 2 3 , 2 = 2

3 = 1 , 3 = 2 +
4

CLK darbelerine gre FF ler deiik durumda olabilirler. 1 2 3 = 000 durumunu FF lar balang
durumu olarak ele alalm ve 1 numaral durum olarak gsterelim.

Bamsz X deikeninin 0 ve 1 olmas halinde her CLK darbesinde 1 2 3 baml deikenlerinin Z


knn hangi deerler alaca aada gsterilmitir.

= 1 deeri iin;

1 = 2 3 + 2 = 0. 1 + 0.1 = 0 , 1 = 2 = 0

1 1 = 00 olduu iin 1 in k durum deitirmez ve CLK dan sonra yine 1 = 0 olur.

2 = 1 2 + 2 3 = 0 , 2 = 2 = 0

2 2 = 00 olduu iin 2 nin k durum deitirmez ve CLK dan sonra yine 2 = 0 olur.

3 = 1 = 1.1 = 1 , 3 = 2 + = 0 + 0 = 0

3 3 = 10 olduu iin 3 n k durum deitirir ve CLK dan sonra yine 3 = 1 olur.

= 2 3 = 1.0.0 = 0

O halde gelen CLK darbesi sonunda FF klar olan baml deikenler 1 2 3 = 000 durumundan

1 2 3 = 001 durumuna geleceklerdir. Bu yeni durumu 2 ile gsterirsek devrenin durum diyagramnn
bir paras ekil 1.5 de gsterildii gibi elde edilebilir.

1 1 0 2

ekil 1.5.Durum diyagramnn paras-1

Bu ekle gre, t annda 1 durumunda olan ardl devreye = 1 girii uygulanrsa Z knda 0 oluur ve

+ annda devre 2 durumuna geer. Ayn artlarda X=0 olduu kabul edilirse CLK darbesinden sonra FF

klarnn 1 2 3 = 100 olaca ve devre k = 0 kalaca grlr. Bu yeni durumu 3 ile


gsterirsek devrenin durum diyagramnn bir paras ekil 1.6 daki gibi olur.

100 000 001

3 0 0 1 1 0 2

ekil 1.6. Durum diyagramnn paras-2

Ayn ekilde ileme devam edilirse devreye ait durum diyagramnn tanm ekil 1.7 deki gibi olacaktr.
5

000 001
100 0 0 1 0

3 1 2
1 0
0 0 0 0 1 0

110 4 0 0 0 0 5
111
1 0 1 1 1
000

ekil 1.7. Durum diyagramnn paras-3

Durum diyagramndan 1 2 3 = 000 balang durumunda iken arka arkaya gelen 3 CLK darbesinde

= 1 ise k 3. CLK darbesi sonunda 1 olur, in dier durumlarnda = 0 olur.

Belirsiz durumlarn nne gemek iin FF larn klarn balangta sfrlamak gerekir. ekil 1.7 deki
durum diyagramndan izelge 1.1 deki durum tablosu elde edilir.

izelge 1.1. Durum Tablosu

Gelecek Durum k (Z)


imdiki Durum
X=0 X=1 X=0 X=1
1 3 2 0 0
2 4 5 0 0
3 4 4 0 0
4 1 1 0 0
5 1 1 0 1

Durum tablosu, durum says minimum olan bir tablodur. Yani tekrarlanm durumlar yoktur. Durum says
minimum olan izelge 1.1 den uygulama tablosu elde edilir. Uygulama tablosu, durum gei tablosu, k
tablosu ve FF uyarma tablolarndan olumaktadr. Uygulama tablosuna ait sz edilen tablolar ayr ayr
yazlaca gibi izelge 1.2 deki gibi de gsterilebilir.

izelge 1.2. Uygulama Tablosu

.D. Giri G.D. FF Girileri k


Durum Durum
X Z
1 0 0 0 0 1 0 0 3 1 0 0 X 0 X 0
1 0 0 0 1 0 0 1 2 0 X 0 X 1 0 0
2 0 0 1 0 1 1 0 4 1 0 1 0 0 1 0
2 0 0 1 1 1 1 1 5 1 0 1 0 X 0 0
3 1 0 0 0 1 1 0 4 X 0 1 0 0 X 0
3 1 0 0 1 1 1 0 4 X 0 1 0 0 X 0
4 1 1 0 0 0 0 0 1 0 1 0 1 0 X 0
4 1 1 0 1 0 0 0 1 0 1 0 1 0 X 0
5 1 1 1 0 0 0 0 1 0 1 0 1 0 1 0
5 1 1 1 1 0 0 0 1 0 1 0 1 0 1 1
6

Uygulama tablosunda durumlar X=0 ve X=1 iin birer kere yazlr. FF uyarma giri deerleri SR FF a ait
gei tablosundan faydalanlarak elde edilir. rnein 1 durumunda X=0 iin 3 durumuna geilmitir ve Y1
k 0 dan 1 e gemitir. Bu durumda 1 1 = 10 .
Uygulama tablosundan yararlanlarak baml ve bamsz deikenlere gre FF uyarma girileri ve Z
k iin Karnaugh diyagram karlr. Uygulama tablosunda gsterilmeyen durumlar yani kullanlmayan
durumlar Karnaugh diyagramnda keyfi olarak gsterilir.

1 1
00 01 11 10 00 01 11 10
2 3 2 3
1 = 2 + 2 3
00 1 X X 0 00 0 0 0 X
01 1 1 01 0 0
11 0 0 1 = 2 11 1 1

10 0 0 10 1 1

1 = 2 3
00 01 11 10
2 3
00 0 0 0 0
01 0 0
11 0 1
10 0 0

Benzer ekilde ileme devam edilirse aadaki sonular bulunur:

2 = 1 2 + 2 3 , 2 = 2 , 3 = 1 , 3 = 2 +

Durum tablosunda kullanlmayan kombinasyonlarn herhangi bir kargaaya neden olmamas iin, bu
kombinasyonlarn bir CLK darbesi sonra belirli bir konuma dndrlmeleri gerekir. Bu konum 1 2 3 = 000
yani balang konumu olsun. Enerji verilince FF klarnda kullanlmayan komutlardan 1 2 3 = 010
oluursa, bu durumun birinci CLK darbesi sonunda 1 2 3 = 000 konumuna dnmesi gerekir. Bu durumda
yalnz 2 k konum deitirir, 1 ve 3 ise konum deitirmez. O halde 1 1 = 0, 2 2 = 01, 3 3 = 0
olur. Kullanlmayan kombinasyonlardan 1 2 3 = 101 kombinasyonunun birinci CLK darbesi sonunda
1 2 3 = 000 konumuna gelmesi iin 1 1 = 01, 2 2 = 0, 3 3 = 01 olmaldr.

Bu deerler iin kullanlan uyarma tablolar ve bu tablolardan elde edilen uyarma fonksiyonlar
izelge 1.3 de verilmitir. nceki tablolarda kullanlmayan durumlarn 1 2 3 = 000 konumuna dnmeleri
= 0 = 1 iin olur. nceki tablolara bu durumlar ilave edilir.
7

izelge 1.3. Ek uygulama tablosu

imdiki Durum Giri Gelecek Durum


X
1 0 1 0 0 0 0
1 0 1 1 0 0 0
0 1 1 0 0 0 0
0 1 1 1 0 0 0
0 1 0 0 0 0 0
0 1 0 1 0 0 0

Yeni oluan son duruma gre Karnaugh diyagramlar yeniden hazrlanr. FF uyarma girileri ve Z
k iin aadaki ifadeler elde edilir.

1 = 1 2 + 1 2 3 , 1 = 2 + 1 3
1 00
01 11 10
2 3 2 = 1 2 3 + 1 2 3 , 2 = 2
00 1 X X 0
3 = 1 2 , 3 = 1 + 2 +
01 1 0 0 1
11 0 0 0 0
10 0 0 0 0
8

1.1.2. Durum Saysnn Azaltlmas

Ardl devrede kullanlan lojik kap ve FF saylar azaltlabilir. - adet FF dan 2


adet durum oluur. FF saysnn azaltlmas hem durumun azaltlmas hem de maliyet
ynnden gereklidir. Durumlar azaltlrken, giri ve ktaki isteklerin nceki durumdaki gibi
yerine getirilmesi gerekir. ekil 1.8 deki durum diyagram ile bu konu daha detayl
aklanacaktr. Diyagrama ait devrenin bir girii ve bir k vardr. Durumlar
, , , , , , dir. 0 0

0 0 a

g 1 0 0 0
0 0

b c
0 0
1 1 1 0 1 0

0 0 d e
0 0
1 1

f 1 1

1 1
ekil 1.8. Durum diyagram

Diyagrama bakarak durumlarn azaltlp azaltlamayaca konusunda bir fikir


yrtlemez. Bu yzden durum diyagramndan hareket edilerek durum tablosu oluturulur.
izelge 1.4 de elde edilen durum tablosu grlmektedir.

izelge 1.4. Durum tablosu

Gelecek Durum k (Z)


imdiki Durum
= = = =
a a b 0 0
b c d 0 0
c a d 0 0
d e f 0 1
e a f 0 1
f g f 0 1
g a f 0 1

Durumlarn azaltlabilmesi iin, imdiki duruma ait sonraki durumun ve kn eit


olmas gerekir. Bu zellii salayan durumlar e ve g dir. O halde g durumu silinip
9

bunun yerine e yazlabilir. g yerine e yazlrsa f durumuna ait gelecek durum = 0


iin e, = 1 iin f olur. Bu ise d durumuna ait gelecek durumu ile ayndr, klarda
ayn olduu iin f yerine d yazlabilir. Bylece izelge 1.4 deki durum tablosundan f
ve g silinerek bunlarn yerlerine d ve e yazlabilir.
Durum saysnn azaltlmasn gsteren tablo izelge 1.5 de verilmitir.

izelge 1.5. Durum tablosunun azaltlmas

Gelecek Durum k (Z)


imdiki Durum
= = = =
a a b 0 0
b c d 0 0
c a d d 0 0
d e f 0 1
e a f d 0 1
f g e f 0 1
g a f 0 1

ndirgenmi durum tablosu izelge 1.6 da grlmektedir.

izelge 1.6. ndirgenmi durum tablosu

Gelecek Durum k (Z)


imdiki Durum
= = = =
a a b 0 0
b c d 0 0
c a d 0 0
d e d 0 1
e a d 0 1

ndirgenmi durum tablosuna uygun yeni ak diyagram ekil 1.9 da grlmektedir.

0 0

0 0 a
e 1 0
0 0
1 1 b c
0 0
1 0 1 0
0 0
d

1 1
ekil 1.8. ndirgenmi durum diyagram
10

rnek 1.1. T flip-flop kullanarak 4 bitlik bir sayc tasarlaynz. Sayc balangta 0
gsterecek ve 9 saysn saydktan sonra tekrar 0 a dnecektir.

0 0 0000
9 1 4 FF kullanlacak
9 1001
8 2
Balangta btn FF lar resetlenerek, FF klar 0
7 3 yaplr. Buna gre uygulama tablosu aada

6 4 verilmitir. Saycnn herhangi bir girii ve k


( ) gibi yoktur.
5

imdiki Durum Sonraki Durum FF Uyarma Girileri



0 0 0 1 0 0 0 1
0 0 1 0 0 0 1 1
0 0 1 1 0 0 0 1
0 1 0 0 0 1 1 1
0 1 0 1 0 0 0 1
0 1 1 0 0 0 1 1
0 1 1 1 0 0 0 1
1 0 0 0 1 1 1 1
1 0 0 1 0 0 0 1
0 0 0 0 1 0 0 1

Kullanlmayan durumlar: 1010, 1011, 1100, 1101, 1110, 1111 Keyfi deer


00 01 11 10

00 0 0 0 0
= + , =

01 0 0 1 0
= , = 1
11 X X X X
10 0 1 X X
11

rnek 1.2. 1, 4, 5, 7 sayan ve tekrar 1 e dnen bir sayc tasarlaynz. Kullanlmayan


durumlarda sayc 1 e ayarlanacak ve JK FF kullanlacaktr (Balangta FF klarnn 0
konumunda olduu kabul edilecek).

0
2

1
6

7 4

Durum diyagramndan grlecei gibi durumlar 3 bit kullanlarak ifade edilebilir.

imdiki Durum Sonraki Durum FF Uyarma Girileri



0 0 1
1 0 1
0 1 1
0 1 0
0 0 1
0 1 0
1 1 1
1 1 0

01 = , =

00 11 10

0 1 = , = 1
1 X X X X
= 1 , =

rnek 1.3. Girie gelen her 3 adet Lojik 1 deeri iin knda Lojik 1 veren tek girili tek
kl senkron ardl devreyi gerekletiriniz.

0110010111011110 0 0 1 1

0000000001000110 1 0 1 0 1 1
X=0 01 011 0111
X=0 durumundan balayalm.
0 0
0 0 0 0
12

X=0 iken Z=0 olur, 0111 durumunda dizi yakalanr ve kendi durumunda kalr. X=0 olursa
baa dner. Durum diyagram incelenecek olursa 4 durum sz konusudur. Byle bir durumda
2 FF yeterli olacaktr.

imdiki Durum Giri Sonraki Durum FF Uyarma Girileri k



0 0 0
0 1 0
0 1 0
1 1 0
1 0 0
0 1 1
1 1 0
0 0 1

11
00 01 10
= , =
0 0 0 1 0
1 X X X X = , = + , =



13

rnek 1.4. 0110 dizisini yakalayan devreyi JK flip-floplar kullanarak gerekleyiniz.

0 0

0 0 1 0

1 0 1 0 0 1
0 01 011 0110

0 0 1 0

0111
0 0 1 0

Gelecek Durum k (Z)


imdiki Durum
= = = =
a a b 0 0
b a c 0 0
c d a e 1 0
d a b 0 0
e a e 0 0

imdiki Durum Giri Sonraki Durum FF Uyarma Girileri k



0 0 0
0 1 0
0 1 0
1 1 0
1 0 1
0 1 0
1 1 0
0 0 0

11

00 01 10 = , =
0 0 0 1 0
= , = + , =
1 X X X X
14

rnek 1.5. 1001 dizisini yakalayan devreyi D flip-floplar kullanarak gerekleyiniz.


1 0

1 0 0 0

0 0 0 0 1 1
1 10 100 1001

1 0 0 0

1000
1 0 0 0

Gelecek Durum k (Z)


imdiki Durum
= = = =
a b a 0 0
b c a 0 0
c e d a 0 1
d b a 0 0
e e a 0 0

imdiki Durum Giri Sonraki Durum FF Uyarma Girileri k



0 1 0
0 0 0
1 0 0
0 0 0
1 1 0
0 0 1
1 1 0
0 0 0

10
00 01 11

0 1 = + = ( + )
0 0 0
1 1 0 0 1

00
01 11 10

0 0 = + = ( + )
1 0 0
1 1 0 0 1
=
15

rnek 1.6. 1011 dizisini yakalayan devreyi T flip-floplar kullanarak gerekleyiniz.

1 0

1 0 0 0

0 0 1 0 1 1
1 10 101 1011

0 0 0 0

1 0
100
0 0

Gelecek Durum k (Z)


imdiki Durum
= = = =
a b a 0 0
b e c 0 0
c b d a 0 1
d b a 0 0
e e a 0 0

imdiki Durum Giri Sonraki Durum FF Uyarma Girileri k



0 1 0
0 0 0
1 0 0
1 1 0
1 1 0
1 0 1
0 0 0
1 1 0

11
00 01 10

0 1 = + +
0 0 1
1 1 1 1 0

00 11
01 10

= + =
0 1 0 1 0
1 1 0 1 0
=
16

1.1.3. Senkron Ardl Devre Sentezi

Ardl devrenin tasarm sentez ileminin konusudur. Tasarm ilemi iin aadaki ilem
admlar takip edilir.

(a) Devrenin davran szle tanmlanr. Bu tanmlamaya uygun olarak durum diyagram
kartlr. Bu ilem iin zaman diyagram veya dier bilgilerden faydalanlabilir.

(b) Durum diyagramndan faydalanlarak, mmknse durumlar azaltlr.

(c) Kullanlacak FF says ve tipi belirlenir.

(d) Durum diyagramnda kullanlmayan durumlar da gz nne alnarak uygulama tablosu


kartlr.

(e) Uygulama tablosundan FF uyarma tablosu ve k tablosu kartlr. Bu tablolarda


kullanlan deikenler devrenin baml ve bamsz byklkleridir.

(f) Uyarma ve k tablolarndan lojik fonksiyon elde edilir.

(g) Lojik fonksiyonlara uygun olarak devre izilir.

Kullanlmayan durumlar iin bir istek belirtilmemise bu durumlar tasarm srasnda gz


nne alnmazlar. Baz devrelerde k olmayabilir, sadece giri olabilir.

rnek 1.4. SR FF kullanarak verilen durum diyagramna ait lojik devreyi gerekletiriniz.

0 0

1 1 001
0 0
() ( + )
a 0 0 X
0
0 1 1 0
1 0 1 0 0 1
e 100 011 b
1 1 X 0
0 0
0 0
1 1
010
c
0 0
1 1 1 1

000

d
17

Durum tablosu u ekilde olur:

Gelecek Durum k (Z)


imdiki Durum
= = = =
a a e 0 1
b a c 0 1
c c d 0 1
d b e 0 1
e c b 0 0

Tablo incelenirse durum saysnn azaltlamayaca grlr. 5 durum olduu iin


tasarm iin 3 FF gerekir.

.D. Giri G.D. FF Uyarma Girileri k


Durum Durum
X Z
d 0 0 0 0 0 1 1 b 0 X 1 0 1 0 0
d 0 0 0 1 1 0 0 e 1 0 0 X 0 X 1
a 0 0 1 0 0 0 1 a 0 X 0 X X 0 0
a 0 0 1 1 1 0 0 e 1 0 0 X 0 1 1
c 0 1 0 0 0 1 0 c 0 X X 0 0 X 0
c 0 1 0 1 0 0 0 d 0 X 0 1 0 X 1
b 0 1 1 0 0 0 1 a 0 X 0 1 X 0 0
b 0 1 1 1 1 0 0 c 0 X X 0 0 1 1
e 1 0 0 0 0 1 0 c 0 1 1 0 0 X 0
e 1 0 0 1 0 1 1 b 0 1 1 0 1 0 0

Kullanlmayan durumlar: 101, 110, 111 Keyfi deer

= , =

00 01 11 10

00 0 1 1 0
= + , = +

01 0 0 0 0
= + , =
11 X X X X
10 0 0 X X

01
00 11 10

=
00 0 1 1 0
01 0 1 1 0
11 X X X X
10 0 0 X X
18

rnek 1.5. JK FF lar ile Mod 4 saycs aadaki zelliklere gre gereklenecektir:

a) Sayc, iki girili (1 , 2 ) ve iki kl (1 , 2 ) ileri- geri saycdr

b) ki giriin ikisi birden 1 olmamaktadr.

c) 1 = 1 olduunda ileri saymakta fakat k maksimum sayy gsterince sabit kalmaktadr


2 = 1 olduunda geri saymakta fakat k minimum sayy gsterince sabit kalmaktadr.
1 2 = ifadesi FF larn sonraki durumunu gstermektedir.

Saylacak saylar 0, 1, 2, 3 olduu iin 2 FF yeterlidir.


Sayc Durumu
0 0 Deimeyecek
1 2 = 1 2 1 2 = 1 2 0 1 Geri sayacak
1 0 leri sayacak
1 1 Keyfi
= 1 2 = 00 balang durumudur.

00 00 00 01 00 10 00 11

10 01 10 10 10 11
00 01 10 11

10 11
01 00 01 00 01 01 01 10

imdiki Giri Gelecek FF Uyarma Girileri k


Durum Durum

0 0 0 0 0 0 0 0
0 1 0 0 0 0 0 0
1 0 0 1 0 1 0 1
1 1
0 0 0 1 0 0 0 1
0 1 0 0 0 1 0 0
1 0 1 0 1 1 1 0
1 1
0 0 1 0 0 0 1 0
0 1 0 1 1 1 0 1
1 0 1 1 0 1 1 1
1 1
0 0 1 1 0 0 1 1
0 1 1 0 0 1 1 0
1 0 1 1 0 0 1 1
1 1
19


00 01 11 10
1 2
00 01 11 10 1 2
00 X X 0 0
00 0 0 X X
01 0 0 X X 01 X X 0 1

11 X X X X 11 X X X X

10 0 1 X X 10 X X 0 0

= 1 = 2


00 01 11 10 00 01 11 10
1 2 1 2
00 X 0 0 X
00 0 X X 0
01 X 01 X 1 1 X
0 X 1
11 X X X X 11 X X X X

10 1 X X 1 10 X 1 0 X

= 1 + 2 = 1 + 2
20

2. REGSTERLAR

Bir saysal sistemin saklayabilecei en kk bilgi birimi 1 veya 0 lojik deerine sahip bir
ikili (binary) bilgi veya bir bittir. Bir veri biti, FF veya bir bit saklayc (register) olarak
adlandrlan elektronik devrede saklanr. Bir bitlik bir FF hafza hcresi olarak adlandrlr.
alma gc kesilmedii ve sinyaller ile durumun deimedii takdirde, sresiz kalabilecei
iki kararl duruma sahiptir. Aadaki ekilde bir bitlik bir register grlmektedir.

+1 = Dn




ekil 2.1. Bir bitlik register

CLK tetikleme seviyesinde kald mddete k girii takip eder.

D tipi FF mikroilemcili sistemlerde olduka youn olarak kullanlmaktadr. Dier FF larda


kullanlabilir.

2.1.-bit register

Birden ok veri bitini ayn anda saklamak iin D tipi FF larn saat girileri m-bit register
oluturacak ekilde paralel olarak birletirilir.

0 1 1


0 1 , 1

ekil 2.2. m-tane D tipi FF tan oluan m-bir register


21

bit: binary digit (0 ya da 1)


nibble: 4 bitlik veri paketi (010 1510 16 0000 1111)
byte: 8 bitlik veri paketi(010 25510 256 00000000 11111111)
Bir byte lk bir alan 256 adet veri kaydedilebilir.

Genelde mikroilemci sistemlerde temel veri yolu uzunluk birimi olduundan deiik
yaplarda 8 bit registerlar retilmitir. rnein 74X273 yukardaki ekilde grld gibi 8
tane D tipi FF ierir.
Genelde FF klarndaki bilgilerin bir kontrol mekanizmasna bal olarak ilgili birimlere
aktarlmas istenir. Bu durumda FF larn veri klarna 3 durumlu buffer eklenir. Bylece
paralel olarak veri aktarm kontrol altna alnm olur.

0 1 1

0 1 1

ekil 2.3. durum klara sahip m-bir register

: ,
:

:
= , = 1 = , = 0

74X374, 74X574 entegreleri 8 bitlik pozitif kenar tetiklemeli 3 durumlu klara sahip
entegrelerdir.
22

0 0 0 0

8 8 8 8
7 7 7 7

(a) (b)
ekil 2.4. durum kl 8-bit (octal) register (a) Kenar tetiklemeli, (b) Seviye tetiklemeli

2.2. Registerlarda paralel bilgi aktarm

1 1 1 1

1 1
1 1

ekil 2.5. Registerlarda paralel bilgi aktarm


Seri bilgi aktarm shift (kaydrc) register ile yaplr.

2.3. Saa telemeli register


Seri Bilgi Girii

Seri
Bilgi
k

ekil 2.6. 4-bitlik saa telemeli register (shift register)

4 CLK darbesi ile 4 bitlik bilgi yerleir. Baka bir deyile 4 CLK darbesinden sonra girie
gelen ilk bilgi ktan alnr.
23


Seri Bilgi Girii

Seri
Bilgi

k


ekil 2.7. JK FF ile 4-bitlik saa telemeli register (shift register)

2.4. Sola telemeli register

Seri Bilgi Girii


Seri
Bilgi
k

ekil 2.8. 4-bitlik sola telemeli register (shift register)

2.5. ift ynl paralel yklemeli registerlar

ekil 2.9. Paralel yklemeli 4-bitlik iki ynl telemeli register (74194)
24

lem
0 0 Deime yok
0 1 Saa kaydr
1 0 Sola kaydr
1 1 Paralel ykle

2.6. Registerlar aras veri transferi


Registerlar aras veri transferi, verinin okunaca bir kaynak (source) register ile
verinin yazlaca bir hedef (destination) register gerektirir. Bu iki register bir veri yolu ile
birbirine balanmaldr. Bir mikroilemcili sistemde pek ok kaynak ve hedef register
bulunabilecei iin, her kaynak ve hedef iftini kendilerine ayrlm bir veri yolu ile balamak
mmkn deildir. Bu yzden mikroilemcili sistemler paylalan veri yolu kullanr.

2.6.1. register transfer


Registerlar sembolik olarak aadaki ekillerde olduu gibi gsterilir:

12 12 1 16 8 1

3 2 1 0 () ()

A(8) ile belirleniyorsa 8 bitlik register sz konusudur (MBR ve PC mikroilemcilerdeki zel


register isimleri, PC: Program counter).

MBR(16)=PC(16) PC(L)=PC(1-8), PC(H)=PC(9-16)

Bir registerdan dierine veri transferi yer deitirme operatr ile gsterilir. ifadesi B
den A ya veri transferini gsterir Transfer ileminin zamann belirleyen kontrol
fonksiyonlar bir boolean fonksiyonudur ve bu ekilde olan ilemler aadaki gibi gsterilir.
1 : ifadesi = 0, 1 = 1 olmas durumunda B deki bilginin A ya transferi mevcut.





25

Sembol Tanm rnek


Harf Register , , 2
(Rakam)
ndis Register biti 2 , 6
( ) Register paras , ()
Veri transferi ve yn
: Kontrol fonksiyonlar snrlamal 0 :
, ki mikroilemi ayrma ,
3 : ,
[ ] Memory transferi iin adresin []
belirlenmesi

Baz durumlarda herhangi bir register farkl iki kaynaktan (register) ayn anda olmamak art
ile bilgi alabilir.
1 :
5 : , : Kaynak register, : Hedef register

4 4



21

4
5


1
ekil 2.10. 1 : MUX kullanarak iki kaynaktan tek bir registera bilgi aktarm

2.6.2. Bus transfer


Dijital sistemler birok registera ve birok registerdan dierine veri transferinde birok
iletim hatlarna sahiptir.

6 : 1 3

1 : 1 2 2 : 2 3
1 2 3

5 : 2 1 4 : 3 2

3 : 3 1
26

1 6 : Kontrol sinyalleri
Toplam 6 8 = 48 tane iletim hattna ihtiya var. Bunun iin bus organizayonu
yaplyor.
ekilden grlecei gibi 3 register arasnda 6 iletim yolu ve her iki register arasnda
seme ileminin yaplmas iin MUX lara ihtiya vardr. Eer her bir register tane FF dan
meydana gelmise 6 iletim hattna ve 3 MUX a ihtiya vardr. Register says arttka hat
says ve MUX says haliyle artacaktr. Eer transfer ilemni belirli bir anda belirli bir
register snrlarsak bu durumda registerlar arasndaki yol says azaltlabilir.

rnek 2.1. Aadaki devrede mikroilemini yapmak iin gerekli olan kontrol
kelimesini yaznz.

: 8 bitlik veri yolu (Data bus) ----Data bus iin 8 bit yeterli
1 , 2 : Kontrol sinyali
1 , , : Kontrol sinyalleri
ilemi (mikroilem, mikroprogram)
Bu ilemi yapmak iin
1. 2 kontrol sinyali ile deki bilgi ye aktarlr.
2. 1 kontrol sinyali ile deki bilgi ya aktarlr. ki adet CLK darbesi sonunda
yukardaki ilem yaplm olur.
Kontrol kelimeleri = 1 + 2
27

rnek 2.2. 4 registerdan olumu bir bus organizasyonunun incelenmesi

Paralel ykleme 8

0 1 2 3
8 8 8 8

0 8 8
1 2 3
8 8
24
3
Seme ular
4 41
2

1 2 1 8
8
Seme ular
Veri hatt (D)
k

1 , 2 : zin ular, aktif olduu zaman buna ait eleman (entegre devre) alr.
Sistemin almas iin 1 ve 2 yi srekli aktif tutmalyz.
1 2 Dekoder k 3 4 MUX k
0 0 0 (0 ) 0 0 0 ka aktarlr
0 1 1(1 ) 0 1 1 ka aktarlr
1 0 2 (2 ) 1 0 2 ka aktarlr
1 1 3 (3 ) 1 1 3 ka aktarlr

3 0 ilemi yapmak istiyoruz


1. 0 kontrol sinyali ile 0 ilemi yaplr. Buna gre 0 dataya aktarabilmek iin
3 4 = 00 ve 2 = 1 olmal. 0 kontrol kelimesi 3 4 1 = 001 dir.
2. Data 3 e aktarlr (3 ilemi yaplyor)
Bunu yapmak iin dekoderin 3 nolu kna aktif yapmalyz. Bunun iin 1 2 = 11, 1 = 1
olmaldr. 3 kontrol kelimesi 111 olmaldr.
3 0
Bylece 3 0 mikroilemi iin kontrol kelimesi
111 001

1 ve 2 aktif olmazsa elemanlarn klar yksek empedans gsterir.


= 1 ise =
=
= 0 ise ile aras ak devre (yksek empedans)

=1
28

Yukardaki 4 register iin ortak bus daha detayl izersek aadaki devreyi elde ederiz.
(4 )
1.

41
1

2.

1 41



3.

1 41

4.

0 1 2 3

24 1 41 1.

4.

Bit says kadar MUX gereklidir.


Register says ise MUX iin giri saysn belirler.
1.

41

1


.
1

41

0 1 2 3

24 1
1.

.
29

2.7. Register Kullanarak Ardl Devre Dizayn


Genel olarak registerl bir ardl devrenin blok diyagram aada gsterilmitir.

ekil 2.11. Register kullanarak ardl devre dizayn blok gsterim

rnek 2.3. Aada durum tablosu verilen devreyi register kullanarak dizayn ediniz.

imdiki Durum Giri Sonraki Durum k



0
0
0
1
0
0
0
1

1( + 1) = (4, 6) 2( + 1) = (1, 2, 5, 6) (1, 2, ) = (3, 7)

Deerler Karnaugh diyagramna aktarlp indirgendiinde

2 01
2 00 11 10
00 01 11 10 1
1
0 1 1
0
1 1 1 1 1 1

1( + 1) = 1 2 + 1 = 2 + 2 2 + 1 = 2 +




30

rnek 2.4. Yukardaki rnei ROM kullanarak dizayn ediniz.


Adres klar Depolu bilgi
0 0 0 0 4 5 n
1 0 1 0

Adres klar 2 0 1 0
1 2 3 1 2 3 3 0 0 1
1 0 0 0 0 0 0
2 0 0 1 0 1 0 4 1 0 0
3 0 1 0 0 1 0 5 0 1 0
4 0 1 1 0 0 1
6 1 1 0
5 1 0 0 1 0 0
6 1 0 1 0 1 0 7 0 0 1
7 1 1 0 1 1 0

8 1 1 1 0 0 1
n

klar hafza bit saysn belirtir. Giriler adres hattn verir. Burada 8 3 ROM gerekir.
ROM a yaplan giri says FF larn says ve harici girilerin saysnn toplam
kadardr. ROM klarnn says ise FF larn says ile harici klarn saylarnn toplam
kadardr. Bu durumda ROM byklnn 8 3 olmas gerekir (3 giri, 3 k var).

1 1 1

83
2
2 2
3
3


ROM lu ve registerl ardl devre

2.8. Seri Transfer

1 0 0



ekil 2.12. Seri transfer blok gsterim
31

( )

1 2 3 4

ekil 2.13. Zamanlama diyagram

Word time: Registerdaki bilginin kaydrlmas iin geen sre


Zamanlama darbesi Shift Register-A Shift Register-B B nin seri k
Balang deeri 1011 0010 Balang
1 den sonra 1101 1001 1
2 den sonra 1110 1100 0
3 den sonra 0111 0110 0
4 den sonra 1011 1011 1

Word Time sinyalinin retilmesi




( )

ekil 2.14. Word Time sinyalini reten devre


1 2 3 4 5 6 7 8

()

()

( )
32

3. ALU TASARIMI

ALU iki ksmdan oluur:


Aritmetik nite: Aritmetik ilemlerin yapld nite
Lojik nite: Lojik ilemlerin yapld nite

4 bitlik bir ALU iin blok emas aadaki gibidir:


3 2 1 0 3 2 1 0

2
1
4 bitlik ALU
74181 (LS281) 0

3 2 1 0
F k

ekil 3.1. 4 bitlik bir ALU iin blok gsterim

: Elde girii, bir nceki ALU dan geleni alr.


1 , 0 : Fonksiyon seme ular
2 : Mod seme ucu (Aritmetik yada lojik ilemlerin hangisinin seileceini belirler)

e kendimizde giri verebiliriz. Eer girilerini hibir yerde kullanmaz isek, girii
de mod seici giri olarak kullanlr ve 4 tane seme girii olur.
Burada ilemler bit bit yaplr.
+ = 3 2 1 0 + 3 2 1 0
= 1111, = 1001, + = 11000 = 3 2 1 0
74181 entegresinde (ALU), 0 3 : Fonksiyon seme ular, : Aritmetik/Lojik seme ucu,
: Aritmetik ilemler.
33

3.1. Aritmetik ilemler


Aritmetik ilemler tam toplayc (full adder) ile yaplr. FA iki tane biti toplayabilir.

1. Toplama ilemi 2. Eldeli toplama ilemi 3. y ile toplama


= 0 = 1 = 0
FA FA FA


= + = ++1 = +

4. karma ilemi 5. A nn aktarlmas 6. A nn 1 artrlmas

0 1

= 1 = 0 = 0
FA FA FA


=++1 = = = +1

7. A nn 1 azaltlmas 8. A nn aktarlmas (II. method)

Btn bitler 1 Btn bitler 1

= 0 = 1
FA FA


= 1 = 1+1=
34

B girilerini oluturan devre


1 0
1 0 k 0 0 0 0
0 0 0 0 0 1 0
0 1 0 1 0 0
1 0 0 1 1 1
1 1 1 1 0 0 1
1 0 1 0
1 1 0 1
0 11 1 1 1 1
00 01 10
1

0 1 0
1 1 1 1 = 0 + 1

3.2. 4 Bitlik Aritmetik lemci Tasarm


4 tane FA kullanarak oluturabiliriz.

ekil 3.2. 4 bitlik aritmetik ilemci


35

giriini seme girii olarak kullanalm.

1 0 k = 1 olma artlar lem


( ne zaman 1 olur?)
0 0 0 0 = 0 nn transferi
0 0 1 0 +1 = 2 1 = 1 Increment
0 1 0 + + 2 = 1 Toplama
0 1 1 ++1 + 2 1 = 1 Eldeli toplama
1 0 0 + > = 1 nn ile toplanmas
1 0 1 ++1 = 1 karma
=
1 1 0 1 1 = 1 Decrement
1 1 1 1 Her zaman = 1 nn aktarm

: Aritmetik ilemci k (1): Btn bitler 1

= 1 + 0 ifadesinde 1 0 = 11 yazlrsa = + = 1 olur.

= 1 olma artlarndan bazlarn inceleyelim:


1. = durumunda = 0 olur. nk = 0 olduunda elde k da toplam k da 0 olur.
2. = + 1 durumunda = 1 olur. nk = 2 1 daki bitlerin hepsinin 1 olmas demektir
(n=bit says). Bu deere 1 eklenirse = 1 olur.
= (1111)2 , = 4 = 2 1 = 15 + 1 = 10000 = 1 olur.
3. = + durumunda + 2 = 1 olur.
= (1111)2 = (15)10 = 1 + 2 dir.
= (0001)2 = (1)10
+ = (10000)2 = (16)10
4. = + + 1 durumunda + 2 1 = 1olur.

rnek 3.1. Girileri ve seme girii olan toplama ve karma ilemlerini gerekletiren
2 bitlik aritmetik ilem birimini tasarlaynz.

= 0 iin = + olsun
= 1 iin = olsun

= 0 = 1
FA FA


= + =++1 =
36





= + +
FA

: bit says

=
0 0 0 0 0
0 0 1 0 1 = , =
0 1 0 1 0
0 1 1 1 1
1 0 0 0 1
1 0 1 0 0
1 1 0 1 1 = , =
1 1 1 1 0

11
00 01 10 00
= 01 11 10

0 1 1
= + 0 1 1
1 1 1 1
1 1 1

Bu durumda devre aadaki gibi izilir:

2 1 2 B1

1
1
FA

1
+ 1

2
2
FA
2
+ 2

dev. Girileri A ve B olan seme girii S olan bir devrede increment A ve decrement A
ilemi yaplacaktr. Bu devreleri gerekletiriniz.
37

1 Saynn 1' e tmleyeni


= 0 iin = + 1 olsun
= 1 iin = 1 olsun

= 0 = 1
FA FA


= +1 = 1

3.3. Lojik Devrenin Tasarm


Temel lojik ilemler: AND, OR ve NOT ilemi. Dier lojik ilemler bu 3 temel lojik ilemin
toplamndan oluur.


OR
AND 1 0 Lojik lem
NOT 0 0 + OR ilemi
0 1 + XOR ilemi
1 0 AND ilemi
1 1 NOT ilemi
1 0


1 bitlik lojik nite 41
MUX

1 0
3.4. 1 Bitlik ALU Tasarm
38

2 = 0 iken aritmetik ilem yaplr, 2 = 1 iken lojik ilem yaplr (MUX liktir).
Aritmetik ilemci ve lojik ilemciyi ayr ayr yapmak kark ve masrafl olacandan bunlar
tek ilemciye yaptrabiliriz. Bu i iin aritmetik ilemci kullanlabilir.


= + + (genel ifadesi lojik ilem iin)


Aritmetik
Tabloya gei yapalm ve lojik ileme nasl
lemci
geilebileceini aratralm.


0 0 0 0 0
0 0 1 1 0
0 1 0 1 0
0 1 1 0 1
1 0 0 1 0 = + +
1 0 1 0 1
1 1 0 0 1
1 1 1 1 1

imdi buradan lojik ileme evirmeye alalm.


= olduunda = + + = + deeri = + lojik ilemini verir
mi?
+ = + (XOR ilemi) ifadesinde tablodan deer verip baktmzda = 0
iin aritmetik ilemci ile lojik ilem deerleri eit olur.
2 = 1 yapldnda (lojik ilem seildiinde) = 0 yaplabilirse ALU, XOR ilemi yapar.
= 0 durumunda aritmetik ilemci fonksiyon tablosu yledir (Sayfa 35 deki tablodan):
1 0
0 0 0
0 1 0 +
1 0 0 +
1 1 0 1

2 1 0 = + = + Lojik ilemler Lojik ilemin ad


1 0 0 0 0 + OR
1 0 1 0 + + XOR
1 1 0 0 AND
1 1 1 1 0 NOT

Aritmetik ilemcinin yapt XOR ve NOT ilemleri ayn kalrken A nn transferi OR


ilemine ve XNOR ilemi AND ilemine evrilmelidir ki lojik ilemler yaplm olsun.
39

A nn transferinin OR ilemine evrilmesi:

= + = +

XNOR ileminin AND ilemine dntrlmesi


2 1 0 = 110 durumunda XNOR ilemi AND ilemi haline dnsn.
2 1 0 = 110 durumunda = , = olduu tablodan grlmektedir. XNOR ileminin
AND e dntrlmesi iin bu deerler
= + ifadesinden = deerinin elde edilmesi gerekmektedir.
Bu sebepten ve deikenleri fonksiyonunda yerine yazlrken deikeni kullanlr.
= ( + ) +
= + + elde edilir. Bu ifadeden = ifadesine ulamak iin ilk ve
son lojik ifadelerin sfrlanmas gerekir. Bunun iin = olarak seilmelidir.
= + + = olarak elde edilir, yani ilem AND e dnr.

=
2 FA

ALU nun yapt ilemler


Seme Girileri k
lem
2 1 0
0 0 0 0 A nn transferi
0 0 0 1 +1 A nn 1 fazlas
0 0 1 0 + A ile B nin toplanmas
0 0 1 1 ++1 A ile B nin toplam sonucunun 1 fazlas
0 1 0 0 + A nn ile toplanmas
0 1 0 1 ++1 = A ile B nin farknn alnmas
0 1 1 0 1 A nn 1 eksii
0 1 1 1 A nn transferi
1 0 0 0 OR
1 0 1 0 XOR +
1 1 0 0 AND
1 1 1 0 NOT
40

Bylece tasarlanan lojik nite ile aritmetik nite birletirilirse ekil 3.3 deki 1 bitlik
ALU elde edilir.


2
1
0

FA

ekil 3.3. 1 bitlik ALU


41

4. DURUM REGSTER (Status Register)

ALU da yaplan aritmetik ilemler hakknda bilgi verir. rnein elde var m?, tama
var m?, sonucu sfr m? gibi
Bu ilemlerin 4 tanesini ele alacaz:
Elde (Carry) C ile gsterilir.
Say sfr (Zero) Z ile gsterilir.
Say negatif (Negative) N ile gsterilir.
Tama (Overflow) V ile gsterilir.

C, Z, N, V: Her biri 1 bit yani 1 FF dur.

7 0 7 0


2
6 1
7 8 bitlik ALU 0

( )

7 6 5 4 3 2 1 0

ekil 4.1. 4 FF li durum register

4 durumu birden gsteren register ise 4 FF lu durum registeri olur.


: Zero : Negatif : Elde (Carry) : Tama
= ise = = 1 ise = = 1 ise = 1 ise
= ise = 0 ise = 0 ise = 0 ise
42

7 7 1 1 0 0

7 1 0
FA
6
FA
0
FA
( )

7
( ) 7 1 0

ekil 4.2. ALU nun iinde tam toplayclarn detayl izimi

: aret biti dir. = ise ilem sonucu negatif, = ise ilem sonucu pozitiftir.
7 6 0 : ilem sonucu (k) dur. 7 : en anlaml bit, 7 = 1 ise sonu negatif, 7 = 0
ise sonu pozitiftir. 7 k bitine balanr.
Aritmetik ilemde elde varsa = , yoksa = olur. Eldenin olup olmad en son
elde biti ile belli olur. Onun iin son tam toplaycnn elde k durum registerinin
bitine balanr.
(zero)sfr biti: = ise say sfrdr, = ise say sfr deildir. Burada say
ilem sonucudur. = 0 + 1 + + 7 = 0 1 7 Buna gre klar NOR
ileminden sonra bitine balanr.
: Tama bitidir. Tama biti ilem sonucunda saynn iaret deitirdiini gsterir.

Tamann olmas iin durumdan birinin olumas gerekir:


i. Ayn iaretli iki saynn toplam farkl iaretli kyorsa tama vardr.
a. ile saylarnn iareti farkl ise bunlarn toplamlar sonucunda hibir
zaman tama olmaz. rnein bitlik bir sayda deer (-21 ) den
byk 2 1 den kk ise
= 4 bitlik ise = 15 -21 = 23 = 8
8 , 15 olur.
01101110
11100101
111010011
7 6 5 4 3 2 1 0 = 11101100 = = 0 = 0 +
7 + 6 = 0 , = 0
(XOR dan dolay girii 7 + 6 dir.)
43

b. aretli saylarda toplama ilemi:


ki negatif saynn toplam pozitif ise tama vardr.
ki pozitif saynn toplam negatif ise tama vardr.
11010110
10111001
110001111 7 6 5 4 3 2 1 0 = 11110000
= 7 = 1 7 + 6 = 0 tama yok = 0

01100111
00111001
10100000 7 6 5 4 3 2 1 0 = 01111111
= 7 = 0 7 + 6 = 1 tama var = 1

00101011
00100101
01010000 7 6 5 4 3 2 1 0 = 00101111
= 7 = 0 7 + 6 = 0 tama yok = 0

10010000
11010100
101100100 7 6 5 4 3 2 1 0 = 10010000
= 7 = 1 7 + 6 = 1 tama var = 1


0 0
1 1
0 1
1 0

ii. ileminde > olduu halde sonu nin iareti ile aynysa tama
vardr.
iii. Saa veya sola kaydrmada (telemede) say iaret deitiriyorsa tama vardr.

0
1 0 1 1 0 0 0 1
Sola teleme 0
=0
0 1 1 0 0 0 1 0


=1
44

5. KAYDIRICI (TELEYCLER-SHIFTER)

Sola kaydrma 4 3 2 1 Saa kaydrma


iin seri k in seri k

Sola kaydrma
Saa kaydrma Lojik 0

1 3 2 1 0 3 2 1 0 3 2 1 0 3 2 1 0
41 41 41 41
MUX MUX MUX MUX
0

4 3 2 1

1 0 lem lem aklamas


0 0 0 K y Lojik 0 yap
0 1 () F nin sola kaydrlm halini K ya aktar
1 0 () F nin saa kaydrlm halini K ya aktar
1 1 F yi K ya aktar
45

6. ALU LE BUS ORGANZASYONU

8
0

1 1
1 0 MUX MUX 0
8 A B
8

8 Lojik 1
Lojik 0
2
24 3
8
2
Decoder Fonk.
1
ALU ular
0
Elde biti
3
8 Seri k
Kaydrc K
Kaydrma
ucu

Kaydrma ilemi paraleli seriye dntrmek iin kullanlr.


=0 ise aritmetik ilem yaplr.
= 1 ise lojik ilem yaplr.
=0 ise kaydrma yok. = 1 ise kaydrma var.
= 1 ise elde var. =0 ise elde yok (Elde biti bir FF dan yaplr).

rnek 6.1. 2 (0 + 1) mikroilemi iin gerekli kontrol kelimesi nedir?


1. 1 = 1 0 = 00 bu durumda 0, MUX A kna aktarlr.
2. 2 = 1 0 = 01 bu durumda 1, MUX B kna aktarlr.
3. 3 = = 0 yani aritmetik ilem seilir.
4. 4 = 3 2 1 0 = 0011 toplama ileminin kontrol kelimesi
5. 5 = = 0 kaydrma yok
6. 6 = = 101 2 registerini etkin hale getirir.
Sonuta bu mikroilem iin retilmesi gereken kontrol kelimesi
6 5 4 3 2 1

101 0 0011 0 01 00
46

rnek 6.2. 2 (0 1) mikroilemi iin gerekli kontrol kelimesi nedir?


1. 1 = 1 0 = 00 bu durumda 0, MUX A kna aktarlr.
2. 2 = 1 0 = 01 bu durumda 1, MUX B kna aktarlr.
3. 3 = = 0 yani aritmetik ilem seilir.
4. 4 = 3 2 1 0 = 0110 karma ileminin kontrol kelimesi
5. 5 = = 0 kaydrma yok
6. 6 = = 101 2 registerini etkin hale getirir.
Sonuta bu mikroilem iin retilmesi gereken kontrol kelimesi

6 5 4 3 2 1
101 0 0110 0 01 00
47

7. LEMC NTES

Giri verileri

Registerlar
Lojik 0, lojik 1,
1, 2, 3, , 7
Seri bilgi

8 1 4
A 2 MUX MUX 5 B
3 A B 6
7
D 8 38
9 Decoder
8 8
74138 Decoder
Durum registeri 10
11 F
ALU (74181)
12
7476 FF

14
Kaydrc (74194) 15 H
16

k verileri decoder seilerek 8


istenen registera ykleniyor.
k verileri

A, B, D, H, F girileri kontrol sinyalleri C ile saa dndrme, C ile sola dndrme iin
kontrol sinyalleri vardr. Kontrol sinyalleri toplamna kontrol kelimesi (control word) denir.

Kontrol Kelimesi

16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1

Clock darbesi ile hepsine belli bir sre verilir ve bu srede gerekli ilem yaplm olur
(rnein 1 3 + 4 gibi).
lemci nitesinin yapt ilemlere ait tablo yledir:
Seme girileri Seilen yol ve yaplan ilem
( = 0) ( = 1)
0 0 0 Giri Giri Seilmiyor , 0 +1 Kaydrma yok
0 0 1 1 1 1 + ++1 Saa kaydrma
0 1 0 2 2 2 1 Sola kaydrma
0 1 1 3 3 3 1 , 1 k sfrla
1 0 0 4 4 4 + (OR) - -
48

1 0 1 5 5 5 + - C ile saa dndrme


1 1 0 6 6 6 (AND) - C ile sola dndrme
1 1 1 7 7 7 - -

: MUX A nn giriine gelen bilgi : MUX B nin giriine gelen bilgi


: 3 8 decoderin setii registerlar : ALU nun k : Kaydrcnn k
Seme girileri 001 (2 1 0 ) ise MUX A girii= 1, MUX B girii= 1 ve decoder k=1 registerini seer.
= 0 ise ALU k + olur. Kaydrc saa kaydrma ilemi yapar.
, 0: Lojik 0 deeri MUX A giriine gelir ve ayn zamanda C biti 0 olur.
, 1: Lojik 1 deerini MUX A nn giriine ve C ye ykler.

C ile Saa Dndrme (2 ile Blme)

3 2 1

ile saa dndrme, , 1 olur

C ile Sola Dndrme (2 ile arpma)

3 2 1

ile sola dndrme, , 1 olur

Mikroilem lem (Fonk)


+ 100 001 010 001 0 000 4 ile 1 i topla, 2 ye koy
011 001 011 010 1 000 3 den 1 i kart, 3 e koy
111 010 000 010 1 000 7 ve 2 i karlatr
Giri 000 000 101 000 0 000 Giri verilerini 5 e koy
001 000 100 000 0 000 1 i 4 e ykle.
k 001 000 000 000 0 000 1 i ka aktar
, 010 000 010 000 0 000 yi sfrla
000 000 110 000 0 011 6 y sfrla
+ 110 110 110 101 0 000 6 y sfrla
001 000 001 000 0 010 1 i sola kaydr
010 000 010 000 0 101 2 yi saa dndr

Giriten bir registera, bir registerdan dierine ve bir registerdan ka bilgi aktarmnda ALU da ve
kaydrcda ilem yaplmamaktadr.
Bir registern deeri tekrar kendisine aktarlarak durum registerndaki elde biti (C) sfr yaplabilir.
Kaydrcdaki sfrlama fonksiyonu seilerek bir registerin deeri sfrlanabilir. Ayn ilem 6 6 + 6
eklinde de yaplabilir.
49

rnek 7.1. Aadaki mikroilemlerin yaplmas iin ilem biriminin kontrol kelimesinin
deerini bulunuz.
a) 4 7 + 3 b) 2 4 1 c) 1 1 + 1 d) 2 2
e) 2 5 f) 3 1 2 (veya) g) 3 3
h) 6 6

Mikroilem
+ 111 011 100 001 0 000
100 000 010 011 0 000
+ 001 000 001 000 1 000
010 000 010 111 0 000
101 000 010 000 0 000
001 010 011 101 0 000
011 000 011 000 0 001
110 000 110 000 0 110

rnek 7.2. Ayn ilem birimini kullanarak 1, 2, 3 ve 4 registerlerindeki iaretsiz


saylarn ortalamasn bulacak ve sonucu 5 registerina koyacak bir mikroprogram
dzenleyiniz.
(1 + 2 + 3 + 4) 4 = ((1 + 2) 2 + (3 + 4) 2) 2

Mikroilem
+ 001 010 101 001 0 000
101 000 101 000 0 000
101 000 101 000 0 101
+ 011 100 110 001 0 000
110 000 110 000 0 000
110 000 110 000 0 101
+ 101 110 101 001 0 000
101 000 101 000 0 000
101 000 101 000 0 101
50

8. AKMLATR REGSTERN YAPISI

Akmlatr register iindeki deeri saa ve sola kaydrabilen, aritmetik mantk


biriminin yapabildii toplama ve mantk ilemlerini yapabilen bir ardl devredir. Aadaki
ekilde akmlatr registerin blok emas grlmektedir.


Register A

Kombinasyonel Kontrol
Z=0 ise akmlatr ierii sfr deildir.
Devre deikenleri
Z=1 ise akmlatr ierii sfrdr.


Veri Girileri
ekil 8.1. Akmlatr register blok emas

rnek bir akmlatr register kontrol biriminden gelen kontrol deikenlerine gre
aadaki tabloda grlen mikroilemi yapmaktadr.

izelge 8.1. rnek akmlatr mikroilem tablosu


Kontrol deikeni Mikroilem Aklama
+ Toplama
0 Sfrlama
A nn 1 e tmleyenini alma
( ) AND ilemi (VE)
+ ( ) OR ilemi (VEYA)
+ XOR ilemi
Saa kaydrma
Sola kaydrma
+1 A y 1 artrma

a) Akmlatrde yaplan toplama ilemini gerekleyelim: : +


imdiki Durum Giriler Gelecek Durum FF Uyarma Girileri k
+
0 0 0 0 0 X 0
0 0 1 1 1 X 0
0 1 0 1 1 X 0
0 1 1 0 0 X 1
1 0 0 1 X 0 0
1 0 1 0 X 1 1
1 1 0 0 X 1 1
1 1 1 1 X 0 1
51

Karnough a aktarlmas
01 10 01 10
00 11 00 11

0 0 1 0 1 0 X X X X
1 X X X X 1 0 1 0 1

= [ + ] 1 = [ + ] 1


00 01 11 10

0 0 0 1 0
1 0 1 1 1

+1 = + +

+1

ekil 8.2. 1 bitlik kombinasyonel devre (1 bit + )

8 8 7 7 1 1


8 7
1

= +1

8 7 1
ekil 8.3. 1 mikroilemini gerekletiren devreye ait blok diyagram
52

b) Akmlatrde yaplan sfrlama (silme) ilemini gerekleyelim: :


2 = 1 olduunda btn FF lar sfrlanacaktr (btn FF ierikleri silinecektir).
. bit iin = 0 ve = 1 2 = 2 olduunda = 01 olduu iin = 0 olur.

ekil 8.4. 2 mikroilemini gerekletiren devre

c) Akmlatrde yaplan 1 e tmleme ilemini gerekleyelim: :


3 = 1 olduunda nn inversi alnr.
O halde = 11 olmas gerekir. Bunun iin = 1 3 = 3 ve = 1 3 = 3
olmaldr ( = 11 + = ).

ekil 8.5. 3 mikroilemini gerekletiren devre

d) Akmlatrde yaplan VE ilemini gerekleyelim: :


+
0 0 0 0 X
0 1 0 0 X
1 0 0 X 1
1 1 1 X 0

Tablodan hareketle = 0 = 4 elde edilir.

e) Akmlatrde yaplan VEYA ilemini gerekleyelim: : +


+
0 0 0 0 X
0 1 1 1 X
1 0 1 X 0
1 1 1 X 0

Tablodan hareketle = 5 = 0 elde edilir.


53

f) Akmlatrde yaplan XOR ilemini gerekleyelim: : +


+
0 0 0 0 X
0 1 1 1 X
1 0 1 X 0
1 1 0 X 1

Tablodan hareketle = 6 = 6 elde edilir.

g) Akmlatrde yaplan saa kaydrma ilemini gerekleyelim: :

+1

+1 1

+1

Saa kaydrmada FF un gelecek deeri soldaki FF un imdiki deeri olduundan sz konusu


mikroilem iin FF uyarma girileri = +1 7 ve = +1 7 olacaktr.

h) Akmlatrde yaplan sola kaydrma ilemini gerekleyelim: :

1

+1 1

1

Sola kaydrmada FF un gelecek deeri sadaki FF un imdiki deeri olduundan sz konusu


mikroilem iin FF uyarma girileri = 1 8 ve = 1 8 olacaktr.

i) Akmlatrde yaplan 1 artrma ilemini gerekleyelim: : +


+1 1

+1 1

+2 +1 9 = 1

Bu durumda A registeri senkron bir sayc gibi tasarlanabilir.


= , = , +1 = , 1 = 9
54

8.1. Akmlatr registerin () sfr olup olmadnn denetlenmesi

Akmlatr registerdaki say 0 ise = 0 = 1 dir. = 0 ise = 1 dir.

+1 1

+1 1

+1 1
+2

8.2. Bir Bitlik Akmlatr Fonksiyonu

Akmlatrn bir bitlik yaps, her bir kontrol girii iin bulunan devreler birletirilerek elde
edilebilir. Bu durumda;

= 1 + 1 + 3 + 5 + 6 + +1 7 + 1 8 + = 1 9
= 1 + 1 + 2 + 3 + 4 + 6 + +1 7 + 1 8 + = 1 9
+1 = + +
+1 =
+1 =
55

9. KONTROL BRMLER

Saysal sistemler, veri ilem (ilemci nitesi) ve kontrol birimlerinden olumaktadr.


Veri ilem biriminde, sisteme gelen veriler okunmakta, ilenmekte ve sonular bulunmaktadr.
Kontrol biriminde ise veri ilem birimindeki devrelerin a-kapa (enable) girilerine uygulanan
deerler (ilem balatma iaretleri) bulunmakta ve bylece yaplacak ilemlerin sras
belirlenmektedir (ekil 9.1). Bu amala kontrol biriminde durumlar saklanmaktadr. nceden
belirlenen algoritmaya gre bir sonraki durum deerleri bulunmaktadr. Bir sonraki durum
deeri, o andaki durum deeri ile, d kontrol girilerine ve veri ilem biriminden gelen sonu-
durum deerlerine bal olarak belirlenmektedir.

D Kontrol Giri
Girileri Deerleri

lem Balatma aretleri


Kontrol Veri lem
Birimi Birimi
Sonu-Durum Deerleri

k
Deerleri

ekil 9.1. Bir saysal sistemde kontrol ve veri-ilem birimleri arasndaki bantlar

9.1. Kontrol Birimlerinin Yaplar

Sistemde uygulanan algoritmann byklne ve karmaklna gre kontrol


biriminin gerekletirilmesinde deiik yntemler uygulanmaktadr. Bu yntemler balca
drde ayrlmaktadr.

1. Her bir durum iin bir FF kullanlmas


Kontrol biriminin durum diyagram bulunduktan sonra, her bir durum iin bir FF
kullanlmakta ve FF klar durumu belirlemektedir. Bu nedenle bir anda yalnz bir FF un
k 1 olmaktadr (ekil 9.2). Bu tr kontrol birimleri, karmakl az olan sistemlerde
kullanlmaktadr.
56

Dier kontrol
klar
D kontrol 0
girileri
Kontrol
Birimi

CLK

ekil 9.2. Kontrol biriminde her bir durum iin bir FF kullanlmas

2. Sra registeri ve kod zc kullanlmas


Pou ynteminde FF lar yerine bir register ve kod zc kullanlmaktadr (ekil 9.3).
Bu yntem biraz daha karmak sistemlerde uygulanmaktadr.

D kontrol Dier kontrol


girileri klar

Kontrol Kod
imdiki Sralama 2
Birimi zc
Durum Registeri 0 , 1

Gelecek Durum

ekil 9.3. Kontrol biriminde sralama registeri ve decoder kullanlmas

3. Programlanabilir Lojik Dizi (PLA-Programmable Logic Array) kullanlmas


Yukardaki yntemde kullanlan kontrol birimi ve kod zcnn yerini
programlanabilir lojik dizi almaktadr (ekil 9.4). Bu yntem genellikle karmak saysal
sistemlerde uygulanmaktadr.
D kontrol
girileri
lem
Balatma
aretleri
Sralama PLA 0 , ,
Registeri

ekil 9.4. Kontrol biriminde PLA kullanlmas


57

4. Mikroprogram kontrol
Bu yntem daha karmak sistemlerde kullanlmaktadr. Burada ROM kullanlmakta
ve bir sonraki adres bilgisi ile devre birimlerinin ilem balatma iaretleri bellekte
saklanmaktadr (ekil 9.5). Devrenin izleyecei durumlar ve bu durumlarda ve bu durumlarda
yaplacak ilemler, bellekteki kelimelerde srasyla saklanmaktadr. Bu nedenle bellein
programlanmas (iine gerekli bilginin saklanmas) sz konusudur. Bu yntemin
kullanlmasnn yarar, kontrol devresinin ve iindeki balantlarnn deitirilmeden, bellein
yeniden programlanarak yaplacak ilemleri srasnn deitirilmesidir.

lem balatma
D kontrol iaretleri
girileri Bir 0 , 1
sonraki Kontrol
Bir sonraki adresin Adres Bellei
adres bilgisi seme Register ROM
devresi

ekil 9.5. Mikroprogram kontrol birimi

Daha byk sistemlerin gerekletirilmesinde mikroilemciler kullanlmaktadr.


58

10. ALGORTMK DURUM MAKNALARI

Algoritmik Durum Makinas (Algorithmic State Machine-ASM) ardl devrelere


verilen ikinci bir isimdir. Saysal bir sistemin kontrol sras ve veri ileme grevleri bir
donanm algoritmasyla tanmlanr. Algoritma bir sorunun nasl zleceini belirten sonlu
sayda ilem basamandan oluur. Donanm algoritmas belli bir cihaz parasyla problemi
uygulamak iin kullanlan bir ilemdir.
Saysal donanm algoritmalarn tanmlamak iin zel olarak gelitirilen ak emasna
ASM emas denir. ASM emas klasik ak emalarna benzer, ancak farkl yorumlanr.
Klasik ak emasnda bir algoritmann ilem basamaklar ve karar yollar, zaman ilikileri
dikkate alnmadan tanmlanr. ASM emas ise hem olaylarn srasn hem de sral kontrol
devresinin durumlaryla bir durumdan tekine geilirken gerekleen olaylar arasndaki
zamanlama ilikisini tanmlar.

10.1. ASM emas

ema temel elemandan oluur: Durum kutusu, Karar kutusu ve Koul kutusu.
Kontrol srasndaki bir durum ekil 10.1 deki gibi bir durum kutusu ile gsterilir. Kutu iine
kaydedici ilemleri ve ilgili durumdayken kontrol devresinin rettii k sinyal isimleri
yazlan bir dikdrtgen eklindedir. Sembolik bir adla gsterilen durum, kutunun st sol
kesine yazlr. Duruma verilen ikili kod ise st sa keye yazlr (ekil 10.1).

sim kili kod 3 011

Kaydedici ilemi
veya k BALA

Genel zel
Gsterim rnek

ekil 10.1. Durum kutusu

Karar kutusu bir giriin kontrol alt sistemi zerindeki etkisini tanmlar (ekil 10.2).
59

0 1
Koul

k k
Yolu Yolu

ekil 10.2. Karar kutusu

Koul kutusu ise ekil 10.3 de grlmektedir. Koul kutusunun giri yolunun, karar
kutusunun k yollarndan birisinden gelmesi gerekir. Koul kutusunun iinde verilen
kaydedici ilemleri veya klar, giri koullarnn yerine getirilmesi kouluyla, belli bir
durum srasnda retilir.
Karar kutusunun
k yolundan

Kaydedici ilemi
veya k

ekil 10.3. Koul kutusu

ekil 10.4 de koul kutulu bir rnek verilmitir. Kontrol devresi, 1 durumundayken
bir BALAT k sinyali retir. Kontrol 1 durumundayken giriinin statsn kontrol
eder. = 1 ise silinir (0 yaplr); deilse aynen kalr. Her iki durumda da sonraki durum
2 dir.

BALA

0 1
E

2 010

ekil 10.4. Koul kutulu rnek


60

10.2. ASM Blou

ASM blou, bir durum kutusundan ve k yoluna bal btn karar ve koul
kutularndan oluan bir yapdr. Bir ASM blou, bir girie ve karar kutularnn yapsyla
temsil edilen herhangi bir saydaki k yoluna sahiptir. ASM emas, bir veya birbirine bal
birden fazla bloktan oluur. ekil 10.5 de ASM blouna bir rnek verilmitir.

1 001
+

0 1
E

0 F 1

2 010 3 011 4 100

ekil 10.5. ASM blou

Karar veya koul kutular olmayan bir durum kutusu basit bir blok oluturur. ASM
emasndaki her blok, bir saat darbesi aral iindeki sistem durumunu tanmlar. ekil 10.5
deki durum ve koul kutular iindeki ilemler, sistem 1 durumundayken ortak bir saat
darbesiyle yrtlr. Ayn saat darbesi ayrca sistem kontrol devresini ve ikili
deerleriyle belirlendii ekilde 2 , 3 veya 4 sonraki durumlarndan birine anahtarlar.
ASM emasnn durum diyagram eklinde gsterimi ekil 10.6 da verilmitir.

011
010 100

= 01
= 00
=1

001

ekil 10.6. ASM emasnn edeeri olan durum diyagram (emas) ile gsterimi

Kontrol birimi tasarlamak iin bazen ASM emasn durum diyagramna evirip daha
sonra da sral devre ilemlerini kullanmak daha uygun olmaktadr.
61

rnek 10.1. Aada ASM emas verilmi devrenin durum diyagramn karnz.

0
X

1

0 1 2
2
0
Y

1
1

1 0
Z

rnek 10.2. Aada ASM emas verilmi devrenin durum diyagramn karnz.


0 1

W
0

1

0 3 2
X

1
1
2

0
Y 1 0
Z
1
3

1
0 0 1
Z X
62

rnek 10.3. inde iki adet flip-flop (E,F) ve bir adet 4 bitlik sayc (A) nn bulunduu bir
devrenin tasarlanmas istenmektedir (A saysnn en anlaml biti 4 dr). Bala (S) iareti 1
olduunda, devre A saycsn ve F flip-flobunu sfrlayarak 0 durumundan 1 durumuna
geecek ve almaya devam edecektir. Daha sonra ilemler durduruluncaya kadar, her saat
darbesinde sayc 1 artrlacaktr. Saycnn 3 ve 4 bitlerine bal olarak ilemler u ekilde
denetlenecektir.
Eer 3 = 0 ise sfrlanacak ve sayc devam edecektir.
Eer 3 = 1 ise birlenecek, sonra eer 4 = 0 ise sayc devam edecek, fakat 4 = 1
ise 2 durumuna geerek birlenecek ve saym duracaktr.
a) Bu devrenin ASM diyagramn (emasn) karnz.
b) Devrenin kontrol biriminin durum diyagramn ve (kontrol iaretlerine bal olarak) yaplan
fonksiyonlar gsteriniz.
c) Devrenin ilem biriminin yapsn gsteriniz (E ve F flip-floplarnn JK tr olduunu
varsaynz ve JK giri fonksiyonlarn bulunuz).
d) Devrenin kontrol birimini her bir durum iin bir flip-flop kullanarak tasarlaynz (D tr
flip-flop kullannz).
e) Devrenin kontrol birimini D tr flip-flop ve kod zc kullanarak tasarlaynz.
f) e kknda kullandnz D tr flip-floplarn girilerini MUX lar kullanarak bulunuz.

a) b)
3

3 4
0 1 2

3 4

63

c)
Bala 0

4 Kontrol 1
Devresi
3 2



4 3 2 1


4 bitlik sayc

(E zamanl, sil girili)

d) Durum diyagram ve ASM emasndan hareketle


0 = 0 + 2
1 = 0 + 3 1 + 3 4 1
2 = 3 4 1

0 knn tmleyen kndan alnmas, 0 iin 1 sinyalini salar. tmleyenini (0 a ait


D-FF) 0 k olarak tutmak iin D giri fonksiyonuna fazladan bir inverter eklenir.
64

e)
imdiki imdiki Gelecek
Durum Durum Durum Giriler Giri MUX-1 MUX-2 klar
Sembol Deerleri
0 0 0 0 0 X X 0 --- 1 0 0
0 0 0 1 1 X X 0 1 0 0
0 1 0 1 X 0 X 3 --- 3 0 1 0
0 1 0 1 X 1 0 3 4 --- 3 4 0 1 0
0 1 1 0 X 1 1 3 4 3 4 --- 0 1 0
1 0 0 0 X X X --- 0 0 0 0 1

1
3
4

1 1
0
3
4
1
24

2
2 2

3
4

Tablodan imdiki durum, Sonraki durum ve Giriler stunlar kullanlarak


aadaki lojik ifadeler elde edilir.
1 = 1 3 4
2 = 0 + 1 3 + 1 3 4
f)
1 1
0
41

1 0
1
24

2
2 2
1 0
41


65
3
1
10 = 0 20 = 3
11 = 3 4 21 = 3 + 3 4 2 2
41
4
12 = 0 22 = 0 3
(2. )
4

MUX girileri u ekilde belirlenir:


a) Herhangi bir durum sresince (rnein 0 n ilk iki durumu) gelecek durumdaki 1 deerlerinin hepsi
0 1 ise bu ye ait MUX girii 0 1 alnr. Yukardaki tabloda 0 a ait ilk iki 1 durumunda
MUX a ait giri deerleri 0 olduu gibi.
b) Gelecek durumdaki lerin Lojik 1 olduu duruma ait giri deeri bu ye bal olan MUX un giriini
oluturur. rnein yukardaki tabloda 0 a ait 2 ye ait gelecek durumunda 2 = 1 iin MUX 2 nin girii
olur.
Bu durumda MUX 1in ilk girii Lojik 0, MUX 2 nin ilk girii olacaktr. 0 , 1 2 olmak zere 3 durum
olduuna gre 4 1 MUX kullanlr ve 4. girileri bota kalr (Kullanlan MUX un giri says durum saysna
eittir).
c) Seilecek MUX da MUX un giri says problemdeki durum saysna eittir.

rnek 10.4. 0 000

a) Durum diyagramn
kartarak kontrol birimini

0 tasarlaynz.
X
b) Her bir durum iin bir
0 1
Y
1 001 FF kullanarak kontrol
1 birimini tasarlaynz.

2 010
c) FF-Decoder kullanarak
tasarlaynz.
d) MUX-FF kullanarak
tasarlaynz.
0 1
4 100

3 011
0 1

6 110

7 111 5 101
66

a)



0 1 2 3


7 6 5 4

0 = 0 + 3 + 5 + 7 ,
1 = 0 , 2 = 0 + 1
3 = 2 , 4 = 2 ,
5 = 4 , 6 = 4 , 7 = 6

0
b)

3 0
0
5
7

1 1



7 7


67

c)
imdiki imdiki Gelecek
Durum Durum Giriler Durum MUX-1 MUX-2 MUX-3 klar
Sembol
0 0 0 0 0 X X 0 0 0 0 --- --- 1 0 0
0 0 0 1 X X X 0 0 1 0 --- 1 0 0
0 0 0 0 1 X X 0 1 0 0 --- 1 0 0
0 0 1 X X X X 0 1 0 0 1 0 0 1 0
0 1 0 X X X 1 0 1 1 ---
0 1 0 X X X 0 1 0 0 --- ---
0 1 1 X X X X 0 0 0 0 0 0
1 0 0 X X 1 X 1 0 1 1 ---
1 0 0 X X 0 X 1 1 0 1 ---
1 0 1 X X X X 0 0 0 0 0 0
1 1 0 X X X X 1 1 1 1 1 1
1 1 1 X X X X 0 0 0 0 0 0

1 = 2 + 4 + 6
2 = 0 + 1 + 2 + 4 + 6
3 = 0 + 2 + 4 + 6

1 1

0
2 2

1

38

7
3 3


68

d) 1 1
0
81
1

38

0 1 2
2 2
7
81


0 1 2
3 3 0 lar 1 e balanacak

81
1 ler 2 e balanacak

2 ler 3 e balanacak
0 1 2

DEV.
0 00
a) Durum diyagramn
kartarak kontrol birimini
tasarlaynz.
0 b) Her bir durum iin bir
W
1 FF kullanarak kontrol
1 01
birimini tasarlaynz.
c) FF-Decoder kullanarak
tasarlaynz.
0 1 d) MUX-FF kullanarak
tasarlaynz.
3 11 2 10

1 0

0 1
0 1 1 0

69

11. PROGRAMLANABLR MANTIK DZS (PLA)

Gerekletirilecek lojik fonksiyonda deiken yada keyfi deer saysnn ok olduu


durumlarda Programlanabilir Mantk Dizisi (programmable logic array-PLA) elemannn
kullanlmas daha ekonomiktir. PLA da boole fonksiyonlar arpmlarn toplam eklinde
uygulanr (yani fonksiyon minimum terimler kanonik almna gre yazlmaldr). PLA ya
ait blok gsterim ekil 11.1 deki gibidir.






( (
) )

ekil 11.1 PLA blok gsterim

PLA nn bykl girilerin, arpm terimlerinin ve klarn saysyla tanmlanr


(Toplam terimlerinin says k saysna eittir). Tipik bir PLA da 16 giri, 48 arpm terimi
ve 8 k vardr (TTL IC tipi 82S100).
Programl balantlarn says 2 + ( ) + kadardr.

rnek 11.1. 3 girili, 3 arpm terimli ve 2 kl bir PLA devresini gerekleyelim.

,
.
70

Yukardaki PLA da gerekletirilen fonksiyon u ekildedir:


1 = +
Eer bu PLA da 1 = + ve 2 = + fonksiyonu da gerekletirilirse program
tablosu u ekilde olacaktr:

Terimler Giriler klar



1 1 1 -- 1 0
2 -- 0 1 1 0
3 1 -- 0 0 1
4 -- 0 0 0 1

Fonksiyonlardaki terim says terimler stununa yazlyor. Giriler stununda deikenlerin


ald deerler 1 veya 0 eklinde gsteriliyor. klarda da leri 1 yapan deerler alnyor.

rnek 11.2. 1 , , = (3,5,6,7) 2 , , = (0,2,4)


PLA program tablosunu karnz.
nce fonksiyon sadeletirilir.

00 10
00 01 11 10 01 11

0 1 0 1 0 0 1
0 0 0
1 0 1 1 1 1 1 0 0 0

1 = + + 2 = +

Ayn zamanda 1 ve 2 nin Lojik 0 olduu durumlarda Karnough diyagramna aktarlr ve


kullanlacak VE (arpm) kaplarnn azaltlp azaltlamayaca dikkate alnr. Bunun iin 1
yazlrsa (1 bulmak iin Karnaugh da 0 lar yerine 1 yazlr ve tekrar lojik ifade karlr);
1 = + + 2 = +
1 ve 2 nin iki teriminin ayn olduu grlr. Bylece kullanlacak kap says 6 dan 4 e
drlm oluyor. PLA knda ise 1 inversli ktan alnr.
(1 ) = ( + + ) 2 = +
71

Buna gre PLA nn program tablosu u ekilde olacaktr:

Terimler Giriler klar



1 -- 0 0 1 1
2 0 -- 0 1 1
3 0 0 -- 1 0


1 1 2 2 : 1

11.1. rnek Bir Problemin PLA ile zm


3

Yandaki ekilde durum diyagram verilen devreyi 3 4



0 1 2
PLA kullanarak tasarlaynz.
3 4

Durum tablosu aadaki gibidir:


imdiki imdiki Gelecek
Durum Durum Durum Giriler klar 5 2
Sembol 3 4 1
0 0 0 0 0 X X 1 0 0 4 3 0
0 0 0 1 1 X X 1 0 0
1 2
0 1 0 1 X 0 X 0 1 0 . .
0 1 0 1 X 1 0 0 1 0 . .
2 1
0 1 1 0 X 1 1 0 1 0
1 0 0 0 X X X 0 0 1

PLA program tablosu u ekildedir:

imdiki arpm imdiki Gelecek . D. nin


Durum Terimi Durum Giriler Durum klar Aklama
Sembol
1 0 0 0 -- -- 0 0 1 -- -- = 0 da 0 = 1
2 0 0 1 -- -- 0 1 1 -- -- = 1 de 0 = 1
3 0 1 -- 0 -- 0 1 -- 1 -- 3 = 0 da 1 = 1
4 0 1 -- 1 0 0 1 -- 1 -- 3 4 = 10 da 1 = 1
5 0 1 -- 1 1 1 0 -- 1 -- 3 4 = 11 de 1 = 2
6 1 0 -- -- -- 0 0 -- -- 1 artsz 2 = 0 0 = 1

1+ = 1 3 4 1+ = 1 2 3 4
2+ = 0 + 1 3 + 1 3 4 3+ = 1 2 + 1 2 3 + 1 2 3 4
72

nce giriler yazlr. Buna gre gelecek durumda bulunacak 1 2 yazlr. Buna ait 0 , 1 , 2
klarnn 1 olduu deerlerini yazyoruz. Keyfi terimler ok fazla olduundan Karnough
diyagramna gerek yoktur. 0 n 1 olduu durumlar iin 0 yazlr.

0 = 1 2 + 1 2
1 = 1 2 3 + 1 2 3 4 + 1 2 3 4
2 = 1 2

5 girili PLA kullanlabilir (1 , 2 , , 3 , 4 )


Yada 0 ve 1 iin ayr ayr PLA kullanlabilir. Eer ayr ayr PLA kullanlacak olursa 0 iin
3 girili 1 kl PLA kullanlabilir.
Eer 5 girili 3 kl bir PLA bulunabilirse bir PLA yeterli olur.

3 girili 1 kl PLA iin 0 n gerekletirilmesi aadaki gibidir:

1 2
1
0


1 2

Dierleri de benzer ekilde tasarlanabilir. Bu rnekteki devre pratikte PLA ile


uygulanamayacak kadar kktr. Burada sadece rnekleme amacyla verilmitir. Ticari
piyasada mevcut tipik bir PLA da 10 dan fazla giri ve 50 kadar arpm terimi olacaktr. Bu
kadar ok deikenli bir uygulama iin bilgisayar destekli bir sadeletirme programna ihtiya
vardr.
73

12. LOJK KAPILARDA FAN-OUT HESABI

Bir lojik kapnn kna balanabilecek ayn trden maksimum lojik kapnn saysna
fan-out deeri denir. deal bir lojik elemann karakteristii aadaki ekilde olmaldr.

1 =
1
0 = 2

0
2

ekil 12.1. deal bir lojik kapnn karakteristii

12.1. Grlt ve Grlt Snr (Noisy Margin)


Saysal devrelerde Lojik 1 veya Lojik 0 deerine etki eden sinyallere grlt
denir. Bu grlt lojik deerleri deitirilebilir. Kritik grlt deerlerine grlt snr (noisy
margin) denir. Grltler diren, yar iletken elemanlarn grlts ve d etmenlerden
kaynaklanan grltler eklindedir. Diren ve yar iletken grltleri elemann iindeki
elektron hareketinden kaynaklanr ve buna beyaz grlt denir. Dardan hibir etki olmasa
da bu grlt oluur. Ayn zamanda montaj yaplan elemanlarn bacak balantlar kart
zerinden ok yksekte ise ular aras kapasitif yada endktif etki oluacandan grltye
neden olur.

237
237


ekil 12.2. Malzeme montajndan grlt oluumu


74

12.2. Fan-Out Hesab


ekil 12.3 de grld gibi bir kapnn kna yine ayn trden kaplar balansn.
Bu durumda ka balanan kaplar eit miktarda (1 ) akm eker. Bu devrede
= . 1
olacaktr. rnein hesaplanan maksimum kap says yani fan-out deeri 300 ise bu deer
ayn tr kaplar iin hesaplanan deerdir. Farkl tr kap balanrsa bu deer daha da
decektir.
1


+ 1

-
1

ekil 12.3. Bir kap kna ayn trde kaplarn balanmas

12.2.1. RTL kaplarnda fan-out hesab

= +5
rnek 12.1.

1
= 1 1
= 10 1
0 1
0

1

1
= 70
( ) = 0.7

( ) = 0.8
( ) = 0.1
?
0 saturasyonda ise topraa akar, 0 kesimde ise akm F kolunu takip eder.
Devrenin analizi yaplacak olursa;
1. giriine lojik 0 verilirse 0 transistr iletime gemeyecei iin bir akm
akmayacaktr. Dolaysyla 0 knda lojik 1 deeri grlecektir ve 1 iletime geer.
75

2. giriine lojik 1 verilirse 0 transistr iletime geer (0 kesime gider) ve bir akm
akar. Dolaysyla 0 knda 0 = deeri yani lojik 0 grlecektir.

Birinci yol, kullanarak fan-out hesabdr.

( )
= = .

Giriteki gerilimin maksimum deerini ( ) almas durumunda 0 transistr iletime geer


ve giri gerilimi aadaki deerini alr.
( )
= . + ( ) = + ( ) = 1.5

kta oluabilecek maksimum gerilim deeri ( ) 0 transistrnn kesimde olduu zaman


oluur. Bu durumda 1 transistr iletime geer ve akm F yolundan akar.
( )
= . + ( ) = + ( ) = 4.6
+

= = 4.6 1.5 = 3.1 olarak bulunur.

= 3.1 deeri 1 kap srlrse bulunan deerdir ve bu devre grltden olduka az


etkilenir. Ancak soruda maksimum balanabilecek kap says istenmektedir. Maksimum kap
says = 0 deeri iin (En kt hal iin) hesaplanr. Bu durumda

= = 0 = olacaktr. Bu durumda ka N adet kap bal olduu


gz nne alnarak eitlik yeniden yazlrsa;
=


+ = +

+

( ) ( )
=

(1 + )

76

( ) ( )
=+ =
( ) ( )

Bu forml kullanarak N deeri hesaplanrsa


50.8 10
= 70 50.1 = 50 kap olarak bulunur.
1

kinci yol, akmlar kullanarak fan-out hesabdr.


Sren kapnn kna balanan kapnn yani 1 transistrnn ekecei akm 1 kadardr.
ka balanacak kaplarn ekecei akm gz nne alnarak sren kap kndaki akmn
0 = 1 + 2 + 3 + +
olmas beklenir. Eer ka balanan kaplar ayn trden ise bu durumda 1 = 2 = =
olacandan yukardaki akm ifadesi
0 = . 1
olacaktr. Buna gre nce 1 akm bulunmaldr. Bunun iin 1 transistrnn iletim
durumunda olaca gz nne alnarak 1 akm hesaplanrsa;
( ) 5 0.1
1 = 1 = 1 = 4.9
1
1 = 1 1 = 4.9 70 1 = 70
0
0 = , 0 = 1 . 1 + ( ) = 10. 70 + 0.8 = 1.5

0 5 1.5
0 = = 0 = 3.5
10
0 3.5
= = = 50
1 70
bulunur.
NOT: deeri 50.2 yada 50.7 kabilirdi. Bu durumda da yine sonucun tamsay ksm
maksimum kap says olarak alnrd yani fan-out deeri yine 50 olurdu.
77

12.2.2. DTL kaplarnda fan-out hesab

rnek 12.2. Aada verilen devrenin analizini yaparak fan-out deerini hesaplaynz.
= +5

4 = 1.75
3 = 6 4
1 1 = 1 1
3

0 1
1 1
2 2
3 3
2 2
2 = 2 2

= 20, ( ) = 0.6 , ( ) = 0.8 , ( ) = 0.2 , ( ) = 0.7 , ( ) = 0.6


A ve B girilerine Lojik 0 verilirse 1 ve 2 iletime geer Bu durumda
1 , 3 ve 2 kesime gider 0 = 1 olur.

A ve B girilerine Lojik 1 verilirse 1 ve 2 kesime gider Bu durumda


1 , 3 ve 2 iletime geer 0 = 0 olur.

0 kna balanan kapnn srlebilmesi iin 0 = 0 olmas gerekmektedir. Yani 2


transistrnn iletimde olmas gereklidir. Bu durumda 2 transistrnn kolektr kolundan
2 akm akacaktr. ka N adet kap balandnda, her bir kap akmn ekerse
2 = 3 + .
ifadesi elde edilir.
2 akmn bulmak iin devre zerinde iaretlenen akmlarn srasyla bulunmas
gerekmektedir.
4 1 = 0 = 4 + 1
= + + = 0.8 + 0.7 + 0.8 = 2.3
= 4 . 4 + 1 . 1 4 = 1 + 1
= 4 1 + 1 + 1 . 1 1 = . 1 .
5 2.3 = 1.75 1 + 20. 1 + 1. 1 1 = 0.07
1 = 20 0.07 1 = 1.4 1 = 1 + 1 1 = 1.47
78

( )
1 = 2 + 2 1.47 = 2 + 2 = 1.07
2
2 = . 2 = 20 1.07 2 = 21.4 bulunur.
( ) 50.2
0 = ( ) = 0.2 dur. Buna gre 3 = = = 0.8 bulunur.
3 6

( ( ) + ( )) 5(0.2+0.7)
= = = 1.09 olarak bulunur.
1 +4 1 +1.75

Bulunan bu deerlere gre ilk forml kullanlarak k hesaplanabilir.


2 3 21.40.8
2 = 3 + . = = = 18.89 = 18 olarak
1.09

bulunur.

Ayrca girite oluacak maksimum gerilim deeri u ekilde hesaplanr. deeri


olutuunda 1 , 3 ve 2 iletime geer. Buna gre
= ( ) + + ( ) + = 0.6 + 0.8 + 0.7 + 0.8 = 1.7
olarak bulunur.
Ayrca girite oluacak minimum gerilim deeri u ekilde hesaplanr. deeri
olutuunda 1 , 3 ve 2 kesime gider. Buna gre
= ( ) + + ( ) + = 0.7 + 0.6 + 0.6 + 0.6 = 1.1
olarak bulunur.

12.2.3. TTL kaplarnda fan-out hesab

rnek 12.3. Aada verilen devrenin analizini yaparak fan-out deerini hesaplaynz.
= +5

4 = 130

1 = 4 3 = 1.6

4 1 = 4
A
2
1
1
B 0 1


3
2 = 2
79

= 20, ( ) = 0.5 , ( ) = 0.7 , ( ) = 0.2 , ( ) = 0.7 , ( ) = 0.6

A ve B girilerine Lojik 0 verilirse 1 iletime geer


2 ve 3 kesime gider Bu durumda
4 ve 1 iletime geer 0 = 1 olur.
A ve B girilerine Lojik 1 verilirse 1 kesime gider
2 ve 3 iletime geer Bu durumda
4 ve 1 kesime gider 0 = 0 olur.

0 kna balanan kapnn srlebilmesi iin 0 = 0 olmas gerekmektedir. Yani 3


transistrnn iletimde olmas gereklidir. Bu durumda 3 transistrnn kolektr kolundan
3 akm akacaktr. ka N adet kap balandnda, her bir kap akmn ekerse
3
3 = . =

forml ile fan-out says bulunur.
1 1 . 1 = 0 1 = + + = 0.7 + 0.5 + 0.7 = 1.9
1 5 1.9
1 = 1 . 1 1 = = 1 = 0.78
1 4
(( ) + ( ) ) 5 (0.2 + 0.7)
3 = = 3 = 2.56
3 1.6
3 = 2 + 4 4 transistr kesimde olduu iin 4 = 0 olacaktr ve 3 = 2 olur.
2 = 2.56 dir. Buna gre 2 = 2 2 = 2.56 20 = 0.128 dir.
2 = 2 + 2 2 = 2.56 + 0.128 2 = 2.69
( ) 0.7
3 = 2 2 = 2.69 = 2.69 3 = 2.34
2 2
3 = 3 . = 2.34 . 20 3 = 46.8 olarak bulunur.
( ( ) + ( ) ) 5(0.2+0.7)
= = = 1.025 olarak bulunur.
1 4
3 46.8
= = 1.025 = 45.6 = 45 olarak bulunur.

You might also like