You are on page 1of 4

Ultrafast Spintronic Integrated Circuits

Jiaqi Wei1Ъ, Liang Chang1Ъ, Zhaohao Wang1, Xiaoyang Lin1, Kaihua Cao1,2, Hushan Cui1,2,
Wang Kang1, Haoxuan Chen1, Lang Zeng1, Youguang Zhang1, Chao Zhao1,2, Weisheng Zhao1*
1
Fert Beijing Research Institute, BDBC & School of Electronic and Information Engineering, Beihang University,
Beijing 10191, China
2
Institute of Microelectronics of Chinese Academy of Sciences
* Email: weisheng.zhao@buaa.edu.cn

Abstract of electron is affected by its motion state, which is called


Spin–orbit torque (SOT) arises from the spin–orbit the spin-orbit coupling, where μB is the Bohr magneton, e
coupling of non-magnetic heavy metals or interfacial in- and m are the charge of the electron and mass respectively,
teraction. It allows electrical switching or high frequency σ is the vector of the Pauli spin matrices.
oscillation of magnetization for ultrafast and reliable Spin-orbit coupling arouses spin accumulation which
Magnetic RAM (MRAM). This paper presents an over- exerts ST on adjacent ferromagnetic film and it can be
view of SOT-Related spin transfer nano-oscillators and utilized to switch the MTJ which is the core device of
MRAM, which have become hot research topics in the MRAM. By simulation, we also confirm that the current
past decade. Firstly, we introduce the underlying physical density added on the HM, the magnetic field and field-like
mechanism, typical device structures and recent progress. torque influence the programming delay significantly,
Afterwards, the simulation demonstrates the fast switch- choosing appropriate parameter can greatly reduce the
ing operation beyond spin transfer torque switching incubation time which improves the data writing speed.
mechanism. In the end, we discuss the bottleneck and ap- Apart from that, SOT due to SHE can be used to stimulate
plication perspectives of SOT-Related devices. magnetization oscillation which further generate micro-
wave signal combining with magneto-resistance effect.
1. Introduction With more in-depth theoretical study and development of
Spintronics is a multidisciplinary field whose experimental technique, SOT is promising for applications
prime target is to realize active manipulation of spin de- such as magnetic memories, logic computation and mi-
grees of freedom in solid-state systems. Recently, spin– crowave generator.
orbit torque has received much attention for it provides an
all-electrical approach to control the magnetization and 2. High Frequency Spin Transfer Nano-Oscillators
spin directions [1,2]. To date the origin of SOT has not Based on Spin-Orbit Torque
been clearly identified, two possible mechanisms have The spin transfer torque nano-oscillator (STNO) has at-
been proposed to be responsible for it. The first is Spin- tracted increased attention in the field of nano-scale dy-
hall effect (SHE), the electron scattering in the heavy met- namics in recent years [4,5]. The underlying physical
al (HM) is spin dependent due to the large spin-orbit cou- mechanism dominating the spin torque nano-oscillator is
pling, and the spin-up (down) electrons flowing in it are the spin momentum transfer effect. After flowing through
divided towards the top (bottom) or vice versa depending the spin polarizer layer, the charge carriers pass their an-
on the sign of current. Besides SHE, Rashba effect is gular momentum to localized electrons, when the damping
widely believed to be another main reason which induces is compensated by the spin torque, constant magnetization
SOT and it is an interfacial phenomenon caused by struc- precession will be obtained.
tural inversion asymmetry (SIA) [3]. So far, large external magnetic field is usually needed to
In spite of complicated reasons, the most fundamental achieve high output power for conventional STNO, but
physical mechanism underlies SOT is strong spin-orbit the success in realizing the Spin Hall nano-oscillators
coupling, It is well known that the electron moves around (SHNOs) effectively solve this problem. To date, the fre-
atom nucleus and experience coulomb force, on the other quency of conventional ring oscillator which is based on
hand, we can consider that the electron is the center of 65nm CMOS technology can reach 2.2GHz [6], utilizing
rotation and the revolve of atom nucleus induces a mag- the approach of phase locking, the SHNOs array is ex-
netic field Beff ~ E × p/mc2 (where c is the speed of light) pected to get better performance without application of
according to Biot-Savart Law. When an electron with magnetic field [7]. Moreover, they are easier to be fabri-
momentum p is exposed to an external magnetic field, it cated and the reduced current through the magnetic layers
experiences a Lorentz force F = –ep × B/m in the direc- makes them more reliable.
tion perpendicular to its orbit and the interaction energy In 2011, it was initially demonstrated that pure spin cur-
contributing to total Hamiltonian is μBσ ∙ B, so the energy rent produced by SHE can be utilized to implement mag-

978-1-5090-6625-4/17/$31.00 ©2017 IEEE 1021


netic nano-oscillators on micrometer-sized permalloy amplitude and frequency which open a new door to devel-
discs and the frequency they obtained by Brillouin light op more flexible STNO configurations.
scattering (BLS) spectroscopy is around 8GHz [8]. Over the past decade, though numerous studies have
SHNO can also be achieved by fabricating a nanocon- been done to explorer the underlying mechanism and seek
striction on nonmagnetic/ferromagnetic bilayer and inject- solution to further improve the performance, the low out-
ing the necessary high current densities into a nanosized put power still remains the major challenge to address.
region of an extended FM/Pt bilayer, as shown in Fig. 1.
Magnetization oscillation can be obtained when current 3. Ultrafast Switching SOT-MRAM
flow through the node which leads to high local current In recent years, current-induced switching of nanosized
density. Finally, AMR effect convert the oscillation to a magnets has emerged as one of the most promising tech-
high frequency microwave signal which can be detected nologies for the realization of a scalable MRAM. The so-
by Spectrum Analyser or high resolution oscilloscope [9]. called STT-MRAM has sparked a huge interest thanks to
It is worth noting that the line width of output signal in its non-volatility, fast access speed and infinite endurance.
this case is more narrow than conventional STNO while However, along with the advanced nodes scaling, MTJ
the frequency still remains as high as 5 GHz [10]. with in-plane or out of plane magnetic anisotropy suffers
from high power consumption, barrier reliability and bot-
tleneck of writing speed.
To address these concerns, focus of research has con-
verted to finding another operation mode. Recently, sever-
al experiments have shown that an in plane current can
influence or even directly control the magnetization dy-
namics in a ferromagnet–heavy-metal bilayer for heavy
metals such as Pt or Ta. The ST which originates from
either the bulk spin Hall effect in the HM layer or interfa-
Figure. 1 SHNO realized by nanoconstriction [10] cial Rashba-type spin-orbit coupling opens a new way to
effectively operate the MRAM, which is called SOT-
MRAM.
More recently, Inspired by experiment done by Liu et al.
in 2012 [11], many researchers focus on realizing the mi- Table 1. SOT-MTJ COMPACT MODEL PARAMETERS
crowave generator by combining the MTJ with SHE
which is shown in Fig 2. The thin-film stack was first Description Parameter Value
Gilbert damping constant α 0.05
TMR ratio TMR 1.2
FL thickness (nm) tF 0.7
Spin hall angle η 0.3
S
MTJ area (nm2) Area u 60 u 60
4
Spin polarization P 0.62
HM dimensions (nm) l, w, d 60, 70, 3
Saturation magnetization Ms 1 u 106
Figure. 2 (a) Device structure and (b) PSD result [11] Effective anisotropy
Heff 200060
filed (Am-1)
Magnetic field (mT) Banti 48
patterned into a micro-strip, then by means of ion beam Thermal stability E 60.09
etchingˈthe MTJ was milled into a nanopillar on the Ta Temperature (K) T 300
micro-strip. The physical mechanism is roughly the same
as mentioned above, spin accumulation caused by SHE
injects spin torque into the CoFeB free layer which is ad- 3.1 Memory Cell and simulation configuration
jacent to the Ta strip and whether it is anti-damping or the The memory cells of STT-MRAM and SOT-MRAM are
opposite depend on the sign of the current. When the ST is shown in Fig. 3. Compared with the conventional
large enough to reduce the net effective damping to zero, 1T1MTJ of STT-MRAM bit cell indicated in Fig. 3 (a),
permanent steady-state magnetic precession will be ac- the MTJ of SOT-MRAM consists 2 transistors, as shown
quired and the output power is greatly improved compared in Fig. 3 (b). In addition, in the bit cell of SOT-MRAM the
with bilayer structure. Moreover, by utilizing the structure current flowing through the HM rather than passing the
of 3-terminal device, the different current paths of IHM and MTJ, which improves the reliability of the memory cell
IMTJ can provide independent controls of the oscillation [12, 13].

1022
magnetic field is large enough (e.g., 48mT, after 5.05 ×
10e11 A.m-1). For the impact of filed-like torque, the pro-
gramming delay increase significantly when the factor
grows from 0.2 to 0.8, then shows a decrease when the
factor larger than 1.0, as shown in Fig. 5 (b). Note that, in
some values of factor (e.g., red line, 0.6 and 0.8), the pro-
gramming is failed since the current density or/and mag-
netic field is not sufficient.

Figure. 3 The typical (a) 1T1MTJ bit cell for STT-


MRAM and (b) 2T1MTJ bit cell for SOT-MRAM.

To reveal the behavior of the SOT-MTJ, we program a


simulation model considering the impact of magnetic field
and field-like torque [14]. The magnetization dynamics in
the Free Layer (FL) of the p-SOT-MTJ is described via
solving a modified Landau-Lifshitz-Gilbert (LLG) equa-
tion [15, 16]. The parameters of the SOT-p-MTJ are listed
in table I. Those parameters were validated in the previous
work [12,17].
Figure. 5 The impact elements of programming delay.
3.2 Simulation Result (a) The current density and magnetic field impact. (b)
The simulation result of the SOT-MTJ is illustrated in The field-like torque impact. Delay ‘0’ represents writ-
Fig. 4, mx, my, mz represent the x-component, y- ing failed.
component, z-component of the normalized magnetization
of the FL, respectively. In this figure, ‘1’ represents the The programming of the memory cell is fast with suita-
state ‘P’ and ‘-1’ represents the state ‘AP’. The 0.7 ns ble magnetic field and current density added on the HM.
write-current pulse flowing through the HM. Afterwards, With this characteristic, the SOT-MRAM is promising as
the state ‘-1’ is written to the MTJ from the initial state ‘1’, alternatives to replace the working memory such as cache
which is a very fast switching. and flip-flop [17, 18].

3.3 Working Memory Replacement


In this section, the SOT-RAM is used to replace L2
cache as working memory, compared to SRAM and STT-
RAM based cache, respectively.
With the cross layer experimental platform, various ex-
periment workloads are used to evaluate the performance
of three caches [19-21]. We choose 9 benchmarks from
SPEC CPU 2006 as the test cases for the proposed cache
technologies. We run two billion instructions for each
benchmark.

Figure. 4 The results of the proposed SOT-MRAM


model with current = 90 uA and factor of filed-like
torque = 0.

The programming delay for the memory cell is impact-


ed by many factors, such as the current density added on
the HM, the magnetic field and field-like torque, as illus-
trated in Fig. 5. In Fig. 5 (a), we select the possible current
density from 4.99 × 1011 to 5.2 × 1011 (A.m-1), with the
magnetic fields including 41 mT, 48 mT and 55mT, re-
spectively. The programming delay is reduced as the mag- Figure. 6 IPC evaluation normalized to SRAM under var-
netic field increase, whereas the delay is steady when the ious benchmarks.

1023
IPC Performance: With the same capacity (1MB), we Acknowledgement
evaluate the performance of on-chip cache under various The authors would like to thank the supports by the projects
benchmarks as shown in Fig. 6. Thanks to the advantage from National Natural Science Foundation of China (No.
of the SOT-cache in write operation, some benchmarks 61571023, 61501013 and 61627813), Beijing Municipal of Sci-
achieve significant performance improvement (e.g. Bzip2). ence and Technology (No. D15110300320000), the International
Nevertheless, a few benchmarks suffer from little im- Collaboration Projects No.2015DFE12880 and No. B16001.Jiaqi
provement due to infrequent data communication with Wei and Liang Chang contribute equally to this paper.
off-chip memory. The maximum performance improve-
ment can be up to 12%, and the average improvement is References
9%, compared to SRAM baseline. [1] Manchon A, Koo H C and Nitta J, Nature Materials,
14, p.871 (2015).
Energy Consumption: Fig. 7 shows the comparison of [2] Manchon A and Zhang S, Physical Review B, 79,
energy consumption among three types of caches under 094422 (2009).
various benchmarks. The results indicate that the SOT [3] Miron I M, Gaudin G and Auffret S, Nature materials,
cache consumes the lowest energy. The reduction of aver- 9, p.230 (2010).
age energy is nearly 27.98% compared to SRAM-based [4] Zhang C, Fukami S and Sato H, Applied Physics Let-
cache and 32.58% compared to STT-cache, respectively. ters, 107, 012401 (2015).
[5] You L, Lee O J and Bhowmik D, Proceedings of the
National Academy of Sciences, 112, 10310 (2015).
[6] Chuang J and Krishnaswamy H, Solid-State Circuits
Conference, 2017 IEEE International, p. 328 (2017).
[7] Elyasi M, Bhatia C S and Yang H. Journal of Applied
Physics, 117, 063907 (2015).
[8] Ulrichs H, Demidov V E and Demokritov S O, Ap-
plied Physics Letters, 104, 042407 (2014).
[9] Dürrenfeld P, Awad A A and Houshang A, Nanoscale,
9, p.1285 (2017).
[10] Demidov V E, Urazhdin S and Zholud A, Applied
Physics Letters, 105, p.1028 (2014).
[11] Liu L, Pai C F and Ralph D C, Physical Review Let-
ters, 109, 186602 (2012).
[12] Wang Z, Zhao W and Deng E, Journal of Physics D
Figure. 7 Energy consumption normalized to SRAM Applied Physics, 48, 65001 (2015)
under various benchmarks. [13] Liu L, Pai C F and Li Y, Science, 336, p.555 (2012).
[14] Fukami S, Zhang C and Duttagupta S, Nature Mate-
The result demonstrates that SOT-RAM is suitable to rials, 15, p.535 (2016).
replace the working memory such as cache. Both the IPC [15] Kazemi M, Rowlands G E and Ipek E, IEEE Transac-
performance and energy consumption are better than the tions on Electron Devices, 63, p.848 (2016).
SRAM and STT-RAM counterpart. However, the control [16] Jabeur K, Pendina G D and Prenat G, IEEE Transac-
complexity of three terminal should be considered. tions on Magnetics, 50, p.1 (2014).
[17] Chang L and Wang Z, IEEE/ACM International
4. Summary Symposium on Nanoscale Architectures, p.73 (2016).
In conclusion, we perform SOT-MRAM modeling and [18] Wang Z, Zhao W and Deng E, Physica Status Solidi
simulation to explore the process of switching. Despite (RRL) - Rapid Research Letters, 9, p.375 (2015).
that many factors can influence the programming delay [19] Zhao W, Zhao X and Zhang B, Materials, 9, p.41
significantly, but the simulation results confirm that SOT- (2016).
MRAM has obvious advantages in switching time and [20] Dong X, Xu C and Xie Y, IEEE Transactions on
reliability compared with traditional STT-MRAM. It Computer-Aided Design of Integrated Circuits and
opens a new way to realize fast operation for information Systems, 31, p.994 (2012).
storage. Moreover, we review the recent progress of SOT- [21] Kang W, Zhang Y and Wang Z, ACM Journal on
Related STNOs, though many different types of structure Emerging Technologies in Computing Systems (JETC),
have been proposed, low output power still remains prob- 12, p. 16 (2015).
lematic for microwave generation.

1024

You might also like