You are on page 1of 19

Spin-orbit torques: Materials, physics, and

devices
Cite as: Appl. Phys. Lett. 118, 120502 (2021); https://doi.org/10.1063/5.0039147
Submitted: 30 November 2020 . Accepted: 06 February 2021 . Published Online: 24 March 2021

Xiufeng Han, Xiao Wang, Caihua Wan, Guoqiang Yu, and Xiaorong Lv

COLLECTIONS

Paper published as part of the special topic on Spin-Orbit Torque (SOT): Materials, Physics, and Devices

This paper was selected as Featured

ARTICLES YOU MAY BE INTERESTED IN

Perspective on ceramic materials for 5G wireless communication systems


Applied Physics Letters 118, 120501 (2021); https://doi.org/10.1063/5.0036058

Field-free and sub-ns magnetization switching of magnetic tunnel junctions by combining


spin-transfer torque and spin–orbit torque
Applied Physics Letters 118, 092406 (2021); https://doi.org/10.1063/5.0039061

Field-free spin–orbit torque induced magnetization reversal in a composite free layer with
interlayer exchange coupling
Applied Physics Letters 118, 132402 (2021); https://doi.org/10.1063/5.0041310

Appl. Phys. Lett. 118, 120502 (2021); https://doi.org/10.1063/5.0039147 118, 120502

© 2021 Author(s).
Applied Physics Letters PERSPECTIVE scitation.org/journal/apl

Spin-orbit torques: Materials, physics,


and devices
Cite as: Appl. Phys. Lett. 118, 120502 (2021); doi: 10.1063/5.0039147
Submitted: 30 November 2020 . Accepted: 6 February 2021 .
Published Online: 24 March 2021

Xiufeng Han,1,2,3,a) Xiao Wang,1 Caihua Wan,1 Guoqiang Yu,1,3 and Xiaorong Lv4

AFFILIATIONS
1
Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190, China
2
Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing 100049, China
3
Songshan Lake Materials Laboratory, Dongguan, Guangdong 523808, China
4
Efound Analytics Ltd., Beijing 100095, China

Note: This paper is part of the Special Topic on Spin-Orbit Torque (SOT): Materials, Physics and Devices.
a)
Author to whom correspondence should be addressed: xfhan@iphy.ac.cn

ABSTRACT
Spintronics, that is, the utilization of electron spin to enrich the functionality of microelectronics, has led to the inception of numerous novel
devices, particularly magnetic random-access memory (MRAM). Over the last decade, significant effort has been devoted to magnetization
manipulation using spin-orbit torque (SOT), which shows great promise for ultrafast and energy-efficient MRAM. In this Perspective, we
summarize the latest progress in the study of SOT and highlight some of the technical challenges facing the development of practical SOT
devices. After introducing the basic concepts of SOT and its relevance for magnetization switching, we will focus on several methods to real-
ize deterministic SOT switching in the absence of an external field, which is a requirement for practical SOT devices. Additionally, we sum-
marize the materials used in SOT devices. The final section is devoted to the most important recent advances in the application of SOT
devices, including SOT-MRAM, spin logic, spin Hall nano-oscillators, and neuromorphic devices.
Published under license by AIP Publishing. https://doi.org/10.1063/5.0039147

I. INTRODUCTION Consequently, a series of new devices based on the combined effects of


A. Development of MRAM STT (for writing) and GMR or TMR (for reading) has emerged,
among which MRAM has made the greatest impact. Today, MRAM
By unlocking the potential of electron spin to introduce addi-
based on the STT effect and magnetic tunnel junctions (MTJs) with
tional degrees of freedom into sophisticated microelectronics, spin-
tronics has played a vital role in the realization of multiple devices perpendicular magnetic anisotropy (PMA),11,12 called p-STT-MRAM,
such as magnetic read head in hard disk drive, magnetic random- has matured sufficiently to suggest its potential to partially replace cur-
access memory (MRAM), nano oscillators, and spin logic devices. rent main memory (DRAM and SRAM) owing to its nonvolatility,
Since the discoveries of the giant magnetoresistance (GMR)1,2 and speed, density, low power consumption, and high endurance.
room-temperature tunneling magnetoresistance (TMR) effects3,4 in The evolution of technology paths and rise in MRAM capacity
1988 and 1995, respectively, spintronics has heralded a data storage are shown in Fig. 1. Here, some MRAM technologies are also intro-
revolution, key to which has been the development of the hard disk duced. In the early 1990s, before discovery of the STT effect, MRAM
drive read head. The GMR and TMR effects enable magnetic states to writing relied on the Astroid and Toggle designs, which were based on
be readout by a ferromagnetic/nonmagnetic/ferromagnetic sandwich the current-induced Oersted field.13 Once invented, STT was applied
nanostructure, while spin torques allow the states of a nanostructure in MRAM and between 2005 and 2015, STT-MRAM assumed domi-
to be controlled electronically. The spin transfer torque (STT) effect nance.14 During this period, MTJs, which formed the core structure of
was first proposed in 1996,5,6 and verified experimentally in GMR MRAM devices, had in-plane magnetic anisotropy (IMA). MTJs can
structures shortly afterward,7–10 thereby allowing for the efficient mag- be designed as nanopillars,15,16 nanorings,17,18 or nanoelliptic rings.19
netization manipulation through the transfer of angular momentum In 2010, MTJs with PMA were discovered by engineering the interfa-
from the spin-polarized current to localized magnetic moments. cial anisotropy of a Ta/CoFeB/MgO system.11 Since then,

Appl. Phys. Lett. 118, 120502 (2021); doi: 10.1063/5.0039147 118, 120502-1
Published under license by AIP Publishing
Applied Physics Letters PERSPECTIVE scitation.org/journal/apl

local magnetic moments. Similar to STT, SOT can be used in a variety


of spintronic devices to manipulate magnetization. However, in the
SOT configuration, the current flows laterally within the heavy metal
layer as opposed to passing through an MTJ in the STT counterpart
(Fig. 2). Thus, in principle, SOT-MRAM devices provide superior
endurance. The SOT configuration can also produce SOT-MRAM
with faster spin dynamics. Owing to these advantages, SOT-MRAM is
considered key to the next-generation of MRAM devices.
The remainder of this Perspective is structured as follows. First,
we introduce the basic principles underlying the physical behavior of
SOT, including SOC effects, damping-like torque, and field-like tor-
que. Then, we discuss SOT-induced magnetization switching via dif-
ferent configurations and field-free SOT switching. Moreover, we
summarize recent developments in high-efficiency SOT materials.
Finally, we summarize the application prospects and challenges of
some typical SOT-based devices.
FIG. 1. Evolution of MRAM capacity for a selection of the most common MRAM
device designs. Here, only some typical MRAM examples which are integrated with B. Spin-orbit torques
CMOS circuits are displayed. The generation of SOT is underpinned by two SOC phenomena,
namely, the SHE and the interfacial Rashba-Edelstein effect. Both
perpendicular STT-MRAM has emerged as the most popular form of effects initiate spin accumulation at the HM/ferromagnet (FM) inter-
MRAM technology. Recently, Gb-capacity p-STT-MRAM20 has been face. Spins then diffuse into the FM layer, thus transferring angular
realized, raising the prospect of embedded or standalone MRAM. momentum from the spin current to the magnetization of the FM and
Furthermore, improvements to the writing speed, endurance, and exerting a torque on the magnetization.
energy-efficiency of MRAM are continuing by the integration of new
technologies such as spin-orbit torque (SOT) into MRAM devices. As 1. Spin Hall effect
of 2020, SOT-MRAM integrated with CMOS circuits has already been The SHE is a bulk SOC effect that converts an unpolarized
demonstrated by researchers at Tohoku University,21 highlighting the charge current into a pure spin current in the transverse direction.
practical applicability of new spintronic devices. The evolution of In 1971, D’Yakonov and V. I. Perel’22,169 predicted that a transverse
MRAM capacity for a selection of the most common MRAM device spin current could be generated from a longitudinal current if spin-
designs is shown in Fig. 1. resolved SOC scattering was considered. This asymmetric scatter-
There are several methods for generating the spin torque, ing leads to spin-up and spin-down electrons being deflected in
including the STT effect and spin-orbit coupling (SOC) effects such as opposite directions and generates a transverse spin current if a
the spin Hall effect (SHE) or interfacial Rashba-Edelstein effect. While spin-neutral current flows through the HM layer. Hirsch23 and
STT is generated by passing a spin-polarized current through an Zhang24 revisited this idea and renamed the phenomena as the spin
MTJ, SOT is generated by applying an in-plane current through a Hall effect, which was later verified experimentally in a GaAs semi-
nonmagnetic/magnetic bilayer. Both can be used for switching the conductor25 and Al or Pt metals.26,27 It was also found that the spin
magnetization and writing information. Figure 2 shows typical STT current from bulk SOC effect can even switch the magnetization of
and SOT device configurations. A film comprising a heavy metal Ga1xMnxAs.28
(HM) such as Pt, Ta, or W usually serves as the nonmagnetic layer. The SHE is described by J s ¼ 2eh h SH ðJ c  rÞ, where J c is the
Owing to strong spin-orbit coupling in the heavy metal and/or its applied charge current, J s is the spin current generated by the SHE,
interface with the magnetic layer, the in-plane current generates a pure and r is the polarization of the spin current. In addition, h, e, and h SH
transverse spin current, which can transfer angular momentum to denote the reduced Planck constant, elementary charge, and spin Hall

FIG. 2. (a) Schematic of an STT-MRAM cell (MTJ). The read and write currents are coupled, with both passing through the oxide barrier. (b) Schematic of an SOT device illus-
trating the write current path in the SOT scheme. (c) Schematic of an SOT-MRAM cell (MTJ). The read and write currents are separated. The read current passes through the
oxide barrier, while the write current passes through the bottom (heavy-metal) electrode. Therefore, the robustness and reliability of SOT-MRAM cells are significantly
improved.

Appl. Phys. Lett. 118, 120502 (2021); doi: 10.1063/5.0039147 118, 120502-2
Published under license by AIP Publishing
Applied Physics Letters PERSPECTIVE scitation.org/journal/apl

angle (SHA), respectively. The SHA, an intrinsic property of heavy Several methods have been developed for the quantitative charac-
metals, determines the polarization direction of the spin current and terization of current-induced torques, such as the second-harmonic
also the conversion efficiency from electrical to spin current density. technique,40–42 spin-torque ferromagnetic resonance (ST-FMR),43–46
Figure 3(a) illustrates the spin accumulation and spin current gener- and optical methods.47,48 The former provides particularly accurate
ated in an HM/FM heterostructure owing to the bulk SHE in the HM calibrations of the damping- and field-like torques in both perpendicu-
layer. The HM converts the charge current into a transverse spin cur- lar41 and in-plane49 materials. The second-harmonic technique utilizes
rent via the SHE. Moreover, if a spin current is injected into the HM, an AC to induce an AC torque, which drives periodic tilting of the
it is also converted into a transverse charge current—this called the magnetization around the spin up/down states. Via the anomalous
inverse SHE (ISHE).26,29 Hall effect (planar Hall Effect), the direction of magnetization can be
detected, which allows the magnitude of the SOT inducing the tilting
to be retrieved.
2. Interfacial Rashba-Edelstein effect The significance of the two torques in magnetization switching
Interfacial current-induced spin accumulation is a well-known has been explored in many materials, such as Pt41,50 and Ta.39
indicator of the Rashba-type SOC effect.30,31 The Rashba-Edelstein Interestingly, Pt and Ta have opposite spin-torque efficiencies owing
effect31 originates from an interfacial SOC phenomenon that arises in to their opposite SHAs. For Pt systems, the anti-damping-like torque
structures with broken inversion symmetry. In HM/FM heterostruc- dominates the field-like torque, whereas, for Ta systems, their magni-
tures, an internal electric field (the Rashba field), E, is built up perpen- tudes are comparable.50 Comparing the switching behavior of Ta and
dicular to the film surface. Conduction electrons with momentum p Pt systems provides insights into the influence of the field-like torque
passing through and interacting with this field experience an effective on the switching behaviors of each system.50 It transpires that
magnetic field in the direction of E  p. Consequently, the magnetic damping-like torque is dominant in magnetization switching, with its
field at the interface (where the electric field is built) polarizes the elec- sign determining the switching direction, while field-like torque is
trons and generates the spin accumulation. Therefore, there is a fixed effective for reducing the critical switching current and accelerating
relation between the spin polarization and the electron momentum, the switching process.
known as spin-momentum locking. A schematic illustrating the
Rashba-Edelstein effect at the HM/FM interface is shown in Fig. 3(b). II. SOT INDUCED MAGNETIZATION SWITCHING
It was first proposed in semiconductors and two-dimensional electron A. Configuration of SOT switching
gases (2DEGs) with broken inversion symmetry, before being extended The spin current source/magnet bilayer structure is commonly
to HM/FM bilayers.32–34 In addition to the Rashba-Edelstein effect, used for SOT research. Spin current can be generated by a heavy metal
several other Rashba-type effects have been reported, including the layer, such as Pt,38 Ta,51 or W,52 or by materials with strong SOC,
Rashba-Dresselhaus, generalized Rashba-Edelstein, and generalized such as topological insulators53 or semimetals.54 The ability of SOT to
Rashba-Dresselhaus effects, each with different spin-momentum locking manipulate the magnetization of ferromagnets or antiferromagnets is
relations.35 In magnetic materials exhibiting Rashba coupling, the a desirable trait for many applications, particularly driving magnetiza-
Rashba induced spin current can be used for magnetization switching.36 tion switching and information writing in a magnetic layer.
For example, the SOT can switch ferromagnets with PMA38,51,55
C. Damping-like torque, field-like torque, and beyond or IMA,51,52 as shown in Fig. 4. The HM/FM/oxide structure in
Fig. 3(a) is representative of a typical system used to study SOT-driven
At least two kinds of SOT can be generated. The pioneering
magnetization switching. In response to an in-plane current, the HM
experimental studies of Miron et al.37 and Liu et al.38 both proposed a
generates a spin current that flows perpendicularly with in-plane
torque of the form m  ðr  mÞ, which is known as the damping-like
polarization [Fig. 3(a)]. For an FM with IMA, if the spin polarization
(or Slonczewski) torque. Another torque, with the form r  m, is
is colinear to the easy axis of the FM, the in-plane magnetization can
known as the field-like torque.39 In both cases, m and r represent the
be switched directly by the SOT. As shown in Fig. 4(b), this scheme is
directions of the magnetization and polarization of the spin current,
similar to STT-induced magnetization switching, which has been dem-
respectively. Although other types of torques manifest as higher-order
onstrated by many research groups and become a promising technol-
effects, the damping- and field-like torques are the most significant for
ogy for the research and development of SOT-MRAM.
magnetization switching. In contrast, an FM with PMA is preferred for SOT-MRAM appli-
cations, because it offers faster dynamics, higher thermal stability, and
better scalability. However, considering the in-plane polarization of
the spin current generated by the HM and the perpendicular magneti-
zation, a sufficiently large damping-like torque can only drive the mag-
netization to an in-plane state. If the applied torque disappears, the
magnetization can turn to the up or down-spin state with equal proba-
bility (random switching), which can be utilized as a random number
generator.56,57 To switch the magnetization of an FM with PMA deter-
ministically, it is necessary to apply an external magnetic field in the
FIG. 3. (a) Illustration of the bulk spin Hall effect in an HM. (b) Illustration of the direction of the electric current to break the symmetry [Fig. 4(a)].
interfacial Rashba-Edelstein effect at the FM/HM interface. Furthermore, switching behaviors such as the critical switching current

Appl. Phys. Lett. 118, 120502 (2021); doi: 10.1063/5.0039147 118, 120502-3
Published under license by AIP Publishing
Applied Physics Letters PERSPECTIVE scitation.org/journal/apl

FIG. 4. Setup and magnetization switching dynamics of (a) type z, (b) type y, and (c) type x. Reproduced with permission from Fukami et al., Nat. Nanotechnol. 11, 621–625
(2016). Copyright 2016 Springer Customer Service Center GmbH: Springer Nature. (d) Schematic diagram of a magnetic heterostructure with T-type magnetic anisotropy. The
lower panel is its switching dynamics for the perpendicular layer of the T-type structure. In this case, the in-plane layer retains its orientation during the dynamics when the
effective anisotropy of the in-plane layer is higher than that of the perpendicular layer.

and the switching chirality (clockwise and counterclockwise direction The above three schemes are all based on systems with a single
of the Mz vs I curve) can also be controlled by the external field.38 This magnetic layer, which are distinguished by different magnetic aniso-
is a typical feature of SOT switching for PMA systems. Nevertheless, tropies. Introducing a second magnetic layer can increase the versatil-
the requirement of an external field for type x and type z switching ity of the switching dynamics and behaviors. For example, SOT
hinders the application of SOT switching in MRAM devices. switching in synthetic antiferromagnetic (SAF) systems has been dem-
Therefore, the introduction of the field at the memory cell level is a onstrated,59,60 while, more interestingly, field-free SOT-switching has
pressing issue for SOT-MRAM development. Many approaches for been realized in bilayer systems with a so-called T-type magnetic
achieving field-free SOT switching have been explored, some of which anisotropy.61,62 In this case, the system contains two magnetic layers,
are discussed in Sec. II B. In addition to the IMA and PMA scenarios, one with PMA and the other with uniaxial IMA; the two magnetic
there is another switching geometry, in which the in-plane easy axis of layers exhibit coupling via exchange and/or dipolar interactions.
the FM is colinear with the applied current.58 As shown in Fig. 4(c), in Furthermore, both magnetic layers are influenced by SOT and can be
this configuration, the spin polarization is orthogonal to the magneti- controlled by changing the current direction. Table I summarizes the
zation, as in the PMA system. feature of these configurations of SOT switching.

TABLE I. Summary of various SOT-driven magnetization switching schemes and their main features and applications.

Chirality reversible
Applied field-assisted Exchange bias/couplin SOT switching of interlayer
Stages In-plane SOT switching SOT switching assisted SOT switching coupled system

Magnetic anisotropy In-plane Perpendicular/In-plane Perpendicular Crossed anisotropy


SOT switching Type y Type z/Type x Type z Type T
configuration
Features Field-free switching Chirality controlled by Field- free switching Field- free switching
field Hx/Hz
Switching performance Fixed chirality Fixed chirality Fixed chirality Flexible chirality
under zero or fixed field
Applications Memory Memory with permanent Memory free of magnet Memory and programable
magnet logic free of magnet
Typical references Refs. 44 and 45 Refs. 33, 34, and 48 Refs. 63–65 Ref. 53
Typical patents Chen et al. CN200910076048.X; Gaudin et al. US8350347B2; Zhang et al.
Gaudin et al. US8384171B2. US8416618B2 CN201510574526.5; Han et al.
US10153425B2.

Appl. Phys. Lett. 118, 120502 (2021); doi: 10.1063/5.0039147 118, 120502-4
Published under license by AIP Publishing
Applied Physics Letters PERSPECTIVE scitation.org/journal/apl

B. Field-free SOT switching


MRAM with PMA provides good thermal stability and scalabil-
ity. As mentioned above, PMA systems require an external field to
realize the deterministic SOT-driven switching. In real MRAM chips,
it has been proposed to generate the field using a large permanent
magnet or a special current line. Such solutions limit cell miniaturiza-
tion and cause uniformity issues. Therefore, implementing SOT-
switching independent of an applied field is a major focus of current
research. The significance of eliminating the external field has been
appreciated since the earliest studies on SOT switching. In addition,
switching in the zero-field region by utilizing the stray field generated
by a pair of deposited CoFe bars on top of the current lines has also
been explored.37 Unfortunately, the stray field introduces the problem
of mutual interference between neighboring cells, thus impeding
device miniaturization. Therefore, intensive research has been invested
in exploring alternative methods for achieving field-free SOT switch-
ing in PMA systems.
One category of approaches for implementing field-free SOT
switching comprises those that involve the use of artificial asymmetric
structures. In 2014, Yu et al.63,66 first proposed a method to realize
deterministic SOT switching without the assistance of an external field
by utilizing a wedge-shaped stack structure, with the wedge normal to
the applied current. Figure 5(a) shows a Ta/CoFeB/TaOx structure
wherein the TaOx capping layer has a wedge-shaped profile and the FIG. 5. (a) Schematic of the patterning steps implemented in the preparation of a
thickness gradient is in the direction perpendicular to the current. Ta/CoFeB/TaOx structure with a wedge-shaped TaOx layer. The wedge-shaped
(The Ta and CoFeB layers have thicknesses of 5 and 1 nm, respec- TaOx layer results in an oxidation, and thus PMA, gradient along the wedge direc-
tion. Reproduced with permission from Yu et al., Nat. Nanotechnol. 9, 548–554
tively.) Because PMA depends on the thickness of the oxide layer, the
(2014). Copyright 2014 Springer Customer Service Center GmbH: Springer Nature.
anisotropy field in CoFeB also forms a gradient, which breaks symme- (b) Image of a Hall device based on the wedge structure in (a) and the measure-
try of the system. In addition to the effective fields induced by the ment configuration. Reproduced with permission from Yu et al., Nat. Nanotechnol.
current-generated damping- and field-like torques, there exists an 9, 548–554 (2014). Copyright 2014 Springer Customer Service Center GmbH:
additional effective symmetry-breaking field, Hz, which determines the Springer Nature. (c) Illustration of a patterned wedge-shaped CoFeB nanomagnet
final state of the system. structure. The CoFeB layer has titled magnetic anisotropy owing to the wedge-
structure. Reproduced with permission from You et al., Proc. Natl. Acad. Sci. U. S.
As shown in Fig. 5(c), alternative approaches exist for realizing
A. 112, 10310 (2015). Copyright 2015 National Academy of Sciences. (d) The ori-
field-free SOT switching via film engineering. In this case, the FM entation of the easy and hard axes of the CoFeB layer are slightly tilted with respect
layer (CoFeB) is partially covered by MgO.64 The covered region has to the perpendicular z-axis owing to the wedge-structure. Reproduced with permis-
PMA, while the wedge-shaped region has tilted anisotropy because of sion from You et al., Proc. Natl. Acad. Sci. U. S. A. 112, 10310 (2015). Copyright
the lack of an oxide capping layer. Introducing an asymmetric struc- 2015 National Academy of Sciences.
ture in only a small part of the film was shown to be sufficient to
achieve deterministic SOT switching. At present, artificial asymmetric
structure-based approaches are still being investigated and continue to In addition to introducing an exchange bias field through direct
provide new insights.65,67–69 However, creating asymmetry in films contact between the antiferromagnetic and ferromagnetic materials,
deliberately is very challenging for industrial mass-production. the interlayer coupling between the two ferromagnetic layers can also
Consequently, these approaches are suitable for studying physical be exploited. Spacer layers, such as Ru and Ta, can also be utilized to
mechanisms rather than implementing MRAM for industrial separate the perpendicular and in-plane layers, with the interlayer
applications. exchange coupling effect providing an in-plane interlayer coupling
The second approach category to implement field-free SOT field for the perpendicular magnetized layer. Field-free SOT switching
switching comprises those that rely on the exchange bias or interlayer can also be realized. The initial work on this proposal is shown in
exchange coupling (IEC) effects. The exchange bias effect at the anti- Fig. 6(c).75 In this structure, there are an upper and a lower ferromag-
ferromagnet/ferromagnet interface provides an in-plane exchange netic CoFe layer, which have IMA and PMA, respectively. The CoFe
interaction for perpendicularly magnetized ferromagnetic layers. In layer with PMA is adjacent to the lower Pt layer, which is used to pro-
contrast, the in-plane exchange bias field provided by the antiferro- vide a spin current via SHE, while the CoFe layer with IMA is fixed by
magnetic material breaks the symmetry of the system, thereby helping the IrMn layer situated above it via exchange bias. The Ru layer
to realize deterministic switching without an external field.70–74 between the two CoFe layers facilitates IEC, through which the in-
Several stack structures that utilize exchange bias to implement field- plane CoFe layer exerts an effective field on the perpendicular CoFe
free switching are shown in Figs. 6(a) and 6(b). In these structures, the layer, resulting in symmetry breaking during the SOT switching.
antiferromagnetic materials, PtMn and IrMn, respectively, are used to Inspired by this work, many subsequent studies have investigated SOT
provide the exchange bias and act as a spin current source. switching in this interlayer coupling system, revealing important

Appl. Phys. Lett. 118, 120502 (2021); doi: 10.1063/5.0039147 118, 120502-5
Published under license by AIP Publishing
Applied Physics Letters PERSPECTIVE scitation.org/journal/apl

their strong SOC strength. For example, Pt38 and Ta51 are popular
choices for the spin current source. Later, it was discovered that Hf81,82
and W52,83 could also be used as spin current sources. In particular,
b-phase W has a large SHA of about 0.3.52 However, empirical
observations suggest that the resistivity is often proportional to the
SHA in these materials.83 Thus, although the SHA of the material can
be improved, the energy consumption of the operation does not neces-
sarily decrease owing to an increase in resistivity. Therefore, the pur-
suit of compatible metallic materials that offer both high SHA and
high conductivity has become a prominent research objective.
Compared to monometallic materials, heavy-metal alloys have shown
greater promise in this regard. Alloys such as AuW,84 AuTa,85 AuPt,86
PtHf,87,88 PtAl,87 and PtPd,89 not to mention some high-entropy
alloys, have been investigated. Among these, the Au0.25Pt0.75 alloy per-
forms well, providing both high SOT efficiency and high electrical
conductivity, resulting in devices with relatively low energy consump-
tion. Other work has investigated Pt films containing varying concen-
trations of MgO intersite impurities, with the aim of improving the
SHA and spin Hall conductivity by reducing the carrier lifetime. The
film with the optimal composition, Pt0.6(MgO)0.4, shows an internal
giant SHA of 0.73.90
Normally, materials with small atomic numbers exhibit weaker
FIG. 6. Schematic stack structures of different external field-free SOT switching SOC, making them less suitable as spin current sources. However, con-
schemes using exchange bias or interlayer exchange coupling. (a) The PtMn layer sidering that certain light metals have desirable electrical properties,
provides the exchange bias and spin current. Reproduced with permission from
Fukami et al., Nat. Mater. 15, 535–541 (2016). Copyright 2016 Springer Customer such as Cu, which has high electrical conductivity and is compatible
Service Center GmbH: Springer Nature. (b) The IrMn layer provides the exchange with CMOS processes, methods have also been developed to increase
bias and spin current, while the bottom CoFeB layer enhances the in-plane the SHA in lighter metals. One frequently used method is doping light
exchange bias. Reproduced with permission from Oh et al., Nat. Nanotechnol. 11, metals with heavy-metal atoms. The spin Hall effect of Cu-based alloys
878–884 (2016). Copyright 2016 Springer Customer Service Center GmbH: including CuBi,91 CuPb,91 CuIr,92 CuPt,93 and CuAu94 have been
Springer Nature. (c) The bottom CoFe layer is perpendicular while the top CoFe
intensively studied. Notably, Cu99.5Bi0.591 shows a large SHA of 0.24,
layer is in-plane. Interlayer exchange coupling via the Ru layer provides an effective
field, while the thicker Pt layer provides spin current for the perpendicular CoFe which is larger than the SHA measured in heavy metals such as Pt and
layer. Reproduced with permission from Lau et al., Nat. Nanotechnol. 11, 758–762 Ta.
(2016). Copyright 2016 Springer Customer Service Center GmbH: Springer Nature. In addition to single-layer metals and alloys, heavy-metal bilayers
(d) The intermediate Ta layer serves as both a spin source and a spacer. and multilayers have also been investigated, with some studies using
Reproduced with permission from Kong et al., Nat. Commun. 10, 233 (2019). insertion layers to modify the interface, e.g., W/Hf,95 Pt/Mo,96 and Ta/
Copyright 2019 Authors, licensed under a Creative Commons Attribution (CC BY)
Mo.96 The interlayer in the Ta/Mo structure not only improved the
license.
SOT efficiency but also enhanced the thermal tolerance of the PMA in
the magnetic layer. Recently, high SOT efficiencies were observed in
physical insights.61,62,76 MTJ elements that utilize this zero-field periodic multilayer films by Zhu et al.;97,98 the authors maximized the
switching mechanism have also been prepared and demonstrated.77 SHA in Pt thin films by inserting monolayer sublayers of Ti or Hf to
Moreover, the antiferromagnetic coupling between two PMA layers enhance interfacial scattering, and observed SOT efficiencies of 0.35
has also been studied. Although field-free SOT switching was not real- and 0.37 in the [Pt/Ti]n97 and [Pt/Hf]n98 multilayers, respectively.
ized, complex flipping behavior was revealed and the switching effi- Moreover, Zhu et al. also reported a record low critical switching cur-
ciency was improved.59,60,78,79 rent of approximately 73 lA (for a switching current density of
Further to these two broad categories, other distinctive methods approximately 3.6  106 A/cm2) by inserting a Hf layer into the [Pt/
have been reported for achieving deterministic SOT switching without Hf]n multilayer as the spin current source. The SOT efficiencies and
an external field. For example, researchers have demonstrated that an the resistivities of some typical materials are listed in Table II.
in-plane effective magnetic field can be induced by an electric field
without breaking the symmetry of the thin-film structure, and realized B. Antiferromagnets
the deterministic magnetization switching in a hybrid ferromagnetic/
Antiferromagnetic (AFM) materials play a passive role in storage
ferroelectric structure consisting of Pt/Co/Ni/Co/Pt layers on a PMN-
devices, where they are often used for pinning and/or stabilizing ferro-
PT substrate.80 magnets via the exchange bias effect. Moreover, as mentioned above,
an AFM metal can also induce efficient charge-to-spin conversion
III. SOT MATERIALS
through the SHE and manipulate the magnetization of an adjacent fer-
A. Non-magnetic metals romagnet.70,71,99,100 For example, Zhang et al.101 conducted a system-
The earliest studies on the conversion of an electric currents to a atic study of the SOT induced by the SHE in several Mn-based alloys.
spin current focused on conventional heavy metal materials because of The SOT efficiency produced by some antiferromagnetic alloys can

Appl. Phys. Lett. 118, 120502 (2021); doi: 10.1063/5.0039147 118, 120502-6
Published under license by AIP Publishing
Applied Physics Letters PERSPECTIVE scitation.org/journal/apl

TABLE II. Summary of SOT efficiency or spin Hall angle in various heterostructures.

Structure Magnetic anisotropy Method Spin Hall angle or nDL nFL Resistivity (lX cm) Reference

Non-magnetic metals
Pt(3)/Co80Fe20(0.6)/MgO(1.8) OP Second harmonic 0.06 133
Ti(1)/CoFe(0.6)/Pt(5) IP MOKE 0.074 0.008 21.2 47
Pt/Co(1)/MgO(1) OP Second harmonic 0.12 20  100 134
Pt(6)/Co(1)/MgO(2) OP Second harmonic 0.09 36 87
Ta(5)/Co80Fe20(0.6)/MgO(1.8) OP Second harmonic 0.25 133
Ta(6.2)/CoFeB(1.6)/MgO(1.6) IP ST-FMR 0.12 190 51
Ta(3)/CoFeB(0.9)/MgO(2) OP Second harmonic 0.006 178.5 39
Ta(2)/CoFeB(0.8)/MgO(2) OP Second harmonic 0.11 0.49 135
W(5.2)/CoFeB(2)/MgO(1)/CoFeB(4) IP Critical current 0.33 260 52
W(6.2)/CoFeB(2)/MgO(1)/CoFeB(4) IP Critical current 0.18 80 52
W(5)/CoFeB(1.3)/MgO(1) OP Critical current 0.34–0.49 193 83
Hf(3.5)/CoFeB(1)/MgO(2) OP Second harmonic 0.02 0.06 199 136
Hf(3.5)/CoFeB(1.1)/MgO(2) OP Second harmonic 0.17 81
Hf(6)/CoFeB(1)/MgO(2) OP Second harmonic 0.28 0.82 82
Pd(8)/Co(0.6)/AlOx(1.6) OP Second harmonic 0.033 0.02 30 137
Insert- or multi-layers
W(4)/Hf(1)/CoFeB(1)/MgO(1.6) OP Second harmonic 0.25 200(W)/80(Hf) 95
W(4)/Hf(0.25)/FeCoB(1.8)/Hf(0.1)/MgO IP ST-FMR 0.2 0.036 138
½ Pt(0.75)/Ti(0.2)n /Pt(0.75)/Co(1.3) IP Second harmonic 0.35 90 97
Alloys
Au0.93W0.07(30)/Py(15) IP ST-FMR 0.1 57 84
Au0.9Ta0.1(10)/Py IP ST-FMR 0.5 85
AuxPt100x/Py IP ST-FMR 0.05–0.31 139
Au25Pt75(8)/Co(0.8)/MgO(2) OP Second harmonic 0.35 0.11 80 86
PtAl(6)/Co(1)/MgO(2) OP Second harmonic 0.14 75 87
Pt85Hf15(6)/Co(1)/MgO(2) OP Second harmonic 0.16 110 87
Pt85Hf15(6)/CoFeB(1.4)/MgO(2) OP Critical current 0.098 88
Cu99.5Bi0.5/Py IP Lateral spin valve 0.24 5.1 91
Cu90Ir10(10)/CoFeB(1.5)/MgO(1.7) IP Critical current 0.03 92
Py(5)/Cu1xPtx(6)/MgO(1) IP ST-FMR 0.07 20–70 93
Cu40Au60(8)/NiFe(1.5)/Ti(1) IP Second harmonic 0.097 29 94
Antiferromagnets
FeMn/Cu(4)/Py(15) IP Spin pumping 0.008 167.7 101
PdMn/Cu(4)/Py(15) IP Spin pumping 0.015 223.0 101
IrMn/Cu(4)/Py(15) IP Spin pumping 0.022 269.3 101
PtMn/Cu(4)/Py(15) IP Spin pumping 0.060 164.0 101
IrMn(8)/NiFe(4)/Al(2) IP ST-FMR 0.22 99
IrMn3[001](6)/Ni80Fe20(6) IP ST-FMR 0.20 100
IrMn3[111](6)/Ni80Fe20(6) IP ST-FMR 0.12 100
L10-IrMn(22)/NiFe(13) IP ST-FMR 0.6 102
poly-IrMn(22)/NiFe(13) IP ST-FMR 0.22 102
Topological insulators
Bi2Se3(8)/Py(8) IP ST-FMR 3.5 1755 126
Bi2Se3(20)/CoFeB(5)/MgO(1) IP ST-FMR 0.42(50 K) 127
Bi2Se3(7.4)/CoTb(4.6)SiNx(3) OP Loop shift 0.16 1060 140
Bi2Se3/Ti/CoFeB/MgO OP Second harmonic 0.08 667 131
BixSe1x(4)/CoFeB(5)/MgO(2) OP Second harmonic 18.62 12 820 130

Appl. Phys. Lett. 118, 120502 (2021); doi: 10.1063/5.0039147 118, 120502-7
Published under license by AIP Publishing
Applied Physics Letters PERSPECTIVE scitation.org/journal/apl

TABLE II. (Continued.)

Structure Magnetic anisotropy Method Spin Hall angle or nDL nFL Resistivity (lX cm) Reference

Bi0.9Sb0.1(10)/Mn0.6Ga0.4(3) OP Coercivity 52 400 129


ð Bi, Sb Þ2 Te3(8)/CoTb(8)/SiNx(3) OP Loop shift 0.4 4020 140
ð Bi, Sb Þ2 Te3/Ti/CoFeB/MgO OP Second harmonic 2.5 5464 131
SnTe/Ti/CoFeB/MgO OP Second harmonic 1.41 1835 131
Transition metal dichal-cogenides
MoS2(0.8)/CoFeB(3)/TaOx(3) IP Second harmonic 0 0.14 49
WSe2(0.8)/CoFeB(3)/TaOx(3) IP Second harmonic 0 0.3 49
WTe2(5.5)/Py(6) IP ST-FMR 0.029 385 141
WTe2(19.6)/Py(6) IP ST-FMR 0.51 580 54
PtTe2/Py(5) IP ST-FMR 0.05–0.15 33–333 142
MoTe2/Py(6) IP ST-FMR 0.032 550 143
NbSe2/Py(6) IP ST-FMR 0.005–0.013 167 144

exceed that of conventional heavy metals. For example, Zhou et al.102 layer was inserted between the HM and FM layers. Furthermore, a
found that single-crystal L10-IrMn alloys can exhibit a high SOT effi- spin current mediated by a thick NiO layer (25 nm) can also switch
ciency of 0.6 (see Fig. 7), and revealed the relationship between the the magnetization, thereby revealing the dominant role of magnon
SHA and the crystal orientation. In addition, the exchange bias effect transport in the AFM insulator.105 As opposed to insulator systems,
of the AFM/FM PMA system facilitates the realization of field-free conventional AFM alloys, such as FeMn106 and IrMn,107 are more typ-
SOT switching, making antiferromagnetic metals candidate materials ical choices as insertion layers to improve the interfacial spin
for SOT-based MRAM applications. transmission.
Elsewhere, AFM insulator-mediated SOT has also been explored, Compared to ferromagnets, antiferromagnets possess certain
with SOT-driven magnetization switching achieved in an HM/NiO/ properties, such as zero stray field, insensitivity to external fields, and
FM structure.103,104 The SOT efficiency was improved owing to the ultrafast magnetic dynamics, that make them useful for technological
enhanced interfacial spin mixing conductance when an ultra-thin NiO applications. However, because the net magnetization is zero, it is diffi-
cult to detect the AFM order in antiferromagnetic materials via electri-
cal methods, which is an obstacle for the integration of
antiferromagnets as active elements in MRAM applications. Recently,
researchers have discovered multiple novel effects in antiferromagnetic
materials, such as anisotropic (tunneling) magnetoresistance,108 spin
Hall magnetoresistance,109,110 and the anomalous Hall effect,111,112
which make detecting the AFM order feasible. Additionally, recent
experiments have suggested that the AFM order can also be switched
by electric currents,113 opening further possibilities for the application
of antiferromagnetic materials. These important discoveries highlight
the enormous potential that can be unlocked by developing antiferro-
magnetic materials for spintronics.

C. Ferromagnet
In addition to the conventional SHE, pure spin currents can also
be produced via the anomalous Hall effect (AHE) or anisotropic mag-
netoresistance (AMR) effect of a ferromagnetic film. In this case, the
polarization of the spin current depends on the magnetization of the
FIG. 7. Measurement of the SOT efficiency (n DL ) via ST-FMR in IrMn/Py structures. ferromagnetic film, whereas the polarization of a spin current originat-
(a) Schematic of the crystal structure of L10-IrMn. (b) Schematic of the measure- ing from the classical SHE is magnetization-independent. For example,
ment setup: H, s FL , and s DL are the applied magnetic field, field-like torque, and Wu et al.114 observed the ISHE in NiFe by injecting into it a spin cur-
damping-like torque, respectively. An optical image of the measured device is also rent via the spin Seebeck effect in a Y3Fe5O12/Cu/NiFe/IrMn structure,
shown (top right). (c) Rectification voltage spectra of a L10-IrMn-IrMn(22)/Py(17) revealing that the SHA of NiFe is comparable to that of Pt. Recently,
measured from 8 to 12 GHz with nominal input power of 18 dBm. (d) Damping-like
torque efficiencies (n DL ) of L10-IrMn, poly-IrMn (p-IrMn), and Pt. Reproduced with field-free magnetization switching driven by SOT from a ferromag-
permission from Zhou et al., Sci. Adv. 5, eaau6696 (2019). Copyright 2019 Authors, netic film or its interface has been observed in certain coupled systems,
licensed under a Creative Commons Attribution (CC BY) license. for example, a structure consisting of an in-plane NiFe/Ti/

Appl. Phys. Lett. 118, 120502 (2021); doi: 10.1063/5.0039147 118, 120502-8
Published under license by AIP Publishing
Applied Physics Letters PERSPECTIVE scitation.org/journal/apl

perpendicular CoFeB trilayer with crossed magnetic anisotropy,115 in D. Topological materials


which the spin current was generated by spin-dependent interfacial Topological insulators are materials that are internally insulating
scattering at the NiFe/Ti interface. Moreover, SOT switching has been but have a conductive surface or edge. Usually, insulators are
reported in an in-plane CoFeB/Mo/perpendicular CoFeB trilayer,116 completely non-conductive because their Fermi surfaces are located
whereby a spin current produced in the in-plane CoFeB film via the between the conduction and valence bands; however, certain topologi-
bulk SHE was considered the primary driver in the switching cal surface states inside the bandgap allow their surfaces to conduct
process.117 electrons. Owing to the extremely strong SOC, conducting electrons in
Ferromagnetic materials can also generate a magnetization- topological surface states exhibit strong spin-momentum locking. That
dependent spin current, which enables flexible SOT switching to be is, when a current is applied in a topological insulator, it naturally gener-
realized. Despite being discovered decades ago, the AHE has only been ates spin polarization. Therefore, topological insulators are considered
demonstrated as a source of spin current recently.118–122 When the capable of generating spin current with very high efficiency. In 2014,
magnetization (m) and current (J c ) are noncollinear, spin-polarized Fan et al.53 prepared topological insulator/magnetic topological insulator
electrons are scattered in a transverse direction via m  J c owing to [(Bi0.5Sb0.5)2Te3/(Cr0.08Bi0.54Sb0.38)2Te3] heterostructures and achieved
spin-orbit coupling, which produces an anomalous Hall voltage and a current-driven magnetization switching at temperatures below 10 K. A
pure spin current with polarization parallel to m. This is termed minimum critical switching current density of 8.9  104 A cm 2 was
the anomalous SHE (ASHE). Recently, several studies have discussed obtained at 1.9 K. Topological insulators have also demonstrated the
the measurement of the torque generated by the ASHE by using the generation of high SOT efficiency via the ST-FMR method.126 These
second-harmonic technique and even reported that the SOT generated discoveries have stimulated significant interest in the application of
by ASHE in FePt can reverse the magnetization of NiFe with in-plane topological insulators for generating spin current and manipulating
anisotropy.123,124 Subsequently, Ma et al.125 investigated a structure magnetization.
comprising an IrMn/NiFe/Ru/perpendicular (Pt/Co/Pt) sandwich Although initial experiments involving topological insulators
with a specific geometry to demonstrate bulk AHE-induced SOT were performed at low temperatures, more recently, SOT-driven per-
switching. The anomalous spin Hall torque generated by the NiFe pendicular magnetization switching generated by a topological insula-
layer not only has sufficient strength to switch the magnetization of tor (Bi2Se3) has been demonstrated at room temperature.127,128
the perpendicular Co layer but also reverses the switching direction Subsequently, Khang et al.129 prepared a topological insulator
relative to the ordinary spin Hall torque. The findings presented in (Bi0.9Sb0.1) with high conductivity (r  2:5  105 X1 m1) and SHA
these studies demonstrate that the strong anomalous SOT produced (hSH  52), while Dc et al.130 deposited BixSe1x films with a maxi-
via the AHE may be utilized as a basis for the development of heavy mum SOT efficiency of 18.6 using a magnetron sputtering technique.
metal-free SOT devices. Importantly, both studies realized room-temperature SOT switching.

FIG. 8. Dependence of the SOT efficiency of (Bi1xSbx)2Te3 on the Sb concentration and Fermi level position. (a) Schematic of the Fermi level positions for different Sb con-
centrations in (Bi1xSbx)2Te3. (b) Two-dimensional carrier density, n2D, and resistivity, q xx , as a function of the Sb concentration in (Bi1xSbx)2Te3. (c) Switching current den-
sity, J c , and SOT-induced effective field, v SOT , as a function of the Sb concentration in (Bi1xSbx)2Te3. Reproduced with permission from Wu et al., Phys. Rev. Lett. 123,
207205 (2019). Copyright 2019 American Physical Society.

Appl. Phys. Lett. 118, 120502 (2021); doi: 10.1063/5.0039147 118, 120502-9
Published under license by AIP Publishing
Applied Physics Letters PERSPECTIVE scitation.org/journal/apl

These results highlight the rapid progress in topological insulators as


candidate materials for practical applications.
Other studies have focused on the role of topological surface states
in current-driven magnetization switching. For example, Wu et al.131
modified the Fermi surface by varying the concentration of the Sb com-
ponent in (Bi1xSbx)2Te3. When the Fermi surface of a topological insu-
lator approaches its Dirac point, the insulating properties of the bulk and
the population of topological surface states are maximized. This condi-
tion yields the maximum SOT effective field, as shown in Fig. 8. A topo-
logical insulator/magnetic insulator system that avoids the suppression
of topological surface states by magnetic metals, thereby realizing SOT
switching purely via topological surface states has been reported.132
In addition to topological insulators, many other novel materials
have been explored, including topological semimetals141 and
2DEGs.158 Topological semimetals possess topological states similar to
topological insulators. However, in contrast to topological insulators,
topological semimetals have naturally high conductivities, which com-
bined with the strong SOC and high SOT efficiency, is promising for
low-power magnetization switching. In 2017, MacNeill et al.141 found
that the Weyl semimetal WTe2 can generate strong SOT with an effi-
ciency of 3.5 [Figs. 9(a) and 9(b)]. More recently, Shi et al.54 realized
current-driven in-plane magnetization switching in a WTe2/NiFe het-
erostructure [Figs. 9(c) and 9(d)], where the Weyl semimetal WTe2
FIG. 9. Spin-orbit torque generated by WTe2. (a) Crystal structure of WTe2. contributes the SOT. Elsewhere, current-driven perpendicular magne-
Reproduced with permission from MacNeill et al., Nat. Phys. 13, 300–305 (2017).
Copyright 2017 Springer Customer Service Center GmbH: Springer Nature. (b)
tization switching in a PtTe2/CoTb heterojunction using the Dirac
Schematic diagram of the generation of SOT in WTe2/NiFe. Reproduced with permis- semimetal PtTe2, which has a high spin Hall conductance, as the spin
sion from MacNeill et al., Nat. Phys. 13, 300–305 (2017). Copyright 2017 Springer flow source has been reported.142 These discoveries have greatly
Customer Service Center GmbH: Springer Nature. (c) Schematic and image of the advanced the application of topological materials in spintronics.
WTe2/Py sample for magnetization switching measurements. Reproduced with permis-
sion from Shi et al., Nat. Nanotechnol. 14, 945–949 (2019). Copyright 2019 Springer IV. APPLICATIONS OF SOT
Customer Service Center GmbH: Springer Nature. (d) Current-driven in-plane magneti- A. SOT-MRAM
zation switching in WTe2/NiFe obtained using a magneto-optical Kerr microscope.
Reproduced with permission from Shi et al., Nat. Nanotechnol. 14, 945–949 (2019). As discussed in Secs. I–III, SOT provides a convenient, reliable,
Copyright 2019 Springer Customer Service Center GmbH: Springer Nature. low-power consumption method for manipulating magnetization and

TABLE III. Summary of SOT-MTJs that have been demonstrated.

Magnetic Field
Structure Anisotropy free Approach RMTJ (kX) TMR ratio Reference

Ta(6.2)/CoFeB(1.6)/MgO(1.6)/CoFeB(3.8)/Ta(5)/ IP No Hext along y  65  50% 51


Ru(5)
Ta(5)/CoFeB(1.48)/MgO(1.8)/CoFeB(1.5)/ IP No Hext along z  12  94% 58
Co(1.1)/Ru(0.88)/Co(2.4)/Ru(5)
Ta(10.0)/CoFeB(1.2)/MgO(1.7)/CoFeB(1.8)/ IP Yes SAF pinned layer  100  135% 145 and 146
Ru(0.9)/CoFe (1.8)/IrMn (8.0)
Ta(10)/CoFeB(1.4)/MgO(1.4)/CoFeB(2)/CoFe(1)/ IP Yes SAF pinned layer  53  102% 147
Ru(0.8)/CoFe(3)/PtMn(15)/Ru(3)/Ta(60)
Ta(3.8)/CoFeB(1)/MgO(1.2)/CoFeB(1.3)/Ta(0.4)/ OP No Hext along x  1.3  60% 148
Co(0.4)/Pd(0.6)/Co(0.4)/Ru(0.85)/Co(0.4)/ (two terminal)
[Pd(0.6)/Co(0.3)]3/Ru(1.5)
W/CoFeB/MgO(10 X  l m2)/CoFeB/SAF OP No Hext along x 3  90% 149
W/CoFeB/MgO(10 X  l m2)/CoFeB/SAF OP Yes Hard magnetic bias  10  110% 150
W/CoFeB/MgO/CoFeB/SAF Canted IP Yes SAF pinned layer and  19  167% 151
canted anisotropy
Ta(8)/CoFeB(2)/Ta(1.3)/CoFeB(0.6)/MgO(2.5)/ OP Yes Interlayer exchange coupling  45  50% 77
CoFeB(1.2)/Ta(5)/Ru(5)

Appl. Phys. Lett. 118, 120502 (2021); doi: 10.1063/5.0039147 118, 120502-10
Published under license by AIP Publishing
Applied Physics Letters PERSPECTIVE scitation.org/journal/apl

contrast to STT-MRAM, the write and read paths are separated in


SOT-MRAM, which reduces the deteriorating risk of the oxide barrier
and allows for better device stability. To date, the SOT-MRAM tech-
nique has not been industrialized because it operates differently to the
already mass-produced STT-MRAM. Nevertheless, several SOT-
MRAM cell studies have emerged, which demonstrates the bright
prospects for SOT-MRAM in practical applications.
The SOT-MRAM units developed so far can be divided broadly into
two categories based on the magnetic anisotropy of the MTJ: either in-
plane or perpendicular. The use of SOT to operate MTJs with in-plane
and perpendicular magnetization has been demonstrated in several pio-
neering works.51,52,159 Several typical SOT-MRAM unit designs are sum-
marized in Table III and Fig. 10. However, few of the early designs for
SOT-MRAM units demonstrated field-free SOT-switching, which is a fun-
damental requirement for their integration with practical devices.148,159
For MTJs with PMA, an external or effective field is necessary to achieve
FIG. 10. (a) Cross-sectional view of an SOT-MTJ with a 50-nm-thick Co hard mask deterministic SOT switching. Nevertheless, only several papers report
captured by TEM. The inset shows the top view captured by SEM. Reproduced field-free SOT switching in perpendicular MTJs. For example, Garello
with permission from Garello et al., in 2019 Symposium on VLSI Technology(2019),
pp. T194–T195. Copyright 2019 IEEE. (b) Stack structure of an SOT-FFS-MTJ with
et al.149,150 fabricated an SOT-MTJ on a 300-mm silicon wafer using
a top-pinned SAF design: W/CoFeB/MgO/CoFeB/SAF. Reproduced with permission CMOS-compatible processes, and demonstrated reliable sub-nanosecond
from Garello et al., in 2019 Symposium on VLSI Technology (2019), pp. switching with low writing power across the entirety of the 300 mm wafer.
T194–T195. Copyright 2019 IEEE. (c) Cell structure of canted SOT-MRAM. A hard mask of magnetic Co provided the stray field for the perpendicular
Reproduced with permission from Honjo et al., in 2019 IEEE International Electron free layer, thus enabling field-free SOT switching. Alternatively, Kong
Devices Meeting (IEDM) (2019), pp. 28.25.21–28.25.24. Copyright 2019 IEEE. (d)
et al.77 utilized IEC to provide an effective field for the perpendicular free
Schematic of a T-type MTJ. The magnetization states of the CoFeB layers are
denoted by light blue arrows. Reproduced with permission from Kong et al., Appl. layer in an MTJ structure comprising Ta/Ta(8)/CoFeB(2)/Ta(1.3)/
Phys. Lett. 116, 162401 (2020). Copyright 2020 AIP Publishing LLC. CoFeB(0.6)/MgO(2.5)/CoFeB(1.2)/Ta(5)/Ru(5 nm). Thus, IEC, which
originates from the Ruderman CKittelCKasuyaCYosida (RKKY) exchange
writing information. Combined with the MTJs, which constitute the interaction, can provide an effective magnetic field that can be stronger
building blocks of MRAM, the magnetization of the free layer can be than stray fields caused by the dipolar interaction of a magnet.
readout by passing a smaller read current through the MTJ. The infor- For MTJs with IMA, SOT-induced magnetization switching can,
mation (0 or 1) is encoded in the high or low tunneling resistance. In in principle, be realized without the assistance of an external field

FIG. 11. (a) Schematic diagrams of the perpendicular and in-plane switching modes: HIEC and r denote the interlayer exchange coupling field and the damping-like torque,
respectively. (b)–(d) Programable logic operations for a single device, namely, Boolean functions of (b) AND, (c) NOT, and (d) NAND. I A and I B served as inputs. Outputs of 0
and 1 were resolved by R xy. Reproduced with permission from Wang et al., Adv. Mater. 30, 1801318 (2018). Copyright 2018 John Wiley and Sons. (e) and (f) schematically
show the switching dynamics of Mode I and Mode II, respectively. In these two modes, the perpendicular layer or the in-plane layer can be switched for Mode I or Mode II.

Appl. Phys. Lett. 118, 120502 (2021); doi: 10.1063/5.0039147 118, 120502-11
Published under license by AIP Publishing
Applied Physics Letters PERSPECTIVE scitation.org/journal/apl

TABLE IV. Summary of SOT logic device that have been experimentally demonstrated.

Structure Read out Field free Approach Demonstrated functions Reference

Ta/CoFeB/MgO Hall voltage No External field and current Clocking 152


Pt/Co/AlOx Hall voltage No External field and current NOT, AND, NAND, OR, NOR 153
Pt/Co/AlOx Hall voltage No External field and current NOT, AND, NAND, OR, NOR 154
Pt/Co/Ru/Co/Pt Hall voltage Yes Current NOT, AND, NAND 61
Ta/CoFeB/MgO/AlOx Hall voltage No Voltage and current AND, OR, XOR 155
Ta/Pt/[Co/Pt]3/Co/Ta Hall voltage Yes Oersted field and current AND, NOR, XNOR, XOR 156
PMN-PT/Pt/Co/AlOx Hall voltage Yes Voltage and current NOT, AND, NAND, NXOR 157

through the Type-y switching configuration.58 However, in practice, Interestingly, the electrically induced reversal of chirality has
the hysteresis loop of the free layer is not completely centered with been shown using a ferroelectric PMN-PT substrate and an applied
respect to the zero field owing to the stray field generated by the voltage.80 This approach inspired the implementation of reconfigura-
pinned layer;51,52 this results in the SOT switching being asymmetric ble spin logic to produce NOT, AND, NAND, and NXOR func-
or even unachievable. This problem also exists in STT switching. tions.157 In addition, many more methods that harness the flexible and
Nevertheless, by eliminating the stray fields using an SAF pinned layer, tunable nature of SOT switching have been proposed. For example,
field-free SOT switching can be achieved in MTJs.145–147,151 By com- Beak et al.155 implemented perpendicular VCMA in SOT devices, thus
bining this approach with the technique of voltage-controlled mag- demonstrating the use of voltage to control critical switching currents.
netic anisotropy (VCMA)145,146 or canted in-plane anisotropy,151 the Furthermore, the introduction of complementary voltage operations
critical switching current can be further reduced and the switching in two Hall bar devices enables several programable logic operations.
speed improved. Recently, an important milestone was reached by Elsewhere, the modulation of SOT switching behaviors via an Oersted
researchers at Tohoku University,21 who demonstrated the first SOT- field induced by an extra current line has been reported as a further
MRAM chip with a 4-kB capacity via a hybrid process involving a 55- method for realizing programable logic operations in Hall bar
nm standard CMOS process and SOT devices on a 300-mm wafer
line. Several stack structures and corresponding methods for realizing
SOT switching in SOT-MRAM units are summarized in Table III.

B. SOT spin logic


In hybrid logic devices combining CMOS circuits with MTJs,
SOT-driven magnetization switching not only performs similar func-
tions as STT, but also enables novel architectures. Because SOT oper-
ates by in-plane current injection, it offers unique features, such as the
clocking of nanomagnetic logic arrays which are arranged in plane.152
Consequently, another important characteristic of SOT switching,
namely, the switching direction of M-I hysteresis loops (clockwise or
counterclockwise) could be made reversible, thereby equipping spin
logic devices with programmability, versatility, and compatibility.
SOT-based spin logic, which utilizes the SHE to switch the magnetiza-
tion for Boolean logic operations, raises the potential for constructing
logic-in-memory computer architectures with high computing capa-
bility and low power dissipation. Indeed, assisted by an external field,
SOT-driven magnetization switching and the modulation of the criti-
cal switching current have already been utilized to demonstrate recon-
figurable spin logic operations in Hall bar devices.153,154 Subsequently,
spin logic under zero magnetic field has been implemented by using a
FIG. 12. (a) SEM image of a spin Hall nano oscillator. Reproduced with permission
Pt/Co/Ru/Co/Pt stacked structure with crossed anisotropy.61 In this from Liu et al., Phys. Rev. Lett. 110, 147601 (2013). Copyright 2013 American
case, the bottom Co layer exhibits PMA, the top Co layer exhibits Physical Society. (b) Power spectral density (PSD) of the microwave signal emitted
IMA, and the Ru spacer layer mediates the IEC. The switching direc- by the spin Hall nano oscillator. Reproduced with permission from Liu et al., Phys.
tion of the Co layer with PMA can be reversed freely by electrically Rev. Lett. 110, 147601 (2013). Copyright 2013 American Physical Society. (c)
controlling the direction of the Co layer with IMA. Through the Schematic of a nanodot Hall device. Reproduced with permission from Kurenkov
et al., Appl. Phys. Lett. 110, 092410 (2017). Copyright 2017 AIP Publishing LLC.
unique switching properties of such crossed anisotropic structures, (d) Degree of switching as a function of applied field in z direction. Reproduced with
spin logic devices could facilitate the programing of AND, NAND, permission from Kurenkov et al., Appl. Phys. Lett. 110, 092410 (2017). Copyright
and NOT gates with zero magnetic field (see Fig. 11). 2017 AIP Publishing LLC.

Appl. Phys. Lett. 118, 120502 (2021); doi: 10.1063/5.0039147 118, 120502-12
Published under license by AIP Publishing
Applied Physics Letters PERSPECTIVE scitation.org/journal/apl

FIG. 13. Evolution of hotspots in spin torque and spin current research. Each set of concentric circles represents a research paper, with the outer size of the circle indicating
the total number of citations for the paper, the color of the inner circles indicating the year in which they were cited, and the size of the inner circles indicating the citation fre-
quency. As an example, a large and red circle means that this article has been extensively and frequently cited in recent years. Data were sourced from the Web of Science
database.

devices.156 Such studies promise to advance the development of practi- effective damping in ferromagnets, which can lead to the amplification
cal spin logic devices that are compatible with sophisticated CMOS or suppression of magnetization oscillations. The latter can be used to
and MRAM technologies. A summary of SOT-based spin logic devices reduce the noise caused by thermal fluctuations in nanoscale magnetic
and corresponding implementation methods is provided in Table IV. devices, while the former enables the low-loss transmission and proc-
essing of electrical signals via propagating magnetization waves. When
the damping of the magnetic layer is completely counteracted by the
C. Other SOT devices such as spin Hall nano-oscillators spin torque, the lossless auto-oscillation of the ferromagnetic layer
and artificial synaptic devices occurs, resulting in the generation of microwaves. Such devices are
As our understanding of SOT advances, the possibility of apply- called spin Hall nano-oscillators (SHNOs) and exhibit many advan-
ing spin torques to single ferromagnetic layers via a pure transverse tages over STNOs, such as easier nanofabrication, the reduction of
spin current generated by the in-plane current in an adjacent current through the magnetic layer, and direct optical access to
non-magnetic layer has been explored. The interaction between the magneto-active areas. As shown in Fig. 12(a), the simplest configura-
spin torque and the magnetization results in the modulation of tion of such devices comprises a pair of electrodes with a nano-gap

FIG. 14. Evolution of patent application hotspots related to SOT. The circle sizes indicate the number of patent applications.

Appl. Phys. Lett. 118, 120502 (2021); doi: 10.1063/5.0039147 118, 120502-13
Published under license by AIP Publishing
Applied Physics Letters PERSPECTIVE scitation.org/journal/apl

deposited on a heavy metal/ferromagnet bilayer disk, as described in


Ref. 160. Room-temperature SHNO in a nano-constriction struc-
ture161 and the synchronization of SHNO with external microwave
signals162 have also been realized. Moreover, long-range mutually syn-
chronized oscillation can be achieved through a series of cascaded
nano-constriction structures,163,164 which has been shown to improve
the amplitude and reduce the linewidth of the generated signals signifi-
cantly, revealing valuable physical insights in the process.
While digital integrated circuits with von Neumann architectures,
on the back of several decades of exponential evolution, are an indis-
pensable building block of today’s information society, the recent
growth in the demand for devices capable of executing more complex
tasks akin to the human brain has led to the architecture of informa-
tion processing being revisited. Artificial synaptic devices based on
spintronics have the advantages of analog and nonvolatile memory,
making them promising candidates for artificial neural networks. The
application of artificial synapses based on antiferromagnetic SOT devices
for artificial neural networks is an active field of research. Such devices,
which consist of an SOT-driven antiferromagnet/ferromagnet hetero-
structure, can induce multi-domain magnetization switching,70,165–167 as
shown in Figs. 12(c) and 12(d). Brain-inspired hardware based on artifi-
cial neural networks is expected to offer a complementary approach to
deal with complex problems.168
V. PERSPECTIVES
Searches for keywords, such as spin-orbit torque, spin Hall effect,
and SOT-MRAM, on the Web of Science database and an analysis of
the citation intensity for the returned publication results reveal hot-
spots in the field of spin torque and spin current research (see Fig. 13).
It is clear that the SHE was quickly established as a hot research topic
following its revival by Hirsch in 1999. Since then, research trends
have shifted noticeably from fundamental to applied research focusing
on SOT switching and SOT materials, indicating the maturation of
this field. In addition to scientific papers, Fig. 14 shows that the
number of patent applications has increased rapidly over the last
10 years. Most of these patent applications involve SOT-MRAM
and methods for its preparation and testing. Additionally, geo-
graphic and institutional analyses of paper publications and patent
applications during last 20 years are also summarized and shown
in Figs. 15 and 16.
Evidently, SOT provides an efficient and flexible tool for manipu-
lating magnetization. Although this review focuses on the mechanisms
and applications of magnetization switching, SOT offers unique
advantages for the excitation of magnons and the control of domain
walls and magnetic skyrmions. Compared to STT, SOT has a higher
charge-to-spin conversion efficiency as well as broader application
prospects. Significantly, the SOT-induced manipulation of magnetiza-
tion has been realized in a variety of materials, including metals, semi-
conductors, and insulators. Moreover, SOT can manipulate or excite
magnetization in antiferromagnetic and ferrimagnetic materials. The
discovery of exotic materials exhibiting strong SOC, including topo-
logical insulators, topological semimetals, and two-dimensional mate-
rials such as graphene and transition metal disulfides, offers unique
FIG. 15. (a) Number of published SCI papers as a function of year. (b) Number of
opportunities to utilize the benefits of spin charge conversion mecha-
published SCI papers from the top ten countries and regions by publication number
nisms. In addition to fundamental research on SOT mechanisms and as a function of year. (c) Number of published SCI papers from the top ten coun-
materials, current progress regarding practical devices indicates a tries and regions by publication number. (d) Number of published SCI papers from
promising future for SOT-based applications. the top ten institutions by publication number.

Appl. Phys. Lett. 118, 120502 (2021); doi: 10.1063/5.0039147 118, 120502-14
Published under license by AIP Publishing
Applied Physics Letters PERSPECTIVE scitation.org/journal/apl

ACKNOWLEDGMENTS
This work was supported by the National Key Research and
Development Program of China (Grant No. 2017YFA0206200), the
National Natural Science Foundation of China (NSFC, Grant Nos.
51831012, 51620105004, 11874409, and 11974398), Beijing Natural
Science Foundation (Grant No. Z201100004220006), and partially
supported by the Strategic Priority Research Program (B) (Grant
No. XDB33000000) of the Chinese Academy of Sciences (CAS).
DATA AVAILABILITY
The data that support the findings of this study are available
within the article.

REFERENCES
1
M. N. Baibich, J. M. Broto, A. Fert, F. N. Van Dau, F. Petroff, P. Etienne, G.
Creuzet, A. Friederich, and J. Chazelas, Phys. Rev. Lett. 61, 2472–2475 (1988).
2
G. Binasch, P. Gr€ unberg, F. Saurenbach, and W. Zinn, Phys. Rev. B 39,
4828–4830 (1989).
3
T. Miyazaki and N. Tezuka, J. Magn. Magn. Mater. 139, L231–L234 (1995).
4
J. S. Moodera, L. R. Kinder, T. M. Wong, and R. Meservey, Phys. Rev. Lett.
74, 3273–3276 (1995).
5
J. C. Slonczewski, J. Magn. Magn. Mater. 159, L1–L7 (1996).
6
L. Berger, Phys. Rev. B 54, 9353–9358 (1996).
7
M. Tsoi, A. G. M. Jansen, J. Bass, W. C. Chiang, M. Seck, V. Tsoi, and P.
Wyder, Phys. Rev. Lett. 80, 4281–4284 (1998).
8
E. B. Myers, D. C. Ralph, J. A. Katine, R. N. Louie, and R. A. Buhrman,
Science 285, 867 (1999).
9
J. A. Katine, F. J. Albert, R. A. Buhrman, E. B. Myers, and D. C. Ralph, Phys.
Rev. Lett. 84, 3149–3152 (2000).
10
J. Grollier, V. Cros, A. Hamzic, J. M. George, H. Jaffrès, A. Fert, G. Faini, J.
Ben Youssef, and H. Legall, Appl. Phys. Lett. 78, 3663–3665 (2001).
11
S. Ikeda, K. Miura, H. Yamamoto, K. Mizunuma, H. D. Gan, M. Endo, S.
Kanai, J. Hayakawa, F. Matsukura, and H. Ohno, Nat. Mater. 9, 721–724
(2010).
12
W. X. Wang, Y. Yang, H. Naganuma, Y. Ando, R. C. Yu, and X. F. Han, Appl.
Phys. Lett. 99, 012502 (2011).
13
M. Durlam, B. Craigo, M. DeHerrera, B. N. Engel, G. Grynkewich, B. Huang,
J. Janesky, M. Martin, B. Martino, J. Salter, J. M. Slaughter, L. Wise, and S.
Tehrani, “Toggle MRAM: A highly-reliable non-volatile memory,” in 2007
International Symposium on VLSI Technology, Systems and Applications
(VLSI-TSA) (2007), pp. 1–2.
14
Y. Huai, “Spin-transfer torque MRAM (STT-MRAM): Challenges and pros-
pects,” AAPPS Bull. 18, 633 (2008).
15
Y. Huai, F. Albert, P. Nguyen, M. Pakala, and T. Valet, Appl. Phys. Lett. 84,
3118–3120 (2004).
16
Y. Liu, Z. Zhang, P. P. Freitas, and J. L. Martins, Appl. Phys. Lett. 82,
2871–2873 (2003).
17
Z. C. Wen, H. X. Wei, and X. F. Han, Appl. Phys. Lett. 91, 122511 (2007).
18
X. F. Han, Z. C. Wen, and H. X. Wei, J. Appl. Phys. 103, 07E933 (2008).
19
X. F. Han, Z. C. Wen, Y. Wang, H. F. Liu, H. X. Wei, and D. P. Liu, IEEE
Trans. Magn. 47, 2957–2961 (2011).
20
S. Aggarwal, H. Almasi, M. DeHerrera, B. Hughes, S. Ikegawa, J. Janesky, H.
K. Lee, H. Lu, F. B. Mancoff, K. Nagel, G. Shimon, J. J. Sun, T. Andre, and S.
M. Alam, “Demonstration of a reliable 1 Gb standalone spin-transfer torque
MRAM for industrial applications,” in 2019 IEEE International Electron
Devices Meeting (IEDM) (2019), pp. 2.1.1–2.1.4.
21
M. Natsui, A. Tamakoshi, H. Honjo, T. Watanabe, T. Nasuno, C. Zhang, T.
Tanigawa, H. Inoue, M. Niwa, T. Yoshiduka, Y. Noguchi, M. Yasuhira, Y. Ma,
FIG. 16. (a) Number of patent applications as a function of year. (b) Number of pat- H. Shen, S. Fukami, H. Sato, S. Ikeda, H. Ohno, T. Endoh, and T. Hanyu,
ent applications from the top ten countries and regions by application number as a “Dual-port field-free SOT-MRAM achieving 90-MHz read and 60-MHz write
function of year. (c) Total number of patent applications from the top ten countries operations under 55-nm CMOS technology and 1.2-V supply voltage,” in
and regions by application number. (d) Number of patent applications from the top 2020 IEEE Symposium on VLSI Circuits (2020), pp. 1–2.
22
ten organizations by application number. M. I. Dyakonov and V. I. Perel, JETP Lett. 13, 467–469 (1971).

Appl. Phys. Lett. 118, 120502 (2021); doi: 10.1063/5.0039147 118, 120502-15
Published under license by AIP Publishing
Applied Physics Letters PERSPECTIVE scitation.org/journal/apl

23 55
J. E. Hirsch, Phys. Rev. Lett. 83, 1834–1837 (1999). G. Yu, P. Upadhyaya, K. L. Wong, W. Jiang, J. G. Alzate, J. Tang, P. K. Amiri,
24
S. Zhang, Phys. Rev. Lett. 85, 393–396 (2000). and K. L. Wang, Phys. Rev. B 89, 104421 (2014).
25 56
Y. K. Kato, R. C. Myers, A. C. Gossard, and D. D. Awschalom, Science 306, Y. Kim, X. Fong, and K. Roy, IEEE Magn. Lett. 6, 1–4 (2015).
57
1910 (2004). Y. Liu, Z. Wang, Z. Li, X. Wang, and W. Zhao, “A spin orbit torque based
26
S. O. Valenzuela and M. Tinkham, Nature 442, 176–179 (2006). true random number generator with real-time optimization,” in 2018 IEEE
27
T. Kimura, Y. Otani, T. Sato, S. Takahashi, and S. Maekawa, Phys. Rev. Lett. 18th International Conference on Nanotechnology (IEEE-NANO) (2018), pp.
98, 156601 (2007). 1–4.
28 58
A. Chernyshov, M. Overby, X. Liu, J. K. Furdyna, Y. Lyanda-Geller, and L. P. S. Fukami, T. Anekawa, C. Zhang, and H. Ohno, Nat. Nanotechnol. 11,
Rokhinson, Nat. Phys. 5, 656–659 (2009). 621–625 (2016).
29 59
E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Appl. Phys. Lett. 88, 182509 C. Bi, H. Almasi, K. Price, T. Newhouse-Illige, M. Xu, S. R. Allen, X. Fan, and
(2006). W. Wang, Phys. Rev. B 95, 104434 (2017).
30 60
G. Dresselhaus, Phys. Rev. 100, 580–586 (1955). G. Y. Shi, C. H. Wan, Y. S. Chang, F. Li, X. J. Zhou, P. X. Zhang, J. W. Cai, X.
31
V. M. Edelstein, Solid State Commun. 73, 233–235 (1990). F. Han, F. Pan, and C. Song, Phys. Rev. B 95, 104435 (2017).
32 61
I. Mihai Miron, G. Gaudin, S. Auffret, B. Rodmacq, A. Schuhl, S. Pizzini, J. X. Wang, C. Wan, W. Kong, X. Zhang, Y. Xing, C. Fang, B. Tao, W. Yang, L.
Vogel, and P. Gambardella, Nat. Mater. 9, 230–234 (2010). Huang, H. Wu, M. Irfan, and X. Han, Adv. Mater. 30, 1801318 (2018).
33 62
I. M. Miron, T. Moore, H. Szambolics, L. D. Buda-Prejbeanu, S. Auffret, B. W. J. Kong, C. H. Wan, X. Wang, B. S. Tao, L. Huang, C. Fang, C. Y. Guo, Y.
Rodmacq, S. Pizzini, J. Vogel, M. Bonfim, A. Schuhl, and G. Gaudin, Nat. Guang, M. Irfan, and X. F. Han, Nat. Commun. 10, 233 (2019).
63
Mater. 10, 419–423 (2011). G. Q. Yu, P. Upadhyaya, Y. B. Fan, J. G. Alzate, W. J. Jiang, K. L. Wong, S.
34
H. Nakayama, Y. Kanno, H. Y. An, T. Tashiro, S. Haku, A. Nomura, and K. Takei, S. A. Bender, L. T. Chang, Y. Jiang, M. R. Lang, J. S. Tang, Y. Wang, Y.
Ando, Phys. Rev. Lett. 117, 116602 (2016). Tserkovnyak, P. K. Amiri, and K. L. Wang, Nat. Nanotechnol. 9, 548–554
35
A. Manchon, J. Zelezny, I. M. Miron, T. Jungwirth, J. Sinova, A. Thiaville, K. (2014).
64
Garello, and P. Gambardella, Rev. Mod. Phys. 91, 035004 (2019). L. You, O. Lee, D. Bhowmik, D. Labanowski, J. Hong, J. Bokor, and S.
36
M. Jiang, H. Asahara, S. Sato, T. Kanaki, H. Yamasaki, S. Ohya, and M. Salahuddin, Proc. Natl. Acad. Sci. U. S. A. 112, 10310 (2015).
65
Tanaka, Nat. Commun. 10, 2590 (2019). T. C. Chuang, C. F. Pai, and S. Y. Huang, Phys. Rev. Appl. 11, 061005 (2019).
37 66
I. M. Miron, K. Garello, G. Gaudin, P.-J. Zermatten, M. V. Costache, S. G. Yu, L.-T. Chang, M. Akyol, P. Upadhyaya, C. He, X. Li, K. L. Wong, P. K.
Auffret, S. Bandiera, B. Rodmacq, A. Schuhl, and P. Gambardella, Nature Amiri, and K. L. Wang, Appl. Phys. Lett. 105, 102411 (2014).
67
476, 189–193 (2011). T.-Y. Chen, H.-I. Chan, W.-B. Liao, and C.-F. Pai, Phys. Rev. Appl. 10,
38
L. Q. Liu, O. J. Lee, T. J. Gudmundsen, D. C. Ralph, and R. A. Buhrman, 044038 (2018).
68
Phys. Rev. Lett. 109, 096602 (2012). S. Chen, J. Yu, Q. Xie, X. Zhang, W. Lin, L. Liu, J. Zhou, X. Shu, R. Guo, Z.
39
C. O. Avci, K. Garello, C. Nistor, S. Godey, B. Ballesteros, A. Mugarza, Zhang, and J. Chen, ACS Appl. Mater. Interfaces 11, 30446–30452 (2019).
69
A. Barla, M. Valvidares, E. Pellegrin, A. Ghosh, I. M. Miron, O. Boulle, B. Cui, H. Wu, D. Li, S. A. Razavi, D. Wu, K. L. Wong, M. Chang, M. Gao, Y.
S. Auffret, G. Gaudin, and P. Gambardella, Phys. Rev. B 89, 214419 Zuo, L. Xi, and K. L. Wang, ACS Appl. Mater. Interfaces 11, 39369–39375
(2014). (2019).
40 70
J. Kim, J. Sinha, M. Hayashi, M. Yamanouchi, S. Fukami, T. Suzuki, S. Mitani, S. Fukami, C. L. Zhang, S. DuttaGupta, A. Kurenkov, and H. Ohno, Nat.
and H. Ohno, Nat. Mater. 12, 240–245 (2013). Mater. 15, 535–541 (2016).
41 71
M. Hayashi, J. Kim, M. Yamanouchi, and H. Ohno, Phys. Rev. B 89, 144425 Y.-W. Oh, S-H Chris Baek, Y. M. Kim, H. Y. Lee, K.-D. Lee, C.-G. Yang, E.-S.
(2014). Park, K.-S. Lee, K.-W. Kim, G. Go, J.-R. Jeong, B.-C. Min, H.-W. Lee, K.-J.
42
C. O. Avci, K. Garello, M. Gabureac, A. Ghosh, A. Fuhrer, S. F. Alvarado, and Lee, and B.-G. Park, Nat. Nanotechnol. 11, 878–884 (2016).
72
P. Gambardella, Phys. Rev. B 90, 224427 (2014). A. van den Brink, G. Vermijs, A. Solignac, J. Koo, J. T. Kohlhepp, H. J. M.
43
L. Liu, T. Moriyama, D. C. Ralph, and R. A. Buhrman, Phys. Rev. Lett. 106, Swagten, and B. Koopmans, Nat. Commun. 7, 10854 (2016).
73
036601 (2011). W. J. Kong, Y. R. Ji, X. Zhang, H. Wu, Q. T. Zhang, Z. H. Yuan, C. H. Wan,
44
C. He, A. Navabi, Q. Shao, G. Yu, D. Wu, W. Zhu, C. Zheng, X. Li, Q. L. He, X. F. Han, T. Yu, K. Fukuda, H. Naganuma, and M. J. Tung, Appl. Phys. Lett.
S. A. Razavi, K. L. Wong, Z. Zhang, P. K. Amiri, and K. L. Wang, Appl. Phys. 109, 132402 (2016).
74
Lett. 109, 202404 (2016). S. A. Razavi, D. Wu, G. Yu, Y.-C. Lau, K. L. Wong, W. Zhu, C. He, Z. Zhang,
45
C. He, G. Yu, C. Grezes, J. Feng, Z. Zhao, S. A. Razavi, Q. Shao, A. Navabi, X. J. M. D. Coey, P. Stamenov, P. Khalili Amiri, and K. L. Wang, Phys. Rev.
Li, Q. L. He, M. Li, J. Zhang, K. L. Wong, D. Wei, G. Zhang, X. Han, P. K. Appl. 7, 024023 (2017).
75
Amiri, and K. L. Wang, Phys. Rev. Appl. 10, 034067 (2018). Y.-C. Lau, D. Betto, K. Rode, J. M. D. Coey, and P. Stamenov, Nat.
46
J. Wei, C. He, X. Wang, H. Xu, Y. Liu, Y. Guang, C. Wan, J. Feng, G. Yu, and Nanotechnol. 11, 758–762 (2016).
76
X. Han, Phys. Rev. Appl. 13, 034041 (2020). Y. Liu, B. Zhou, and J.-G. Zhu, Sci. Rep. 9, 325 (2019).
47 77
X. Fan, H. Celik, J. Wu, C. Ni, K.-J. Lee, V. O. Lorenz, and J. Q. Xiao, Nat. W. J. Kong, C. H. Wan, C. Y. Guo, C. Fang, B. S. Tao, X. Wang, and X. F.
Commun. 5, 3042 (2014). Han, Appl. Phys. Lett. 116, 162401 (2020).
48 78
A. M. Humphries, T. Wang, E. R. J. Edwards, S. R. Allen, J. M. Shaw, H. T. W. J. Kong, C. H. Wan, B. S. Tao, C. Fang, L. Huang, C. Y. Guo, M. Irfan,
Nembach, J. Q. Xiao, T. J. Silva, and X. Fan, Nat. Commun. 8, 911 (2017). and X. F. Han, Appl. Phys. Lett. 113, 162402 (2018).
49 79
Q. M. Shao, G. Q. Yu, Y. W. Lan, Y. M. Shi, M. Y. Li, C. Zheng, X. D. Zhu, L. P. X. Zhang, L. Y. Liao, G. Y. Shi, R. Q. Zhang, H. Q. Wu, Y. Y. Wang, F. Pan,
J. Li, P. K. Amiri, and K. L. Wang, Nano Lett. 16, 7514–7520 (2016). and C. Song, Phys. Rev. B 97, 214403 (2018).
50 80
X. Zhang, C. H. Wan, Z. H. Yuan, Q. T. Zhang, H. Wu, L. Huang, W. J. K. Cai, M. Yang, H. Ju, S. Wang, Y. Ji, B. Li, K. W. Edmonds, Y. Sheng, B.
Kong, C. Fang, U. Khan, and X. F. Han, Phys. Rev. B 94, 174434 (2016). Zhang, N. Zhang, S. Liu, H. Zheng, and K. Wang, Nat. Mater. 16, 712–716
51
L. Liu, C.-F. Pai, Y. Li, H. W. Tseng, D. C. Ralph, and R. A. Buhrman, Science (2017).
81
336, 555 (2012). M. Akyol, W. Jiang, G. Yu, Y. Fan, M. Gunes, A. Ekicibil, P. Khalili Amiri,
52
C.-F. Pai, L. Liu, Y. Li, H. W. Tseng, D. C. Ralph, and R. A. Buhrman, Appl. and K. L. Wang, Appl. Phys. Lett. 109, 022403 (2016).
82
Phys. Lett. 101, 122404 (2012). R. Ramaswamy, X. Qiu, T. Dutta, S. D. Pollard, and H. Yang, Appl. Phys.
53
Y. Fan, P. Upadhyaya, X. Kou, M. Lang, S. Takei, Z. Wang, J. Tang, L. He, L.- Lett. 108, 202406 (2016).
83
T. Chang, M. Montazeri, G. Yu, W. Jiang, T. Nie, R. N. Schwartz, Y. C. Zhang, S. Fukami, K. Watanabe, A. Ohkawara, S. DuttaGupta, H. Sato, F.
Tserkovnyak, and K. L. Wang, Nat. Mater. 13, 699–704 (2014). Matsukura, and H. Ohno, Appl. Phys. Lett. 109, 192405 (2016).
54 84
S. Shi, S. Liang, Z. Zhu, K. Cai, S. D. Pollard, Y. Wang, J. Wang, Q. Wang, P. P. Laczkowski, J. C. Rojas-Sanchez, W. Savero-Torres, H. Jaffrès, N. Reyren,
He, J. Yu, G. Eda, G. Liang, and H. Yang, Nat. Nanotechnol. 14, 945–949 C. Deranlot, L. Notin, C. Beigne, A. Marty, J. P. Attane, L. Vila, J. M. George,
(2019). and A. Fert, Appl. Phys. Lett. 104, 142403 (2014).

Appl. Phys. Lett. 118, 120502 (2021); doi: 10.1063/5.0039147 118, 120502-16
Published under license by AIP Publishing
Applied Physics Letters PERSPECTIVE scitation.org/journal/apl

85 116
P. Laczkowski, Y. Fu, H. Yang, J. C. Rojas-Sanchez, P. Noel, V. T. Pham, G. H. Wu, S. A. Razavi, Q. Shao, X. Li, K. L. Wong, Y. Liu, G. Yin, and K. L.
Zahnd, C. Deranlot, S. Collin, C. Bouard, P. Warin, V. Maurel, M. Chshiev, Wang, Phys. Rev. B 99, 184403 (2019).
117
A. Marty, J. P. Attane, A. Fert, H. Jaffrès, L. Vila, and J. M. George, Phys. Rev. W. L. Yang, J. W. Wei, C. H. Wan, Y. W. Xing, Z. R. Yan, X. Wang, C. Fang,
B 96, 140405 (2017). C. Y. Guo, G. Q. Yu, and X. F. Han, Phys. Rev. B 101, 064412 (2020).
86 118
L. Zhu, D. C. Ralph, and R. A. Buhrman, Phys. Rev. Appl. 10, 031001 (2018). T. Taniguchi, J. Grollier, and M. D. Stiles, Phys. Rev. Appl. 3, 044001 (2015).
87 119
M.-H. Nguyen, M. Zhao, D. C. Ralph, and R. A. Buhrman, Appl. Phys. Lett. C. Safranski, E. A. Montoya, and I. N. Krivorotov, Nat. Nanotechnol. 14,
108, 242407 (2016). 27–30 (2019).
88 120
M.-H. Nguyen, S. Shi, G. E. Rowlands, S. V. Aradhya, C. L. Jermain, D. C. V. P. Amin and M. D. Stiles, Phys. Rev. B 94, 104419 (2016).
121
Ralph, and R. A. Buhrman, Appl. Phys. Lett. 112, 062404 (2018). S. Iihama, T. Taniguchi, K. Yakushiji, A. Fukushima, Y. Shiota, S. Tsunegi, R.
89
L. Zhu, K. Sobotkiewich, X. Ma, X. Li, D. C. Ralph, and R. A. Buhrman, Adv. Hiramatsu, S. Yuasa, Y. Suzuki, and H. Kubota, Nat. Electron. 1, 120–123
Funct. Mater. 29, 1805822 (2019). (2018).
90 122
L. Zhu, L. Zhu, M. Sui, D. C. Ralph, and R. A. Buhrman, Sci. Adv. 5, K. S. Das, J. Liu, B. J. van Wees, and I. J. Vera-Marun, Nano Lett. 18,
eaav8025 (2019). 5633–5639 (2018).
91 123
Y. Niimi, H. Suzuki, Y. Kawanishi, Y. Omori, T. Valet, A. Fert, and Y. Otani, T. Seki, S. Iihama, T. Taniguchi, and K. Takanashi, Phys. Rev. B 100, 144427
Phys. Rev. B 89, 054401 (2014). (2019).
92 124
M. Yamanouchi, L. Chen, J. Kim, M. Hayashi, H. Sato, S. Fukami, S. Ikeda, F. J. D. Gibbons, D. MacNeill, R. A. Buhrman, and D. C. Ralph, Phys. Rev. Appl.
Matsukura, and H. Ohno, Appl. Phys. Lett. 102, 212408 (2013). 9, 064033 (2018).
93 125
R. Ramaswamy, Y. Wang, M. Elyasi, M. Motapothula, T. Venkatesan, X. Qiu, T. Y. Ma, C. H. Wan, X. Wang, W. L. Yang, C. Y. Guo, C. Fang, M. K. Zhao, J.
and H. Yang, Phys. Rev. Appl. 8, 024034 (2017). Dong, Y. Zhang, and X. F. Han, Phys. Rev. B 101, 134417 (2020).
94 126
Y. Wen, J. Wu, P. Li, Q. Zhang, Y. Zhao, A. Manchon, J. Q. Xiao, and X. A. R. Mellnik, J. S. Lee, A. Richardella, J. L. Grab, P. J. Mintun, M. H. Fischer,
Zhang, Phys. Rev. B 95, 104403 (2017). A. Vaezi, A. Manchon, E. A. Kim, N. Samarth, and D. C. Ralph, Nature 511,
95
C.-F. Pai, M.-H. Nguyen, C. Belvin, L. H. Vilela-Le~ao, D. C. Ralph, and R. A. 449–451 (2014).
127
Buhrman, Appl. Phys. Lett. 104, 082407 (2014). Y. Wang, P. Deorani, K. Banerjee, N. Koirala, M. Brahlek, S. Oh, and H. Yang,
96
D. Wu, G. Yu, Q. Shao, X. Li, H. Wu, K. L. Wong, Z. Zhang, X. Han, P. Phys. Rev. Lett. 114, 257202 (2015).
128
Khalili Amiri, and K. L. Wang, Appl. Phys. Lett. 108, 212406 (2016). Y. Wang, D. Zhu, Y. Wu, Y. Yang, J. Yu, R. Ramaswamy, R. Mishra, S. Shi, M.
97
L. Zhu and R. A. Buhrman, Phys. Rev. Appl. 12, 051002 (2019). Elyasi, K.-L. Teo, Y. Wu, and H. Yang, Nat. Commun. 8, 1364 (2017).
98 129
L. Zhu, L. Zhu, S. Shi, M. Sui, D. C. Ralph, and R. A. Buhrman, Phys. Rev. N. H. D. Khang, Y. Ueda, and P. N. Hai, Nat. Mater. 17, 808–813 (2018).
130
Appl. 11, 061004 (2019). M. Dc, R. Grassi, J.-Y. Chen, M. Jamali, D. Reifsnyder Hickey, D. Zhang, Z.
99
V. Tshitoyan, C. Ciccarelli, A. P. Mihai, M. Ali, A. C. Irvine, T. A. Moore, T. Zhao, H. Li, P. Quarterman, Y. Lv, M. Li, A. Manchon, K. A. Mkhoyan, T.
Jungwirth, and A. J. Ferguson, Phys. Rev. B 92, 214406 (2015). Low, and J.-P. Wang, Nat. Mater. 17, 800–807 (2018).
100 131
W. F. Zhang, W. Han, S. H. Yang, Y. Sun, Y. Zhang, B. H. Yan, and S. S. P. H. Wu, P. Zhang, P. Deng, Q. Lan, Q. Pan, S. A. Razavi, X. Che, L. Huang, B.
Parkin, Sci. Adv. 2, e1600759 (2016). Dai, K. Wong, X. Han, and K. L. Wang, Phys. Rev. Lett. 123, 207205 (2019).
101 132
W. Zhang, M. B. Jungfleisch, W. J. Jiang, J. E. Pearson, A. Hoffmann, F. P. Li, J. Kally, S. S.-L. Zhang, T. Pillsbury, J. Ding, G. Csaba, J. Ding, J. Jiang,
Freimuth, and Y. Mokrousov, Phys. Rev. Lett. 113, 196602 (2014). Y. Liu, and R. J. S. A. Sinclair, Sci. Adv. 5, eaaw3415 (2019).
102 133
J. Zhou, X. Wang, Y. H. Liu, J. H. Yu, H. X. Fu, L. Liu, S. H. Chen, J. Y. Deng, S. Emori, U. Bauer, S.-M. Ahn, E. Martinez, and G. S. D. Beach, Nat. Mater.
W. N. Lin, X. Y. Shu, H. Y. Yoong, T. Hong, M. Matsuda, P. Yang, S. Adams, 12, 611–616 (2013).
134
B. H. Yan, X. F. Han, and J. S. Chen, Sci. Adv. 5, eaau6696 (2019). M.-H. Nguyen, D. C. Ralph, and R. A. Buhrman, Phys. Rev. Lett. 116, 126601
103
H. L. Wang, J. Finley, P. X. Zhang, J. H. Han, J. T. Hou, and L. Q. Liu, Phys. (2016).
135
Rev. Appl. 11, 044070 (2019). X. Qiu, P. Deorani, K. Narayanapillai, K.-S. Lee, K.-J. Lee, H.-W. Lee, and H.
104
S. K. Li, X. T. Zhao, W. Liu, X. G. Zhao, and Z. D. Zhang, Appl. Phys. Lett. Yang, Sci. Rep. 4, 4491 (2014).
136
114, 212404 (2019). J. Torrejon, J. Kim, J. Sinha, S. Mitani, M. Hayashi, M. Yamanouchi, and H.
105
Y. Wang, D. Zhu, Y. Yang, K. Lee, R. Mishra, G. Go, S.-H. Oh, D.-H. Kim, K. Ohno, Nat. Commun. 5, 4655 (2014).
137
Cai, E. Liu, S. D. Pollard, S. Shi, J. Lee, K. L. Teo, Y. Wu, K.-J. Lee, and H. A. Ghosh, K. Garello, C. O. Avci, M. Gabureac, and P. Gambardella, Phys.
Yang, Science 366, 1125 (2019). Rev. Appl. 7, 014004 (2017).
106 138
H. Saglam, W. Zhang, M. B. Jungfleisch, J. Sklenar, J. E. Pearson, J. B. S. Shi, Y. Ou, S. V. Aradhya, D. C. Ralph, and R. A. Buhrman, Phys. Rev.
Ketterson, and A. Hoffmann, Phys Rev B 94, 140412 (2016). Appl. 9, 011002 (2018).
107 139
X. Wang, C. Wan, Y. Liu, Q. Shao, H. Wu, C. Guo, C. Fang, Y. Guang, W. M. Obstbaum, M. Decker, A. K. Greitner, M. Haertinger, T. N. G. Meier, M.
Yang, C. He, B. Tao, X. Zhang, T. Ma, J. Dong, Y. Zhang, J. Feng, J. Xiao, K. L. Kronseder, K. Chadova, S. Wimmer, D. K€ odderitzsch, H. Ebert, and C. H.
Wang, G. Yu, and X. Han, Phys. Rev. B 101, 144412 (2020). Back, Phys. Rev. Lett. 117, 167204 (2016).
108 140
I. Fina, X. Marti, D. Yi, J. Liu, J. H. Chu, C. Rayan-Serrao, S. Suresha, A. B. J. Han, A. Richardella, S. A. Siddiqui, J. Finley, N. Samarth, and L. Liu, Phys.
Shick, J. Zelezny, T. Jungwirth, J. Fontcuberta, and R. Ramesh, Nat. Commun. Rev. Lett. 119, 077702 (2017).
141
5, 4671 (2014). D. MacNeill, G. M. Stiehl, M. H. D. Guimaraes, R. A. Buhrman, J. Park, and D.
109
W. W. Lin and C. L. Chien, Phys. Rev. Lett. 118, 067202 (2017). C. Ralph, Nat. Phys. 13, 300–305 (2017).
110 142
D. Z. Hou, Z. Y. Qiu, J. Barker, K. J. Sato, K. Yamamoto, S. Velez, J. M. H. Xu, J. Wei, H. Zhou, J. Feng, T. Xu, H. Du, C. He, Y. Huang, J. Zhang, Y.
Gomez-Perez, L. E. Hueso, F. Casanova, and E. Saitoh, Phys. Rev. Lett. 118, Liu, H.-C. Wu, C. Guo, X. Wang, Y. Guang, H. Wei, Y. Peng, W. Jiang, G. Yu,
147202 (2017). and X. Han, Adv. Mater. 32, 2000513 (2020).
111 143
H. Chen, Q. Niu, and A. H. MacDonald, Phys. Rev. Lett. 112, 017205 (2014). G. M. Stiehl, R. Li, V. Gupta, I. E. Baggari, S. Jiang, H. Xie, L. F. Kourkoutis, K. F.
112
S. Nakatsuji, N. Kiyohara, and T. Higo, Nature 527, 212 (2015). Mak, J. Shan, R. A. Buhrman, and D. C. Ralph, Phys. Rev. B 100, 184402 (2019).
113 144
P. Wadley, B. Howells, J. Zelezny, C. Andrews, V. Hills, R. P. Campion, V. M. H. D. Guimar~aes, G. M. Stiehl, D. MacNeill, N. D. Reynolds, and D. C.
Novak, K. Olejnik, F. Maccherozzi, S. S. Dhesi, S. Y. Martin, T. Wagner, J. Ralph, Nano Lett. 18, 1311–1316 (2018).
145
Wunderlich, F. Freimuth, Y. Mokrousov, J. Kunes, J. S. Chauhan, M. J. T. Inokuchi, H. Yoda, Y. Kato, M. Shimizu, S. Shirotori, N. Shimomura, K.
Grzybowski, A. W. Rushforth, K. W. Edmonds, B. L. Gallagher, and T. Koi, Y. Kamiguchi, H. Sugiyama, S. Oikawa, K. Ikegami, M. Ishikawa, B.
Jungwirth, Science 351, 587–590 (2016). Altansargai, A. Tiwari, Y. Ohsawa, Y. Saito, and A. Kurobe, Appl. Phys. Lett.
114
H. Wu, C. H. Wan, Z. H. Yuan, X. Zhang, J. Jiang, Q. T. Zhang, Z. C. Wen, 110, 252404 (2017).
146
and X. F. Han, Phys. Rev. B 92, 054404 (2015). T. Inokuchi, H. Yoda, K. Koi, N. Shimomura, Y. Ohsawa, Y. Kato, S. Shirotori,
115
S.-H. C. Baek, V. P. Amin, Y.-W. Oh, G. Go, S.-J. Lee, G.-H. Lee, K.-J. Kim, M. M. Shimizu, H. Sugiyama, S. Oikawa, B. Altansargai, and A. Kurobe, Appl.
D. Stiles, B.-G. Park, and K.-J. Lee, Nat. Mater. 17, 509–513 (2018). Phys. Lett. 114, 192404 (2019).

Appl. Phys. Lett. 118, 120502 (2021); doi: 10.1063/5.0039147 118, 120502-17
Published under license by AIP Publishing
Applied Physics Letters PERSPECTIVE scitation.org/journal/apl

147 157
S. Z. Rahaman, I. Wang, T. Chen, C. Pai, D. Wang, J. Wei, H. Lee, Y. Hsin, Y. M. Yang, Y. Deng, Z. Wu, K. Cai, K. W. Edmonds, Y. Li, Y. Sheng, S. Wang,
Chang, S. Yang, Y. Kuo, Y. Su, Y. Chen, K. Huang, C. Wu, and D. Deng, IEEE Y. Cui, J. Luo, Y. Ji, H. Zheng, and K. Wang, IEEE Electron. Device Lett. 40,
Electron. Device Lett. 39, 1306–1309 (2018). 1554–1557 (2019).
148 158
N. Sato, F. Xue, R. M. White, C. Bi, and S. X. Wang, Nat. Electron. 1, 508–511 Y. Wang, R. Ramaswamy, M. Motapothula, K. Narayanapillai, D. Zhu, J. Yu,
(2018). T. Venkatesan, and H. Yang, Nano Lett. 17, 7659–7664 (2017).
149 159
K. Garello, F. Yasin, S. Couet, L. Souriau, J. Swerts, S. Rao, S. V. Beek, W. Kim, M. Cubukcu, O. Boulle, M. Drouard, K. Garello, C. Onur Avci, I. Mihai
E. Liu, S. Kundu, D. Tsvetanova, K. Croes, N. Jossart, E. Grimaldi, M. Miron, J. Langer, B. Ocker, P. Gambardella, and G. Gaudin, Appl. Phys. Lett.
Baumgartner, D. Crotti, A. Fumemont, P. Gambardella, and G. S. Kar, in 2018 104, 042406 (2014).
160
IEEE Symposium on VLSI Circuits (2018), pp. 81–82. R. H. Liu, W. L. Lim, and S. Urazhdin, Phys. Rev. Lett. 110, 147601 (2013).
150 161
K. Garello, F. Yasin, H. Hody, S. Couet, L. Souriau, S. H. Sharifi, J. Swerts, R. V. E. Demidov, S. Urazhdin, A. Zholud, A. V. Sadovnikov, and S. O.
Carpenter, S. Rao, W. Kim, J. Wu, K. K. V. Sethu, M. Pak, N. Jossart, D. Demokritov, Appl. Phys. Lett. 105, 172410 (2014).
162
Crotti, A. Furnemont, and G. S. Kar, in 2019 Symposium on VLSI V. E. Demidov, H. Ulrichs, S. V. Gurevich, S. O. Demokritov, V. S. Tiberkevich,
Technology (2019), pp. T194–T195. A. N. Slavin, A. Zholud, and S. Urazhdin, Nat. Commun. 5, 3179 (2014).
151 163
H. Honjo, T. V. A. Nguyen, T. Watanabe, T. Nasuno, C. Zhang, T. Tanigawa, A. A. Awad, P. D€ urrenfeld, A. Houshang, M. Dvornik, E. Iacocca, R. K.
S. Miura, H. Inoue, M. Niwa, T. Yoshiduka, Y. Noguchi, M. Yasuhira, A. Dumas, and J. Åkerman, Nat. Phys. 13, 292–299 (2017).
164
Tamakoshi, M. Natsui, Y. Ma, H. Koike, Y. Takahashi, K. Furuya, H. Shen, S. M. Zahedinejad, A. A. Awad, S. Muralidhar, R. Khymyn, H. Fulara, H.
Fukami, H. Sato, S. Ikeda, T. Hanyu, H. Ohno, and T. Endoh, in 2019 IEEE Mazraati, M. Dvornik, and J. Åkerman, Nat. Nanotechnol. 15, 47–52
International Electron Devices Meeting (IEDM) (2019), pp. (2020).
165
28.25.21–28.25.24. A. Kurenkov, C. Zhang, S. DuttaGupta, S. Fukami, and H. Ohno, Appl. Phys.
152
D. Bhowmik, L. You, and S. Salahuddin, Nat. Nanotechnol. 9, 59–63 (2014). Lett. 110, 092410 (2017).
153 166
C. Wan, X. Zhang, Z. Yuan, C. Fang, W. Kong, Q. Zhang, H. Wu, U. Khan, W. A. Borders, H. Akima, S. Fukami, S. Moriya, S. Kurihara, Y. Horio, S. Sato,
and X. Han, Adv. Electron Mater. 3, 1600282 (2017). and H. Ohno, Appl. Phys. Exp. 10, 013007 (2017).
154 167
X. Zhang, C. H. Wan, Z. H. Yuan, C. Fang, W. J. Kong, H. Wu, Q. T. Zhang, W. A. Borders, S. Fukami, and H. Ohno, IEEE Trans. Magn. 53, 1–4
B. S. Tao, and X. F. Han, J. Magn. Magn. Mater. 428, 401–405 (2017). (2017).
155 168
S.-H. C. Baek, K.-W. Park, D.-S. Kil, Y. Jang, J. Park, K.-J. Lee, and B.-G. Park, J. Grollier, D. Querlioz, K. Y. Camsari, K. Everschor-Sitte, S. Fukami, and M.
Nat. Electron. 1, 398–403 (2018). D. Stiles, Nat. Electron. 3, 360–370 (2020).
156 169
G. J. Lim, D. Chua, W. Gan, C. Murapaka, and W. S. Lew, Adv. Electron. M. I. Dyakonov and V. I. Perel, “Current-induced spin orientation of electrons
Mater. 6, 1901090 (2020). in semiconductors,” Phys. Lett. A 35(6), 459–40 (1971)

Appl. Phys. Lett. 118, 120502 (2021); doi: 10.1063/5.0039147 118, 120502-18
Published under license by AIP Publishing

You might also like