You are on page 1of 36

See discussions, stats, and author profiles for this publication at: https://www.researchgate.

net/publication/258261658

Basic principles of STT-MRAM cell operation in memory arrays

Article  in  Journal of Physics D Applied Physics · January 2013


DOI: 10.1088/0022-3727/46/7/074001

CITATIONS READS
353 12,311

14 authors, including:

Alexey V. Khvalkovskiy Dmytro Apalkov


Moscow Institute of Physics and Technology 71 PUBLICATIONS   2,873 CITATIONS   
34 PUBLICATIONS   2,267 CITATIONS   
SEE PROFILE
SEE PROFILE

Roman Chepulskii
Samsung Semiconductor R&D
58 PUBLICATIONS   1,882 CITATIONS   

SEE PROFILE

Some of the authors of this publication are also working on these related projects:

Instability Mechanism for STT-MRAM switching View project

Magnetostatic Field Calculation by the Fast Multipole Method View project

All content following this page was uploaded by P. B. Visscher on 29 October 2015.

The user has requested enhancement of the downloaded file.


Basics principles of the STT-MRAM cell operation in memory arrays
A.V. Khvalkovskiy1,*, D. Apalkov1, S. Watts1, R. Chepulskii1, R. S. Beach 1, A. Ong1, X. Tang1, A. Driskill-
Smith1, W.H. Butler2, P.B. Visscher2, D. Lottis1, E. Chen1, V. Nikitin1, M. Krounbi1

1. Samsung Electronics, Semiconductor R&D (Grandis), San Jose, CA 95134


2. Center for Materials for Information Technology and Department of Physics, University of Alabama,
Tuscaloosa, AL 35487

* e-mail: alexey.khv@samsung.com

Abstract. For reliable operation, individual cells of an STT-MRAM memory array must meet specific
requirements on their performance. In this work we review some of these requirements and discuss the
fundamental physical principles of the STT-MRAM operation, covering the range from device level to
chip array performance, and methodology for its development.

1. Introduction
Spin-Transfer Torque Magnetic Random Access Memory, or STT-MRAM, is the solid state magnetic
memory which is one of the most promising candidates for commercialization in next-generation
products. The main component of STT-MRAM is the magnetic tunnel junction (MTJ), which is a sub-100
nm size magnetic element consisting of two magnetic layers separated by a thin insulating layer. The
information is stored in the magnetic state of one of the magnetic layers, called the free layer. A second
magnetic layer called the reference layer provides a reference frame required for reading and writing.
STT-MRAM functionality is powered by two phenomena discovered within the last two decades: the
tunneling magnetoresistance (TMR) effect for reading and the spin-transfer torque (STT) effect for
writing. The TMR effect causes the resistance of the MTJ to depend significantly on the relative
orientation of the magnetic layers: the resistance in the antiparallel state can be several times larger
than in the parallel state. It enables the magnetic state of the free layer to be sensed and thus, stored
information to be read. The STT effect enables electrons flowing through the MTJ to transfer spin
angular momentum between the magnetic layers, which results in a torque on the magnetization of the
free layer. This enables the magnetic state of the free layer to be changed if the torque is sufficiently
strong, thus information can be written.

STT-MRAM leverages MTJ materials development from the hard disk drive (HDD) industry, and memory
design and manufacturing knowhow from the field-switched (conventional) MRAM [1], precursor
technology to the STT-MRAM. The key milestones related to the STT-MRAM development are the
following. The TMR effect was predicted by Julliere et al. in 1975 [2] and first observed independently by
Moodera et al.[3] and Miyazaki et al. [4] in 1995 on AlOx tunnel barrier. A giant TMR arising from a
symmetry-based spin filter effect in MgO barrier was predicted but Butler et al. in 2001 [5] and observed
independently by Parkin et al. and Yuasa et al. in 2004 [6], [7]. This latter effect has dominated hard
drive read sensor technology since 2007 [8]. The STT effect was predicted independently by Slonczewski
and Berger in 1996 [9], [10]. Spin-transfer switching was observed by Katine et al. on all-metallic stacks
in 2000 [11]. Commercial development of STT-MRAM started in 2002 at Grandis, Inc., with the first AlOx-

1
based STT-MRAM cell demonstrated in 2004 [12] and first MgO-based cell demonstrated in 2005 [13].
Nowadays, most of the leading semiconductor industry companies have their STT-MRAM programs
[14][15][16][17].

From the earliest days of STT-MRAM, researchers realized that it can have the density of DRAM, the
speed of SRAM and the non-volatility of Flash, as well as unlimited endurance and moderate to low
power consumption [18]. While it is challenging to meet all these requirements at the same time, STT-
MRAM has a large potential market because it can combine the capability of existing memory
technologies with new functionality – for example, the density of DRAM combined with the non-
volatility of Flash – and provide greater scalability to future technology nodes. However, for such large
markets to be realized, STT-MRAM technology needs to satisfy the following key requirements:

 Individual MTJ cells need to exhibit non-volatility, readability and ability to write.
 STT-MRAM wafer processing needs to be demonstrated at advanced technology nodes beyond
those currently in mass production.
 Reliable operation of large STT-MRAM arrays (Mb to Gb chips) needs to be demonstrated.

Given the very advanced state of existing technology – DRAM technology node is below 30 nm today,
Flash density is up to 3 bits per cell, SRAM speed is less than 1 ns per write operation – these
requirements are challenging. However, there is a strong demand for STT-MRAM to meet these very
high performance levels, since existing memory technologies are approaching their scaling limits. For
instance, the aspect ratio (depth to diameter ratio) of the capacitors that are drilled into silicon wafers
during DRAM processing needs to be as high as 40 in order to store sufficient charge in today’s products,
and it is a formidable task to scale the aspect ratio even higher at future technology nodes. SRAM and
Flash technologies have similar issues in terms of cell size and endurance, respectively, and all these
technologies suffer from high power consumption. This is related to the high refresh rates and
increasingly significant leakage currents for DRAM and SRAM, and to the high write voltage for Flash.
These factors provide strong motivation to develop a technology that utilizes new physical principles
and is scalable to smaller technology nodes. A number of such technologies have been explored in the
past, but only a few of them were proven to match these high demands, and STT-MRAM is among those
few.

The goal of this review is to bring together the main physical principles underlying operation of the STT-
MRAM cell in large memory arrays at advanced technology nodes. It is assumed that the reader is
generally familiar with the basics of the nanomagnetism and spintronics. Several recent publications can
be helpful to gain familiarity with the fundamentals of the STT-MRAM, in particular on spintronics [19],
[20], on the TMR effect [8], on the STT effect [21] and on the basics of the STT-MRAM architecture
design [22], [23]. The major part of this review is focused on the simplest STT-MRAM design with its in-
plane and perpendicular to the plane modifications, presented in the next chapter, since this allow
capturing most of the physics related to any STT-MRAM designs. A variety of published STT-MRAM
designs is briefly overviewed in Section 5.

2. Basics of the STT-MRAM


2.1. Basic cell design
As outlined in Introduction, the STT-MRAM cell has three elements which constitute the magnetic
tunnel junction (MTJ): the free layer (FL), the reference layer (RL) and the tunnel barrier. The

2
magnetization of the magnetic layers can be either in-plane (IP) or perpendicular to the plane (PP). The
simplest and most studied IP and PP STT-MRAM designs are sketched in Figure 1.

FL is the magnetic layer which has two energetically equivalent magnetic states, with the magnetization
in the FL parallel or antiparallel to the magnetization of the RL. FL has relatively strong uniaxial magnetic
anisotropy, which does not let the magnetic moment unintentionally reverse due to thermal
fluctuations.

Figure 1 In-plane (IP) and perpendicular (PP) cell designs

RL is made more stable, so that it does not switch during memory operation. It is often made of two
magnetic sublayers separated by a thin ruthenium layer, which provides strong antiferromagnetic
exchange coupling between these two sublayers. Such structure is called synthetic antiferromagnet
(SAF). The SAF helps to cancel out the magnetostatic field from the RL onto the free layer. For the IP
cells, RL is often pinned by an antiferromagnetic pinning layer, which increases the layer stability.

The tunnel barrier is a thin (~10 Å) insulating non-magnetic layer between the FL and RL, which is made
of crystalline MgO in all recent STT-MRAM designs. There are two major properties of MgO which make
it a unique material for STT-MRAM. First, it provides exceptionally high spin selectivity, which is
responsible for very large STT and TMR effects. At the same time, it induces very high perpendicular
magnetic anisotropy (PMA) in CoFeB at MgO/CoFeB interfaces. Both these properties are essential for
the STT-MRAM performance for both IP and PP design as we discuss below.

Such a memory cell allows one to store information, to read it and to write it. These three fundamental
properties are characterized, respectively, by the thermal stability factor, the TMR ratio and the critical
current Ic. The thermal stability factor is the ratio of the energy barrier Eb , which must be overcome by
the magnetization in order to switch between these two states, to the operation temperature in kB
units:

Eb
 . (1)
k BT

The TMR ratio is commonly defined as:


RAP  RP
TMR  , (2)
RP

3
where RP and RAP are resistances in the low-resistance state and the high-resistance state, which usually
correspond to the parallel (P) and antiparallel (AP) orientations of the FL and RL. The critical current Ic is
the current needed to reverse the magnetization of the free layer due to the STT effect; it is often
convenient to refer to the corresponding current density Jc = Ic/A, A is the cross section area of the MTJ.
These three characteristics are reviewed in the subsequent sections.

2.2. MTJ thermal stability

2.2.1. Stability of the IP and PP cells

If the magnetization is switched via uniform rotation, the energy barrier is given by the anisotropy
energy 𝐸𝑏 = 𝐾𝑢 𝑉, where 𝐾𝑢 is the anisotropy energy density and V is the cell volume. Therefore,
thermal stability factor is given by:

KuV H k M SV
  (3)
k BT 2 k BT
2𝐾𝑢
where Ms is the magnetization of saturation, and HK is the anisotropy field 𝐻𝐾 = .
𝑀𝑠

IP cells are made of elliptical shapes, with the FL being often made of CoFeB of various compositions.
The stability of the cell is determined by its shape anisotropy, which scales with the aspect ratio (AR), i.e.
length to width ratio, of the cell cross section (typically AR = 2-3), its thickness and Ms values. The
anisotropy field of the cell can be approximated as [24]:

4M S t  AR  1
HK  2
wAR . (4)

It follows from (3) and (4) that the thermal stability factor of an elliptical cell is given by:

 2 M S t  w AR  1
2

 IP 
k BT . (5)

It follows from this formula, that IP scales with the node (cell width w), aspect ratio as (AR-1), and as
square of the specific moment per surface area 𝑀𝑆 𝑡.

There are three main contributions to the perpendicular magnetic anisotropy (PMA): contribution from
the bulk of the magnetic material, bulk PMA (BPMA), contribution from the interfaces and surfaces,
interfacial PMA (IPMA), and from the shape anisotropy. They are characterized by the bulk anisotropy
energy density KUbulk , surface anisotropy energy density σ and shape anisotropy energy density KD
respectively.

For circular devices, the shape anisotropy has the same symmetry as the uniaxial PMA. For unpatterned
films and large devices the effective anisotropy energy density is given by K D  2M s2 . However for
circular devices at below 50 nm, deviation from this expression can be significant. Instead, a more
precise value should be used. For circular cells KD is given by:

4
 3  N 
K D   M s2 N z N x   2M s2 1  1  z   ,
1
(6)
2  2  4  

where Nx and Nz are demagnetizing factors for the in-plane and perpendicular-to-the-plane directions,
respectively. For simplicity, we use below the large-device limit value for KD unless stated otherwise.

Typically, high-PMA materials can be divided into two classes: materials with dominant bulk PMA or
with dominant interfacial PMA. The anisotropy fields for them are given by:

2 KUbulk
HK   4M S (7)
MS

for the bulk-PMA materials, and by

2
HK   4M S (8)
M St

for the interfacial-PMA materials. Correspondingly, the thermal stability factors, in the uniform
switching approximation of Eq. (3) are given by:

ARw 2
 BPMA  KUbulkt  2M s2 t 
4k B T (9)

and

ARw 2
 IPMA    2M s2 t 
4k B T (10)

Figure 2 Solid lines: KUt vs. magnetic film thickness for bulk and interfacial PMA according to Eq. (9) and (10)
correspondingly. Symbols: experimental data, schematically (for real data, see e.g. [25], [26]).

It is convenient to define the total anisotropy energy per unit area, 𝐾𝑢 𝑡, where the effective anisotropy
energy density is defined as KU=HKMs/2. This parameter is a characteristic of an unpatterned magnetic

5
film, and, for a given node, it is the key parameter characterizing the cell stability. 𝐾𝑢 𝑡 is given by the
terms in parentheses in Eq. (9) and (10) for BPMA and IPMA, respectively. From these expressions, 𝐾𝑢 𝑡
for BPMA and thus BPMA, scales with the cell thickness t, while Kut for IPMA and IPMA decreases for
larger thicknesses (see Figure 2). The maximum theoretical limit for KUt for interfacial PMA is therefore
, which can be achieved at zero thickness. Indeed, for large thickness, experimental data on Kut is often
linear in t, with the slope given by 2 Ms2 ; this is often used to determine  as an intersection of the
linear trend with the ordinate axis[25], [26]. However for low thickness, experimental data on Kut
deflects from the linear trend, as shown by symbols in Figure 2, which is interpreted as deterioration of
the interface quality leading to reduction of the interfacial anisotropy . E.g. for Co60Fe20B20 with Ta seed
and MgO cap, it was reported in Ref. [26] that the maximum value of Kut(t) of about 0.2 erg/cm2 at
CoFeB thickness of about 9 A, and interfacial anisotropy = 1.8 erg/cm2. Note that both dependencies of
BPMA and IPMA cell stability have different scaling with the free layer thickness t with the IP design, Eq.
(5). Similarly, the dependence on Ms and cell size is different for all three IP, BPMA PP, and IPMA PP
cells.

For both IP and PP cells, thermal stability is sensitive to the external field H. For the field along or
perpendicular to the easy axis,  change as [27]:
2
 H 
H   H  01  
 H K  , (11)

where the sign in the parenthesis is  for the field along the easy axis, depending on the field orientation
with respect to the magnetization, and only – when the field is perpendicular to the easy axis. Therefore,
external field with the magnitude H/Hk of 10% and 30% can reduce  at about 20% and 50%,
respectively. Due to this high sensitivity, the static offset field at the free layer should be minimized,
which is important for the reference layers design as discussed in section 2.4.5.

2.2.2. Beyond the uniform switching approximation for PP cells

Experimental data for the PP cells shows significant deviation from Eq. (3), which determines the cell
stability under uniform switching approximation. This deviation is particularly strong for large cells, with
diameter greater than 50 nm. As an example, for square nanopillars 100 nm in size with a free layer
made of Co/Ni multilayers Eq. (3) predicts  = 360, while the experimentally obtained value was  = 63
[28], about 1/6 of the predicted value. This is a spectacular difference. For comparison, the uniform
switching approximation is typically within less than a factor of 2 of the experimental data (e.g. [29]) for
IP cells. Not only is the absolute value underestimated by Eq. (9), (10), but the experimentally
measured  values[15] deviate significantly from the w2 dependence predicted by Eqs. (9) or (10).

The reason for this deviation is believed to be related to non-uniform switching of the magnetization
during the thermal reversal [28], [30]. Indeed, for the PP cell a likely thermal reversal scenario can be
domain formation with subsequent reversal of the entire layer via domain wall motion [31]. Indeed,
such a reversal scenario can have a significantly smaller maximum energy EB during the switching than
the uniform rotation, as can be seen in Figure 3a. The same maximum barrier EB determines the cell
stability, which means that EB would be lowered comparatively to the uniform switching assumption. In
case of the domain formation,  can be estimated by assuming that the energy barrier is given by the
energy of the domain wall [30]:

6
HK MS
4 Aex K u wt 4 Aex wt
  2
2k B T 2k B T . (12)

Note it is linear in w (shortest dimension of the FL, width or diameter). Since in uniform switching mode,
 by Eq. (9)-(10) scales as w2, as the FL size is decreased, there is a cross-over point between the uniform
switching mode for smaller nodes and domain-wall formation based switching for larger nodes. This is
illustrated in Figure 3b. (Note that this analysis disregards Ku variation with w, which can be considerable
for small nodes due to the shape anisotropy contribution, Eq. (6).) Recent works assume that this cross-
over between the uniform and DW-based switching is at around w=20-40 nm [15], [30], however no
quantitative experimental data is known.

a) b)
Figure 3 a) Cell energy in configuration space for two switching mechanisms: uniform rotation and domain-wall
motion, as studied by Nudged Elastic Band (NEB) simulation [32]. Uniform rotation mode has energy barrier
corresponding to high thermal stability factor of  >130. Formation of reversed domain and domain-wall motion
switching mechanism has significantly lower energy barrier, corresponding to thermal stability factor of  < 70. In
this case, domain-wall motion is Minimum Energy Path (MEP) and is the primary switching mechanism of the
thermally-activated reversal. b) Thermal stability factor,  as a function of the cell width w. Solid lines:  for
uniform switching and switching via domain formation, using Eq. (3) and Eq. (12), respectively, for K u = 1e6
erg/cc. Symbols show smallest value of these two for each width w. Dashed lines show same dependencies for Ku
= 3e6 erg/cc; arrow shows new location of the cross-over point between the uniform and domain-wall switching.

2.2.3. Origin of the PMA and PMA materials

Bulk PMA

Bulk PMA is associated with alloys that have layered crystalline structures. In such structures, the
constituent atoms compose a regular periodic distribution (in one direction) over the underlying (e.g.
fcc, bcc, of hcp) crystal lattice. Such a distribution reduces the total crystalline symmetry, since the in
plane and out of plane directions become crystallographically nonequivalent. The crystal symmetry is
often further reduced due to the distortion of the crystal lattice from originally highly-symmetric
configuration. Since electron spins and electron orbital moments (which reflect the crystal symmetry)
are coupled via spin-orbit interaction, IP and PP directions of the spins become energetically different.
This is the key mechanism of the bulk crystalline PMA.

7
L10 crystal structure, see Figure 4, is the one of the most studied structures for materials with strong
bulk PMA. This structure is composed of (001) crystal planes periodically filled by atoms of different
types. Elastic distortion leads to the tetragonality of the initially cubic lattice in such structure. FePt,
FePd are the examples of ferromagnetic alloys exhibiting L10 structures that are explored in view of
possible high PMA implementation [33][34][35].

L10 B2
Figure 4 L10 crystal structure, composed of atoms of two types, shown by spheres of two colors.

The anisotropy of L10 materials can be changed by doping with certain elements, using an underlayer or
buffer layer with a good lattice matching to the magnetic material, and optimizing deposition
conditions. One complication with such materials is that they typically require in-situ heating to high
temperatures, which would jeopardize the CMOS underlayers needed for a fully functional memory
chip.

Apart from the high PMA, to be used in an STT-MRAM cell these materials need to meet the following
two requirements:
- Be compatible with the MgO barrier and provide high spin-transfer torque efficiency
and TMR
- Provide low magnetic damping (less than 0.01) if they are used for the FL material
This is often challenging for bulk-PMA materials. Indeed, having high crystalline anisotropy often
involves high Z materials, such as Pt or Pd, which have high spin-orbit coupling and result in increased
damping.
It is often challenging to develop a bulk-PMA material, which has a good matching with MgO, due to
typically large lattice mismatch between two materials [36]. Solution can be to use for a FL or a RL a
combination of bulk-PMA and interfacial-PMA materials [26][37]: BPMA material provides the layer with
a high anisotropy and IPMA material, typically CoFeB, has a forms a good interface with MgO and
provides high TMR and STT efficiency.

Interfacial PMA

The interfacial PMA is related to the change of the crystal symmetry at the interface with respect to the
bulk of the magnetic material. Due to the spin-orbit interaction, similar to the BPMA case, this new
symmetry translates into the energy difference for spin of different directions with respect to the crystal
lattice.

There are three main reasons of the crystal symmetry change at the interface (therefore of the IPMA):
hybridization of the orbitals of the magnetic layer and the barrier, lattice distortion, and symmetry
breaking. We illustrate them for the Fe-MgO interface, see Figure 5.

8
At this interface, the electron orbits of Fe and O atoms at the interface hybridize, forming new Fe-O
bonds [38], Figure 5a. This hybridization often gives the main contribution to the IPMA.

Formation of the bonds at the Fe-MgO interface also results in elastic distortion of the Fe crystal lattice
as Fe and MgO have mismatch of the lattice parameter in the bulk of the material [39]. For example, the
square lattice of oxygen ions in an [001] layer of MgO has a larger lattice constant than the [001] square
lattice of bcc Fe. Thus there may be tensile in-plane strain of the Fe due to attraction between the Fe
and O atoms. This distortion can be different for the in-plane and perpendicular to the plane directions,
see Figure 5b. This contribution is analogous to the bulk PMA contribution. Note that these two
contributions are sensitive to the exact composition of both the magnetic material and the barrier.

Figure 5. Illustration of the three major contributions to the interfacial anisotropy of an Fe-MgO interface: a)
hybridization of the orbitals of the magnetic layer and the barrier b) lattice distortion, and c) symmetry breaking.
Circles show position of the atoms for Fe, O, Mg for respectively largest (blue), medium (red), and smallest (green)
spheres. a) Ellipses show hybridized Fe-O orbitals; b) dotted circles correspond to initially undistorted Fe atom
positions; c) dotted circles represent the initial positions of Mg and O atoms before their removal to create
vacuum|Fe interface.

Finally, ideal truncation of a bulk crystal to create a surface allows a uniaxial component to the
anisotropy. This crystal symmetry breaking happens for any Fe surface, e.g. if Fe atoms are adjacent to
vacuum, see Figure 5c. First principle simulations show that these three contributions can be of the
same order and can also significantly vary with the thicknesses of constituent layers [40]. Such
dependence can be one of the reasons of the interface anisotropy  reduction for small thicknesses of
CoFeB, as discussed in section 2.2.1.

A significant advantage of the IPMA-materials is that they often are compatible with MgO, like CoFeB,
and are also well studied for the in-plane approach and are known to give high TMR, high spin
polarization and reasonably low damping [25]. Roughness, formation of interface alloys and
microstructure (e.g. columnar growth or patches) are seen to reduce the surface anisotropy, but can be
eliminated at careful optimization of the deposition parameters.

2.3. Tunnel Magnetoresistance


The origin of the TMR effect can be explained based on a simplified model, see Figure 6. The electrons of
a certain spin orientation can tunnel to the other electrode only if there are empty states available in
the second electrode corresponding to this spin orientation. Thus assuming that spin is conserved during
tunneling, if the magnetic moments in the FM electrodes are parallel, the majority spin electrons can fill
majority states in the second barrier, while minority spins will fill the minority states in the second

9
barrier. This will result in large conductance and small resistance. On the other hand if the magnetic
moments of the two electrodes are oriented antiparallel, the majority spins from one electrode fill
minority states in the other electrode resulting in much smaller, attenuated current.

The TMR is often related to the “polarization” of right and left electrodes PR and PL [2]:

2 PL PR
TMR  (13)
1  PL PR ,

which for the case of a symmetrical junction, PL  PR  P , is often used to extract P based on the TMR
(e.g. [41]). Relationship between the “polarization” PL/R and the MTJ band structure is however not
trivial and often does not allow for an easy interpretation. The “polarization” PL/R is certainly not the
polarization of the electronic density of states of the respective electrodes. For free electrons, instead,
PL/R can sometimes (for example for elastic tunneling with a highly reflective barrier) be interpreted as
the polarization of the probability for an incident electron to be transmitted into the evanescent state
within the barrier or correspondingly from the evanescent state into a propagating state in the opposite
electrode [42]. In this approximation the tunneling conductance for spin channel s is given by a product
of three factors (TRs)(TLs)exp(-2d), where TLs is the probability for electrons with spin s in the left
electrode to be transmitted into an evanescent state inside the barrier, TRs is the probability for the
electron to be transmitted from the evanescent state into a propagating state on the right side of the
barrier, and a factor exp(-2d) describes the decay of the evanescent state inside the barrier of
thickness d [42]. Transmission coefficients TR, TL and therefore polarizations PR,L and TMR can be
considerably bias-dependent [43].

Figure 6 Origin of the TMR effect.

a) b)

10
Figure 7. (a) Decay rate for Bloch states with different symmetries in Fe/MgO/Fe, parallel configuration (from
[44]). (b) Tunneling in crystalline MgO and amorphous AlOx MTJ's, schematically

The TMR effect for an AlOx tunneling barrier was first demonstrated in 1995, however the TMR value of
this amorphous barrier typically does not exceed about 70% [45]. TMR levels increased significantly
with the introduction of the crystalline MgO barrier. In 2001 it was theoretically predicted that Fe-MgO-
Fe tunnel junctions can give very high TMR values, which is related to different decay rates of Bloch
states with different symmetry in the crystalline MgO [5]. Particularly, for the parallel configuration of
the electrodes the Bloch state with Δ1 symmetry has very slow decay rate in the barrier comparatively to
the states with the different symmetry, see Figure 7a; all states have much smaller transmission in the
antiparallel state. Since Δ1 states present only in the majority spin channel, this results in very high spin-
selectivity of the barrier; this effect is called spin filtering. The high transmission of the 1 state allows
achieving high TMR ratio on MTJs with low resistance-area (RA) products, which is of crucial importance
for the STT-MRAM. The transport through the MgO is therefore very different to the case of the
amorphous AlOx barrier, in which all Bloch states have similar decay rates in the barrier, see Figure 7b.

In order to achieve the high TMR and low RA values for the MgO barrier, it is essential to achieve good
crystallization of both the MgO and the magnetic electrodes, which should have good lattice match with
small levels of oxidation at the interface. Growth and deposition conditions must be optimized to yield a
nearly stoichiometric MgO barrier with high degree of epitaxy between MgO and the ferromagnetic
electrodes. Both electrodes have to be crystallized as a BCC lattice with (001) texture and the MgO
should form FCC (NaCl-structure) with (001) texture and 45-degree rotation of the in-plane lattice with
respect to ferromagnetic electrode lattice. In this case, the mismatch between the lattices at the
interface becomes very small (less than 4 %) and formation of surface defects should be minimized.
Experimentally, high room-temperature TMR above 200 % was demonstrated in 2004[6], [7], further
improved to up to 600% at room temperature [46]. We refer to reviews in Ref. [8], on the development
of MgO-based MTJ with high TMR, and in Ref. [44], on the physics of the spin-filtering effect.

2.4. STT switching


2.4.1. Critical current density Jc0

The magnetization dynamics of the free layer can be accounted for by the Landau-Lifshitz-Gilbert (LLG)
equation, which includes the current-dependent spin transfer torque:

J 1
STT   ˆ m
m ˆ m
ˆ RL (14).
2 e M St

This torque can be understood as follows. J/e is the number of electrons per unit time and unit area

which enter the magnetic layer. Each carries angular momentum  in average, where the STT-
2
efficiency parameter is related to the polarization of the injected current and details of scattering. As a
J
result,  is the net spin flow into a unit area, and its ratio to the specific magnetic moment Mst
2e
gives the torque. The angle-dependent term m ˆ m ˆ mˆ RL , where mRL is normalized magnetization of
reference layer, accounts for the fact that only the transverse part of the incoming angular momentum

11
can be absorbed by the free layer. Spin transfer also has a second component referred to as a field-like
torque, having the same symmetry as a magnetic field applied along mRL, and amplitude of the same
order as STT; however it has practically negligible effect for both PP and IP designs, see e.g. [47].

For both IP and PP cells, for magnetization close to equilibrium the LLG equation with the STT can be
reduced to [48]:

1   2 dm
ˆ  Heff  Hs   m
ˆ  Heff  Hs  ,
ˆ
m (15)
 dt

J 1
Where Heff is the effective field of the LLG equation, H s   ˆ p can be considered as the field
m
2 e M St
characterizing STT1. From this equation, the major effect of the STT is that it renormalizes the damping.
If STT overcomes the damping and the last term in parenthesis in Eq. (15) changes the sign,
magnetization motion would be excited. This happens when Hs =Heff.

The current density at which the STT overcomes the damping and therefore magnetization motion is
excited, is called the critical current density Jc0. For the IP cell, in the uniform switching approximation,
Jc0 is given by [49]:

1 2e
J co  M s t 12 4M eff   H K  (16)
 
,

And for the PP cell:

1 2e
J co  M st H K (17)
 
,

Here HK is the anisotropy field term discussed in section 2.2.1, and 4Meff is the effective
demagnetization field given by2:

2 KUPMA
4M eff  4M S  , (18)
MS

where KUPMA is the effective anisotropy energy density for the bulk and interfacial PMA of the free layer.

Jc0 by the definition describes excitation of magnetization motion, which does not always correspond to
the switching. Indeed, for the IP cell, for currents slightly larger than Jc0 only small oscillations around
𝐽−𝐽
the equilibrium position are excited, and an overdrive 𝑗 = 𝑐0 ~1 can be required to actually switch
𝐽𝑐0

1
Note however the STT does not correspond to any conservative field [50], and the formal effective field of the
STT in our notations has the same magnitude as 𝑯𝒔 but given rather by −𝒎 × 𝑯𝒔 .
2
Conventionally 4Meff is defined for only its positive values, when the quiescent magnetization state is in-plane.
PMA
When KU overcomes the demagnetizing energy, Hk values given by Eq. (7)-(8) are used for the same field.

12
the cell to the opposite direction. For the PP cell, however, the magnetization is reversed for J = Jc0 [49].
As we discuss later in section 3.1, the actual switching current density Jc also depends on the
temperature, targeted switching time and probability, and can be much different from Jc0. Nevertheless
it is Jc0 (and equivalently the critical current Ic0) which is the main fundamental cell parameter
characterizing switching current at any condition.

For the PP cell, the combination of Eq. (17) and Eq. (3) gives the following expression for the critical
current Ic0:

 4ek BT  
I co   
    . (19)

A similar expression holds for the IP cell as discussed in section 2.4.4. Eq. (19) shows that all material
parameters contributing to Ic0 can be essentially (e.g. recall the uniform switching assumption of Eq. (3)
and Eq. (17)) reduced to , STT efficiency  and damping parameter .

2.4.2. STT efficiency

Generally speaking, the STT efficiency  is a function of the band structure of the both electrodes and
the barrier, of the bias and the micromagnetic structure [50], [51][41], [52]. However often it can be
considered as a constant or a simple function of the barrier associated with the polarization of the
injected current. In the case of inelastic tunneling in a symmetric junction with polarization P, the STT
efficiency is predicted to be [51],[53]:

2P

1  P2 . (20)

Since the TMR is also related to the polarization (see Eq. (13) above), the STT efficiency, polarization and
TMR are mutually related, see Figure 8. Indeed, Eq. (20) is often used to evaluate the STT efficiency and
polarization from the experimental data on the TMR (e.g. [41]). From Eq. (22), the STT efficiency rapidly
saturates with the TMR: e.g.  = 0.86 at TMR = 100%, which is the value routinely achieved in both IP
and PP cells [54],[25], thus no significant improvement is expected for the STT efficiency for larger TMR
according to this simplified model. The actual dependence of on TMR can of course be more
complicated.

13
Figure 8 STT efficiency and current polarization vs. TMR for a symmetrical MTJ, according to Eq. (20) and (13).
Note both these equations hold only at small bias, typically for V < 0.2 V.

It has been predicted theoretically [50], [51] and established experimentally in STT-driven FMR
experiments [41][52] that the STT efficiency  does not depend on the mutual orientation of the RL and
FL, thus the only angular dependence of the spin-transfer torque comes from the term m ˆ mˆ m
ˆ p.
Note that in case of metallic spacers the angular dependence of the STT can be very complicated
[55][56].

However (and again in contrast to the case of metallic spacer), in MTJs  can have considerable bias
dependence, which is often appears to be asymmetric with the voltage sign [41][52], see Figure 9.
Typically, STT is found to be larger when electrons flow from the RL into the FL. As a result, Jc0 for
switching into the low-resistance (parallel) state can be substantially smaller than for switching into the
high-resistance antiparallel state [57][58], [59][60]. This observation is consistent with theoretical
models, which relate the asymmetrical bias dependence of the STT to the bias dependence of the
tunneling conductances for the spin channels [50], [51], [61]. A quantitative understanding, however,
has yet to be established [52]. Interestingly, this bias dependence of the STT efficiency is still
significantly less strong then the bias dependence of the TMR [52][41]. This discrepancy can be
accounted for by the inelastic tunneling in the barrier, since scattering by magnons impacts TMR, but
not STT [62].

Figure 9 Asymmetry and bias dependence of the STT efficiency and resulting torque,  is the angle between RL and FL,
schematically. See experimental data in [52][63].

14
2.4.3. Damping

The Gilbert damping constant  represents the rate at which the magnetization relaxes to its
equilibrium position. The damping constant of magnetic materials depends on many factors, which are
conventionally divided into intrinsic and extrinsic contributions.

The intrinsic contributions include the energy dissipation mechanisms related to the energy transfer
between the spin and lattice sub-systems in ideal crystals. They are primarily related to the spin-orbit
interaction, and scale approximately as /W, with  the spin-orbit interaction energy and W the d-band
width [64][65]. Spin-orbit interaction scales as with the atomic number Z as Z4, thus magnetic films with
high-Z atoms often have very strong spin-orbit interaction and high damping. This is the reason many
bulk-PMA materials containing Pt have also high damping constant, with typically  = 0.05-0.1.
However, materials with only low Z elements often have low spin-orbit coupling and low damping, such
as Co2FeAl [66] or CoFeB, in which damping can be as low as  = 0.001 - 0.01.

Extrinsic contributions include energy dissipation related to the magnonic scattering from the interfaces,
scattering at the magnetic defects [67] and dissipation via interaction with the adjacent material, like
the spin pumping effect [21]. These contributions are sensitive to the film thickness, roughness,
seeding/capping layer, growth method, coupling with other magnetic layer, and other factors impacting
quality of the magnetic film. Spin pumping effect is sensitive to the element of the neighboring layer,
and increases when the adjacent material has high spin-orbit interaction. Thus it is typically large for
magnetic films adjacent to Ru or Pt, Pd. Since spin-pumping involves transport of the carriers across the
interface of magnetic/nonmagnetic materials, for high-resistance adjacent materials, like MgO, its
contribution can be small. Due to the extrinsic effects, the Gilbert damping constant can significantly
increase for very thin films comparatively to the bulk value [25] [68]. For example, as shown in Figure 10,
for CoFeB with Ta seeding and MgO capping, as CoFeB thickness decreases from 1.3nm to 0.9nm, 
increases from 0.008 to 0.014.

Figure 10 Damping constant vs. CoFeB thickness for Ta5/CFB(0.9-1.3)/MgO 1.2, in nm.

2.4.4. Jc0 for IP vs. PP cells

15
For the IP cells, a combination of Eq. (3) and Eq. (16) gives:

 4ek BT    4M eff 


I co   1  
     2H K . (21)

Since 4Meff does not contribute to the cell stability, Jc0 can be considerably larger for an IP cell than for
a PP cell with similar . Indeed, for a soft magnetic film with no PMA, the term 4Meff can be more
than 10 kOe, that is an order of magnitude larger than typical HK values for the IP cells (500 – 1000 Oe).
However for magnetic layers with significant interfacial PMA, Meff can be substantially reduced, and
even brought to zero or to negative numbers (in which case it would be referred to as Hk, see Eq. (7) and
(18)), see Figure 2. Optimum design point for the IP cells is typically found at the PMA energy level of 80-
90% of the demagnetizing energy (given by the expression similar to Eq. (6)), which for large devices
corresponds to 4Meff ~ 0.1-0.2 4Ms. See more about optimization of the IP cells in [23], [69].

As a result, Jc0 for an IP cell can be about a factor of 1.5 larger than for an ideal PP cell with same 
[23]. Note however that the IP materials can often be made with larger STT efficiency, smaller damping
parameter and smaller variation of the material parameters than the PP materials. Moreover, Eq. (19)
can be reduced to Eq. (20) only for perfectly uniform switching of a PP cell. When the switching of the PP
cell is not uniform, see section 2.2.2, Jc0 of such cell is also larger than that of an ideal PP cell with the
uniform switching. As a result competition between the PP and IP designs can be very tight and the
actual winning technology depends on the node and targeted product. In terms of scaling however, the
PP design is more advantageous since it can be scaled well below 20 nm node [14][15], while the it is
very challenging to scale the IP design to 30 nm node and beyond [23].

2.4.5. Reference layer design

The reference layer should be very stable, enable high polarization for good TMR and STT efficiency and
have negligible magnetic interaction with the free layer. Stability of the RL should be sufficiently large so
that it does not flip due to the thermal fluctuations, action of the spin-transfer torque, static stay field
while the cell is in rest and dynamic stray fields arising during the FL reversal. As a rule of thumb, RL
stability should be at least a factor of 2 larger than that of the FL. In designing the RL, the high-damping
materials are tolerated and even preferred, since this reduces the impact of the STT on the RL. This is
particularly convenient for the PP cells, since most bulk-PMA materials have high damping. At the same
time, the requirement for high TMR and STT efficiency means that the RL materials must have good
lattice matching with MgO, therefore PP RL often use a combination of a bulk-PMA material and CoFeB
layer at the interface with MgO, see section 2.2.3.

RL should be carefully designed to minimize the stray field Hstray on the FL. Indeed, both the thermal
stability factor  and Jc0 are sensitive to the stray field:  scales as (1-Hstray/HK)2, see Eq. (11), and Jc0 as (1-
Hstray/HK), Eq. (16-17), where the Hstray is assumed positive if it is antiparallel to the magnetization of the
FL (respectively, negative, if it is parallel). Thus the effect of the stray field is that it destabilizes one of
the magnetic states of the FL and increases  and Jc0 for the opposite state, which is detrimental for the
cell performance. To minimize the magnetostatic interaction between the FL and the RL, RL is often
made as a SAF-coupled bilayer [8], [70]; alternatively, two oppositely magnetized RLs can be used as
discussed in Section 5.2. Hstray minimization requirement can result in strong limitation on the
thicknesses and materials which can be used for the RL.

16
3. STT-MRAM memory cell operation in RAM-array
3.1. Switching probability and speed
For the PP cell, Ic0 is the current to switch the cell within infinitely long time and at zero temperature. For
currents larger than Ic0, the relationship between the switching time t and the current Ic at zero
temperature is given by [49][71]:
ln   
Ic  2 0 
1  , (22)
I c0 t t0

where 0 is the initial angle between the magnetic moment and easy anisotropy axis and t0 is the
characteristic relaxation time of the magnetic moment, given by
12
t0  . (23)
H K

Eq. 22 can be viewed as giving the switching time for a given current Ic, or equivalently as the current
required to switch the cell within a given current pulse width tpw. For very long current pulses (tpw>>t0,
which typically corresponds to tpw>> 100 ns), Ic is close to Ic0. For short pulse times, tpw<< t0, Ic increases
very rapidly as 1/tpw as shown in Figure 11 (top solid line).

At finite temperature, thermal fluctuations agitate the magnetization, leading to randomization of the
initial angle  0 and of the magnetization dynamics during the current pulse. Therefore for all values of
current and pulse width there exists a finite probability for the cell to either switch or to not switch [72]:
the switching is probabilistic rather than fully deterministic. The finite probability to switch at low
current leads to read disturb events during which read current inadvertently switches the cell, while the
finite probability to not switch at high current leads to write error rates. Both of these processes are of
great importance in applications and will be discussed in separate sections below. Since switching is
probabilistic any reference to a switching or critical current Ic implies a specific target switching
probability. If the probability is not specified then it is conventionally understood to be at 50%.

The effect of temperature on Ic is twofold. First, temperature fluctuations deflect magnetization from
the equilibrium position resulting in a finite value of the initial angle  0 . In equilibrium,  0 has the
 
Maxwell-Boltzmann distribution, which for small  is given by  eq    2 exp   2 [71]. The second
moment of this distribution function gives the thermal average value of the initial angle squared:
1
T2   2  (24)

17
Figure 11. Symbols: normalized switching current Ic/Ic0 vs. pulsewidth tpw for STT switching of a macrospin
magnetic particle, schematically. Upper solid line: Ic in precessional regime according to Eq. (22),(25), lower solid
line: Ic in thermal activation regime according to Eq. (28), both curves are calculated for a cell with  = 50, 20 nm
in diameter, 2nm thick, Ms = 1000 emu/cc.

The average switching current density Ic (tpw) of an ensemble of equally distributed cells is given by Eq.
(22), in which 0 is given by Eq. (24). This equation holds only in case of small pulse width, typically tpw<<
100 ns, and this switching mode is called the precessional regime.

For very long pulse widths, pw>> 100 ns and I << Ic0, thermal reversal of magnetization can become the
primary switching mechanism, and STT can assist this switching by increasing the effective
temperature[48][73]. This switching mode is called the thermal regime, and the average switching
current density in this case is given by [48]:

 1 t 
I c  I c 0 1  ln pw  (25)
  0 

Here 1/0 is the attempt frequency, which characterizes how often magnetization approaches the
barrier due the thermal fluctuations [74]. 0 is believed to be of the order of t0 /  [74], [75], which
corresponds to 0 typically of about 0.1-10 ns. Experimental data [28], [76] and simulations [77], [78]
give similar results. It is often assumed for simplicity that 0 = 1 ns at room temperature.

Figure 11 depicts the switching current as a function of pulsewidth of a thermally agitated particle in
both precessional and thermally activated regimes. The thermal regime is most easily accessed
experimentally, however for applications in which the operational pulsewidth would typically be of the
order 10ns the switching current often cannot be cleanly attributed to either regime.

As follows from Eq. (25), in the thermally activated regime Ic/Ic0 is linear in ln(tpw), with the slope given
by 1/, and Ic asymptotically tending towards Ic0 at t ->0 = 1 ns. These two facts are often used to
determine Ic0 and from Ic(tpw) in the thermally-activated regime as will be discussed in Section 5
below. Note however that this equation is valid only when the STT can be considered as a perturbation
over the temperature, thus Ic << Ic0.

18
Figure 12a illustrates the dependence of Ic/Ic0 on . In the long pulse regime the measured switching
current Ic can be many times smaller than Ic0 for cells with low stability, so we therefore strongly
emphasize that a measurement of Jc at a single pulsewidth is not sufficient to characterize the switching
properties of a cell in a meaningful way. Measurements at multiple pulsewidths (preferably covering
decades in pulsewidth) or an independent evaluation of on the same cell are generally necessary.

Switching in the precessional regime is much more sensitive to Hk than to . Indeed, cells with equal 
but different HK will have equal Ic0, but in the precessional regime those with larger Hk would switch
faster at a given current. Equivalently, cells with higher Hk require smaller current Ic to switch within a
given time than the cells with the same  but lower HK [26]. In the thermally activated regime, such cells
however will exhibit essentially the same performance, see Figure 12b.

Figure 12. Ic/Ic0 vs. pulsewidth tpw (a) for cells with different  = 25, 50 and 100, (b) for cells with equal  and
different Hk values changing as 1:2:4. All functions are shown schematically, with the asymptotes at small or large
tpw given by Eq. (22), (24), (25) and assuming 0 = 1 ns. Note that since Jc0 scales with , cells in Fig. (a) have
different Jc0 and the slopes dJc/dln(tpw) in the thermal regime are the same for all three cells in Fig. Cells in Fig. (b)
have equal Jc0.

The switching probability can be found numerically using Monte-Carlo simulations of the LLG equation
with the thermal noise term [79] [71]. For many simple cases it can also be evaluated using the Fokker-
Planck equation on the evolution of the probability density function [74],[73],[80].

3.2. Non-switching probability and Soft Write Error Rates

Due to thermal fluctuations some of the cells will have an initial angle 0 smaller than the thermal
average value T given by Eq. (24). Similarly, when operated for a sufficiently long time, each cell
sometimes has 0<T. Such cells display a longer switching time than those with 0>T, or require larger
current in order to switch them within a given time. Therefore to reliably switch an entire ensemble of
cells within allowable error, it is generally required to apply larger switching current than that of the
thermal average.

The Maxwell-Boltzmann distribution for 2 is very sharp, which means that the number of cells with 0
other than T is decaying very fast with |02 – T2|, and that there are about the same number of cells
with 0>T as the cells with 0<T. Therefore, the thermal average current Jc(tpw,T) for each pulse width

19
tpw switches half of the cells, or, equivalently, switches a cell with 50% probability (assuming that the
intrinsic parameters of the cells, e.g. , are the same).

The probability of non-switching Pns is called the Write Error Rate (WER). For a typical application as a
storage memory, WER has to be less than 10-3, whereas for working memory the desired level of WER is
typically about 10-9 if there is error correction on the chip or 10-18 without error correction.

For the PP cell WER can be derived based on Fokker-Planck theory [73], [71]:

 
 
  2 j 
WER I   1  exp   
 4 exp  2 t pw j i  1  , (26)
   t  
   0  

𝐼
where i is a dimensionless current 𝑖 = 𝐼 , and j is an overdrive 𝑗 = 𝑖 − 1 . A similar expression holds for
𝑐0
IP cells [69]. For small WER this equation can be reduced to:

 2 j  t
ln WER  ln     2 pw j
 4 i  t0 (27)

Therefore, at low WER values lnWER is linear in the overdrive j, with the slope given by 2tpw/t0, and does
not strongly depend on other parameters. For long pulses tpw>> t0 corresponding to the thermally
activated regime, this slope can be very large (sharp) and only a small overdrive j<< 1 is required to
reach the targeted value of WER (see Figure 13). However in the precessional regime where tpw ~ t0,
achieving low WER values can require very significant current overdrive j >> 1. As follows from
Eq.(22),(27), in the precessional regime the overdrive j(tpw, WER) for a given pulsewidth tpw and WER
value scales as j(tpw, WER)= j(tpw, 0.5)*g(WER), where j(tpw, 0.5) is the overdrive for the same pulsewidth
tpw and 50% probability switching, and the function g(WER) does not depend on tpw. It is equivalent to
state that the ratio of the overdrive values needed to reach a particular WER value at two different
pulsewidths tpw and t’pw is given by j(tpw, 0.5)/ j(t’pw, 0.5) and does not depend on the targeted WER
value.

20
Figure 13 WER according to Eq. (26), for different pulse width, for a cell with  = 60 and t0 = 2 ns. This t0 value
can be achieved e.g. using Hk=4 kOe and  = 0.007, according to Eq. (23); such a cell with  = 0.75 would have
Jc0 = 1.13 MA/cm2 according to Eq. (19).

The WER value as a function of dimensionless current i does not strongly depend on the thermal
stability factor  (note however that Ic0 does scale with . Scaling of lnWER with 1/t0 means that for
two cells with equal Ic0 but different factors HK , the cell with a larger HK value has smaller WER value
at the same pulsewidth.

The reason of the large overdrive required for fast switching at tpw ~ 1 ns is the fact that the initial angle
0 is typically small (and sometimes it is very small due to stochastic nature of 0). The spin-transfer
torque is proportional to sin, so the initial STT on the FL will also be small. As a result, the initial motion
(d/dt) is slow, thus requiring larger overdrive to force faster switching. Vanishing torque for 
approaching the equilibrium position (0 or) is often referred to as an effect of the stagnation point.
The stagnation point issue cannot be eliminated by applying a static field [79], however it can be solved
by applying a rapid (relative to t0) excitation to the magnetization, e.g. a short field pulse from a current
line [69]. Note that the magnitude of this field should be a substantial fraction of the anisotropy field Hk
to produce significant deflection of the magnetization from its equilibrium position and, eventually,
result in considerable reduction of the WER [69].

Fast switching can also be achieved for the PP cells at relatively large nodes if the switching mode is
essentially non-uniform and involves domain formation and domain wall motion [30]. The torque on the
domain wall is always non-zero, thus the switching time can be very small. However it is difficult to scale
this solution below 50 nm nodes.

21
3.3. Read disturb and Read Error Rates

In the thermally activated regime, the STT increases the effective temperature, which decreases the cell
thermal stability [48][73] as:

i   0 1  i 
, (28)

where 0 correspond to the thermal stability factor at zero current (this equation is equivalent to Eq.
25). Therefore the reading current would elevate the switching probability for one of the magnetic
states. This effect is called read disturb. Read disturb is characterized by the Read Error Rate (RER),
which is the probability for the cell to unintentionally switch during the reading operation within a
specified operating time (typically 10 years for a memory chip). The transition theory gives the switching
probability as [81]:

  N read ttot 
PRD I read   1  exp   , (29)
  0 exp 1  i  

where Nread is the number of cells which are read in parallel in one single operation, and ttot read is the
total read time for the evaluation period. For cell characterization purposes, Nread= 1 and ttot is the single
cycle read time tread whereas for chip performance evaluation, Nread for DRAM specifications is 16 kbits
and ttot = toper tread/tcycle, where toper is the specified time of continuous chip operation [22] and tcycle is the
time between two consecutive read cycles. In the limit of very small values of the dimensionless
currents i<<1, the logarithm of the probability to switch is given approximately by:

lnPsw (i<<1) ln(Nreadttot)- (1-i), (30)

which shows that the strongest dependence of RER is on the thermal stability factor .

Figure 14. Read disturb probability as a function of a read current, calculated for 0 = 1 ns, Ntot =16k bits,
tread/tcycle = 0.1 and toper = 1 hour.

22
For STT-MRAM the requirement on the RER is typically less than 10-21 - 10-23. This requirement translates
into the maximum allowed read current for a given value of the thermal stability, or equivalently, into a
minimum thermal stability value for a given read current. Interestingly, recent experiments indicate that
on a sub-ns time scale magnetization dynamics can be more coherent, thus having larger apparent 
[82], than it is for nanosecond to second range of pulsewidths [28]; this can be beneficial for very fast
reading schemes.

3.4. Thermal stability requirement for large memory arrays


Eq. (29) can also be used to calculate requirements on the thermal stability factor of a cell array. In this
case, ttot becomes the desired data retention time span (typically 10 years) and I read is set to 0:
  ttot 
Pth  1  exp   (31)
  0 exp   

This can be compared to the required number of Failures in Time (FIT), using:
FIT
Pth  , (32)
NB

where NB is the total number of bits in the chip. From the above expressions, the requirement on the
thermal stability is given by:
   FIT 
   Ln 0 Ln1  
N B 
(33)
 t p 

In Table 1, requirement on the thermal stability factor according to this formula is specified, in term of
room-temperature value of  for different operating temperatures, FIT specifications and array size. For
a memory chip  value should be sufficient to satisfy both requirements of the thermal stability (Eq. (33))
and tolerated RER value (Eq. (29) and Figure 14).

Table 1. Required room-temperature values for 10 year data retention according to Eq. (33).

1000 FIT 0.1 FIT 0.1 FIT


Capacity
@ 80C @80C @ 160C
1 Mb 67 77 95
16 Mb 70 81 99
256 Mb 73 84 103
512 Mb 74 85 104
1 Gb 75 86 105

3.5. STT-MRAM endurance and MTJ breakdown


Endurance of STT-MRAM refers to how many times a cell can be switched before it is damaged. Usually
cell endurance exponentially increases when operating voltages are reduced. To characterize a cell’s
endurance, it undergoes repeated write/(analog)read cycles at a given write voltage and pulsewidth
until the break down event is detected, such as a sudden drop in the device resistance. This test yields
the number of cycles endured before breakdown or equivalently the lifetime of the cell by multiplying

23
by the pulsewidth. The lifetime is a distribution function so a number of devices have to be broken in
order to establish a statistically significant measurement at a particular voltage. Since the typical
endurance lifetime specification is 10 years of reliable switching at operating voltage for the entire array
(corresponding to typically > 1016 for RAM memories) the test needs to be accelerated by measuring at
multiple voltage values significantly larger than operating voltage and projecting back to the operating
voltage.

The main mechanism of cell damage is MTJ breakdown. There are two mechanisms of the MTJ
breakdown, usually referred to as hard and soft breakdown. Hard breakdown is related to the dielectric
breakdown of the barrier [83]. Empirically, it shows up as an abrupt decrease of resistance accompanied
by degradation of the TMR. This mechanism is the dominant MTJ damage mechanism. The breakdown
voltage VBD is a function of the barrier thickness, quality [84], and duration of the voltage pulse [85].
Typically, breakdown voltages VBD are relatively tightly distributed and have values of the order of one
volt.

Soft breakdown is manifested as gradual MTJ degradation, beginning at voltages as low as one-half of
the intrinsic VBD value. The MTJ resistance will gradually diminish upon repeated application of voltage
pulses, and will ultimately fall to a low value similar to that reached after hard breakdown. Soft
breakdown is typically observed for only a relatively small fraction of bits but it is crucial for applications
that this to be reduced to very small numbers indeed (typically below 10-3 %) since such bits will not
withstand repeated write cycles and, with reduced resistance and TMR, will not match circuit
specifications. Usually soft breakdown is related to an ohmic, non-magnetoresistive shunting of the
magnetoresistive tunnel barrier. There are at least two possible locations where ohmic shunts can occur.
The first of these is along the perimeter of barrier. This type of shunt results from an imperfect etching
process used to form the bits. The etch process may redeposit metal along the sidewalls, partially
shorting-out the barrier, or etching may be incomplete. A second type of shunt is intrinsic to the film
itself, and is unresponsive to etch process improvements. These shunts are often referred to as
“pinholes” or tunneling “hot spots”, but their true physical nature is poorly understood. They may
possibly be due to discontinuities or metallic impurities in the tunnel barrier. Much evidence supports
the conclusion that the pinholes are randomly distributed and of finite extent. If this is the case, the
probability PsBD that a bit contains a pinhole, and thus exhibits the soft breakdown, is given by [86]:

𝑃𝑠𝐵𝐷 = exp(−𝑑𝐴), (34)

where A is the bit area and d is the number of defects per unit area. Therefore, as bits become smaller,
the probability that they contain any shunts is reduced, in favor of STT-MRAM scalability.

A similar equation holds for edge-dominated shunting, if A is replaced by the bit perimeter, and d by the
number of defects per unit length. Scaling of PsBD vs. area and perimeter can be used to characterize the
edge- and surface-dominant contributions to the soft breakdown.

3.6. Distribution of the parameters within large arrays of cells


The non-uniformity of the material properties and the process imperfections, such as doping density
variations and critical dimension variations, translate into the cell-to-cell variation of the TMR, ,
resistance, Ic0, and other cell parameters. Memory cell design should accommodate the variations of both
the MTJ and the accompanying circuit while maintaining the performance requirements. This implies
additional constraints on the average MTJ parameters. One of the most important of them is the
requirement on the TMR.

24
Figure 15 sketches distributions of the read and write currents in a typical STT-MRAM memory array. For
a read operation, a constant read voltage VREAD is applied across the read path, which translates into the
current Ilow or Ihigh corresponding to low- and high-resistance states of the MTJ memory cell. This current
is sensed using a current sense amplifier. Typically the current sense amplifier uses a reference resistance
½(Rlow+Rhigh); a current flowing through this resistance Iref is used as a reference to differentiate between
Ilow or Ihigh[22], [87].

To differentiate reliably between the low and high state, the separation between Ilow , Iref, and Ihigh should
be sufficiently large. First, the distributions of Ilow, Iref, Ihigh should not overlap, which typically requires the
spacing of at least 6 between the median values of each of them, where  is the standard deviation of
each of these distributions (usually considered to be the same value I). Furthermore, the spacing
between these currents must provide sufficient margin for the sense amplifier. As a rule of thumb,
altogether the spacing between the median values of Ilow and Ihigh should be at least 20I to allow for the
reliable read operation.

Figure 15. Distribution of characteristic currents in STT-MRAM array

This translates into a requirement on the difference between Rlow and Rhigh, and therefore on the TMR of
the MTJ. If it is assumed R/R ~/I ~ 5%, this would mean that 100% TMR is required for reliable sensing
operation, if the reading path resistance is negligibly small. The reading path resistance is comprised of
the resistances of the cell transistor and column-select transistor resistances, bit-line and source-line
resistances, and the sense amplifier resistance [87]. In total this reading-path resistance can be
comparable to the MTJ resistance, particularly for dense memories like DRAM. In this case, the TMR value
required for reliable sensing should be significantly increased; e.g. if the reading-path resistance equals to
the MTJ resistance, MTJ should have 200% TMR in order to allow 20I separation at between Ilow and Ihigh
assuming /I = 5%. /I increases for smaller nodes due to reduced area and increased relative volume of
the edges which are less controllable. Therefore, for smaller nodes requirement on the TMR is higher than
for larger nodes.

4. Experimental characterization
As for many emerging technologies, metrology is the key ingredient for successful STT-MRAM
development. As mentioned in the Introduction, STT-MRAM leverages many advances in metrology in
the HDD read head technology and MRAM for methods and tools to characterize magnetic materials,
MTJ stacks in films and patterned devices. In this section we outline some of them, as well as some of
the challenges which are specific to STT-MRAM. We refer to Ref. [88] for a review of Vibrating Sample
Magnetometry (VSM), Magneto-Optical Kerr Effect (MOKE) magnetometry and CIPT.

25
4.1. Full film parameters
4.1.1. Magnetic moment

VSM is the technique often used to measure the magnetic moment [88]. The magnetic moment signal
once isolated is converted to magnetization Ms by dividing by the layer volume which requires accurate
knowledge of the thickness. In the sputtering process the thickness is targeted based on deposition rate
calibration rather and may not be specifically measured. Moreover, the magnetically active thickness
can be different than the targeted deposition thickness due to interdiffusion with adjacent layers that
results in a so-called “dead-layer.” However, referring back to the equations for switching current
density and stability we see that Ms is not actually required for calculating those values, it is rather the
product Mst. This is obtained experimentally by simply dividing the measured moment by the sample
area – which can be done quite accurately. Nonetheless knowledge of Ms and deadlayer thickness is
essential for properly understanding the properties of the magnetic layer and predicting behavior at
other thicknesses. Estimates of the dead-layer thickness and Ms can be made by plotting Ms x t versus t
for a range of layer thicknesses, which often reveals linear behavior where a positive thickness-intercept
corresponds to the dead-layer thickness and Ms is given by the slope.

4.1.2. Perpendicular magnetic anisotropy

PMA is characterized by the anisotropy field Hk=-4πMseff, Kut and  (see section 2.2.1). 4πMeff is
measured in conventional magnetometry as the magnetic saturation field with field applied
perpendicular to the easy magnetic axis (i.e. along the hard axis). MOKE is perhaps the fastest way to
measure the hard axis loops and does not suffer from the diamagnetic background contributions.
However for both VSM and MOKE the estimate of the saturation field may not be very accurate if
multiple magnetic layers contribute to the signal.

Ferromagnetic resonance (FMR) [89] can be a very accurate way to measure Mseff even for stacks with
multiple magnetic layers. FMR measurements are performed on small pieces of wafer mounted onto a
microwave stripline through which an rf current is passed that generates an Oersted field at the sample.
The resonance is detected as a peak in the absorption of the microwave energy as a function of
frequency  and applied magnetic field H. The resonance condition for a thin film magnetic layer is

𝜔 = 𝛾√𝐻(𝐻 + 4𝜋𝑀𝑠𝑒𝑓𝑓 ), (35)

for field applied in the plane of the film, and is

𝜔 = 𝛾(𝐻 − 4𝜋𝑀𝑠𝑒𝑓𝑓 ), (36)

for field applied perpendicular to the plane, where  is the gyromagnetic ratio.

4.1.3. Damping

The FMR resonance absorption lineshape is Lorentzian, and its linewidth is related to the intrinsic
linewidth of the absorption signal. However there is typically an additional contribution to linewidth
referred to as inhomogeneous broadening H0 that is, to a rough approximation, independent of
frequency. The following phenomenological expression is commonly used to obtain the intrinsic
contribution to the damping:
𝛼𝜔
Δ𝐻 = Δ𝐻0 + , (37)
𝛾

26
So the damping constant  can be obtained from the slope of the linewidth H plotted versus the
frequency , using  obtained from the resonance field fitting.

4.1.4. TMR and RA

Evaluation of the properties of the tunnel barrier is possible using a technique developed at IBM called
current-in-plane-tunneling (CIPT) [88]. The sheet resistance of the MTJ stack is measured with a probe
that consists of a series of tips at various spacings ranging from microns to 100s of microns. The path
traveled by the current between two probe tips varies from shunting through the top metal layers for
closely spaced probe tips, to parallel conductance through the top and bottom metal layers for probe
tips far apart, with the resistance-area product (RA) of the barrier determining the spatial dependence
of this transition. The sheet resistance data are thus fit to a model that describes this transition. Since a
large portion of the current should go through the bottom contact, CIPT MTJ characterization requires
special stack deposition with high conductance of the bottom electrode. To obtain the TMR the
magnetic layers should be set by the magnetic field into the both parallel and antiparallel orientations;
care must be taken to saturate the layers to get the full TMR value.

4.2. Device-level characterization


4.2.1. Statistical approach

The device fabrication process can introduce many unknown variables to the device performance. If this
process is not perfectly optimized, which often happens at the R&D stage for advanced nodes,
significant fractions of the devices can suffer from different failure mechanisms, like shape variation,
sidewall redeposition of metals that shunt the tunnel barrier, sidewall damage to the magnetic layers
and shorting or opening the top contact electrode to the MTJ.

Therefore, device-level measurement of fundamental magnetic and switching properties requires that a
significant population of devices be measured with systematic variation in size such that shunt and/or
parasitic resistance contributions can be isolated. Knowledge of device area is often a rather weak point
of the analysis, but can be mitigated by analyzing resistance and TMR versus device size data and by
SEM imaging of devices at numerous points on the wafer. SEM imaging gives a top view only, so
allowance has to be made for sidewall angle; this can normally be verified by high resolution TEM on a
few devices. Typically 102 – 104 devices should be tested to provide statistically meaningful results on
the average devices performance and distribution of average parameters. This generally requires at
minimum a fast pre-screen process for R-H loops (described below) on devices across wafer using an
automatic prober, with multiple devices measured in parallel. Device arrays integrated with CMOS that
allow both analog and digital readout of the device state are ideal for very fast measurement of large
numbers of devices, particularly important for deep WER and RER evaluation. Since CMOS integration
typically puts one or more transistors in the analog circuit pathway to the device it is desirable that the
transistors are large and exhibit predictable linear characteristics over a large range of current.

4.2.2. R-H loop

The resistance versus field hysteresis loop is used to quickly obtain the low and high resistance states,
TMR, coercitivity Hc and the offset field Hoffset (characterizing the average stray field at the free layer) of
the device. Hc is a statistical parameter referring to the median of a thermal distribution. For the case of
a uniform magnetic particle under a linear field ramp, the field ramp rate R is related to the median Hc,
anisotropy field Hk and thermal stability  as

27
2
𝐻𝑐
2Δ 𝐻 1 −Δ(1−𝐻 )
𝐻𝑘
(1 − 𝐻𝑐 ) 𝑅 = 𝜏0
𝑒 𝑘 , (38)
𝑘

0 conventionally assumed to be 1 ns. By measuring the median Hc at multiple ramp rates spanning a
couple of decades it is possible to obtain Hk and , analogous to the traditional current switching
approach to obtain Ic0 and , discussed below.

4.2.3. Switching current

Measuring the switching current of an isolated device at speeds of order 10 ns requires special
considerations in the form of equipment and measurement circuit that are often at odds with fast
turnaround and the statistical approach. In practice the switching current is measured at longer pulse
widths and extrapolated to shorter timescales using the expression for thermally activated switching
(Eq. 25). This entails measuring the median switching current at a number of pulsewidths spanning two
or three decades, and obtaining Ic0 and  from the intercept and slope of the median switching current
versus the logarithm of pulsewidth tpw normalized to 0.

4.2.4. Thermal stability

The most direct determination of thermal stability is by measuring the retention time – the time it takes
for a cell to spontaneously flip due to thermal fluctuation—on a very large number of devices in a chip
or array. The bit failure rate depends on both the average  and its standard deviation , and both
these parameters can often be identified from the measured data.

At single device level, the thermal stability is often difficult to measure as a retention time. In the
literature, reported values come often from the slope of the median switching current versus
pulsewidth as described above. Another approach is to fit the Hc distribution or (less commonly) the
median Hc versus field timescale dependence to obtain both Hk and . Note that it is rare that the true
thermal regime (e.g. I<<Ic0) is cleanly obtained in such measurements, usually resulting in underestimate
of  [29]. A more clean measurement is based on the RER evaluation. As shown earlier, at low voltage,
the logarithmic RER is directly related to the thermal stability . While read disturb for chip verification
would be done at short pulse, a read disturb measurement for the purpose of evaluation can be done
at much longer pulse widths, so that the cell is not in the precessional switching mode [82].

5. STT-MRAM recording trilemma and cell design


5.1. STT recording trilemma
STT-MRAM functionality is based on the following three major components: recording information,
reading information and storing information. The difficulty arises when we need to simultaneously
improve all three of these since improvement of any single one of them will likely cause deterioration of
one or both of the others. Indeed, the requirement on the thermal stability  translates into a limitation
on the minimum switching current Ic0 (Eq. (19)). Good reading margin assumes a high value of the
reading current Iread (Section 3.6), which however translates into the read disturb issue (Eq. (29)).
Reading margin assumes also high TMR values, which limits the choice of materials for the MTJ, most of
which have a limitation on PMA. High stability materials often have high damping (Section 2.4.3), which
translates into Ic0 increase (Eq. (19)). Borrowing again from the HDD industry and its famous magnetic
recording trilemma, we summarize this challenge as the STT-MRAM recording trilemma. Efforts to

28
overcome the STT-MRAM recording trilemma has resulted in the development of a number of
alternative design implementations of the STT-MRAM cell, some of which are reviewed in the next
section.

5.2. STT-MRAM designs


Figure 16 shows some of the common types of the STT-MRAM implementations. Note that although IP
cell is depicted in these designs, designs (a),(b),(d) and (f) are straightforwardly applicable to PP cells.

The simplest implementation discussed throughout this review is based on a single MgO barrier
between FL and RL, Figure 16(a). It consists of the FL, MgO, and the RL, which is SAF-coupled to reduce
the stray field on the FL and often exchange biased by the seeding antiferromagnetic (AFM) layer.

In order to increase the Spin-torque on the FL, a cell with a second MgO barrier was suggested[90], [91],
referred to as a Dual MTJ structure (or DMTJ), Figure 16b. Top and bottom RLs are aligned antiparallel to
each other, which causes their torque at the FL to add. TMR of this structure is however reduced, since
one of the barriers is always in the high state and another one is in the low state. If, however, both
barriers have very different RA, TMR cancellation can be minimized; fast saturation of the STT efficiency
with TMR (see Figure 8) allows using barriers with different TMR. As a result, DMTJ can have switching
current reduced by almost a factor of 2 without sacrificing TMR [91]. This structure, like the previous
one, can have either IP or PP design.

Figure 16.Various implementations of STT-MRAM cells: (a) – single-barrier MTJ (IP or PP), (b) – Dual MTJ
structure (IP or PP),(c)- Ultra-thin Dual MTJ, (d) – thermally-assist, (e) – precessional, (f) – three-terminal.

Since both top and bottom RL are SAF-coupled and exchange-biased, the total thickness of the structure
is very large, of order of 40 nm, which limits its scalability. Moreover, Ru and AFM layers do not tolerate
large annealing temperatures, which in turn does not allow fully optimizing the MgO barrier which
requires high-temperature annealing [46]. Both these issues are eliminated in the structure shown in
Figure 16c, referred to as Ultra-Thin Dual MTJ (UT-DMTJ) [92]. Here top and bottom RL are each reduced
to a single ferromagnetic layer. The dipolar magnetostatic interaction between them establishes their
antiparallel orientation and stability. As a result, UT-DMTJ with all the benefits of DMTJ has much
smaller thickness and improved thermal budget.

The relationship between the current and cell stability, Eq. (19),(21), can be broken using thermally-
assisted switching designs [24]. One of them is sketched in Figure 16 (d). It has an AFM layer deposited
above the FL, and if no or small current is applied, AFM layer keeps FL stable by means of the exchange
bias. When the current is applied, Joule heating increases the temperature of the FL and AFM layer
above the Néel temperature (blocking temperature of the AFM). Exchange bias is therefore lost and the

29
FL can be easily switched. Once the current is gradually removed, AFM layer cools down and locks the FL
into the new state. Thus in the writing and steady-state mode, FL stability is different, which breaks the
store-record relationship of the STT-MRAM trilemma.

The stagnation point issue responsible for the large overdrive required for fast switching can be
eliminated using precessional switching design [93]. An IP FL is combined with two reference layers, one
IP and the other PP. The IP RL is used to read the magnetic state, while the PP RL is used for writing (see
Figure 16 (e)). During the switching, STT from the PP reference layer tilts the magnetization of the FL
out of the plane. This results in a demagnetization field due to the magnetic charges on the surface of
the FL. This demagnetization field is opposite to the normal component of the magnetization and
causes fast in-plane precession of the magnetic moment. Switching time in this structure can be as fast
as tens of ps, but additional design improvement is required to provide precise control of the final state
of the FL [94].

Efforts to overcome the tension between reading and writing requirements in the STT-MRAM trilemma
has resulted in a family of structures where recording and reading paths are separated [95], as
illustrated schematically in Figure 16f. In this case, there is an additional current side terminal (SC)
attached to the FL in addition to the two usual terminals (TC and BC). For reading, voltage is applied
between TC and SC, whereas for writing voltage is applied between BC and SC. This allows separation of
the two requirements.

5.3. Outlook on the future of STT-MRAM and concluding remarks


We are confident that STT-MRAM will be brought to the market in the near future, either as a
competitor of existing products such as DRAM or SRAM or as a product with new functionality featuring
the high speed, non-volatility and high-density capabilities of STT-MRAM. These products most likely will
be based on the designs discussed in this review. However in order to scale towards even more
advanced nodes, new physical mechanisms will be required to enable cell functionality and address the
recording trilemma. Indeed, as for any other memory technology, scaling of STT-MRAM to smaller nodes
faces strong challenges. For instance, maintaining the thermal stability  for smaller nodes translates
into maintaining the same switching current Ic0, all other cell parameters being equal. The current
available from a transistor, however, reduces approximately linearly with the gate width as I ~ 0.5-1
A/nm [22]. There are other limitations as discussed above related to the parameter distributions over a
large array of cells, increase of the load resistance, etc. Some of these challenges are already quite
daunting for existing designs. This is not surprising given the fact that STT-MRAM must be brought up to
the performance levels and technological nodes of an already extremely advanced technology, as
outlined in the Introduction.

In order to face these challenges, future designs will require significant advances in material
development and cell design. Apart from that, the application of new physical mechanisms in STT-
MRAM-based cells can enable new technological breakthroughs. We list here some recently discovered
physical effects that could be of a considerable interest for future STT-MRAM designs:

 Family of magnetoelectric effects [96], [97], which can allow magnetization control by electric
field without passing electric current through the cell, including recently discovered effect of the
voltage-control of anisotropy [98–101];
 Spin-polarized current generation by spin-orbit induced effects such as the Spin-Hall effect or
Rashba effect [102][103], thermal gradients [104], or using topological insulators [105], [106],
which would allow FL switching by means other than current injection through MgO.

30
 Integration of novel materials with smaller parasitic resistances, tighter distributions of the
parameters, improving transistor drivability, etc. will be benefitial to STT-MRAM allowing
relaxing requirements on the Ic0, , TMR. These materials include like graphene, carbon
nanotubes, topological insulators, etc.; some of which have been already demonstrated to be
spintronic-friendly, e.g. having large spin-diffusion length [105], [107] or enabling easy
generation of the spin polarization [106].

In a nutshell, this list conveys the exciting potential of working with STT-MRAM. Bringing magnetism and
the spin degree of freedom to conventional semiconductor electronics opens up a host of new physical
phenomena that can be utilized in new STT-MRAM applications. Furthermore, the true potential lies in
moving beyond the constraints of conventional memories and introducing new functionality based on
these novel spintronics-based phenomena (and others that still await discovery) – an open playground
that we’ve only started to explore.

31
References
[1] S. Tehrani, J. Slaughter, M. Deherrera, B. Engel, N. Rizzo, J. Salter, M. Durlam, R. Dave, J. Janesky, and B. Butcher, “Magnetoresistive
random access memory using magnetic tunnel junctions,” Proceedings of the IEEE, vol. 91, no. 5, pp. 703–714, 2003.
[2] M. Julliere, “TUNNELING BETWEEN FERROMAGNETIC FILMS,” Physics Letters, vol. 54A, no. 3, pp. 225–226, 1975.
[3] J. Moodera, L. Kinder, T. Wong, and R. Meservey, “Large magnetoresistance at room temperature in ferromagnetic thin film tunnel
junctions,” Physical Review Letters, vol. 74, no. 16, pp. 3273–3276, 1995.
[4] T. Miyazaki and N. Tezuka, “Giant magnetic tunneling effect in Fe / AlzO3 / Fe junction,” Journal of Magnetism and Magnetic
Materials, vol. 139, pp. 94–97, 1995.
[5] W. H. Butler, X.-G. Zhang, and T. C. Schulthess, “Spin-dependent tunneling conductance of Fe|MgO|Fe sandwiches,” Physical Review
B, vol. 63, no. 5, p. 054416, 2001.
[6] S. S. P. Parkin, C. Kaiser, A. Panchula, P. M. Rice, B. Hughes, M. Samant, and S.-H. Yang, “Giant tunnelling magnetoresistance at room
temperature with MgO (100) tunnel barriers.,” Nature materials, vol. 3, no. 12, pp. 862–7, 2004.
[7] S. Yuasa, T. Nagahama, A. Fukushima, Y. Suzuki, and K. Ando, “Giant room-temperature magnetoresistance in single-crystal
Fe/MgO/Fe magnetic tunnel junctions.,” Nature materials, vol. 3, no. 12, pp. 868–71, 2004.
[8] S. Yuasa and D. D. Djayaprawira, “Giant tunnel magnetoresistance in magnetic tunnel junctions with a crystalline MgO(0 0 1) barrier,”
Journal of Physics D: Applied Physics, vol. 40, no. 21, pp. R337–R354, Nov. 2007.
[9] J. C. Slonczewski, “Current-driven excitation of magnetic multilayers,” Journal of Magnetism and Magnetic Materials, vol. 159, no. 1–
2, pp. L1–L7, Jun. 1996.
[10] L. Berger, “Emission of spin waves by a magnetic multilayer traversed by a current,” Physical Review B, vol. 54, no. 13, pp. 9353–9358,
1996.
[11] J. Katine, F. Albert, R. Buhrman, E. Myers, and D. Ralph, “Current-driven magnetization reversal and spin-wave excitations in Co/Cu/Co
pillars,” Physical Review Letters, vol. 84, no. 14, pp. 3149–3152, 2000.
[12] Y. Huai, F. Albert, P. Nguyen, M. Pakala, and T. Valet, “Observation of spin-transfer switching in deep submicron-sized and low-
resistance magnetic tunnel junctions,” Applied Physics Letters, vol. 84, no. 16, p. 3118, 2004.
[13] Z. Diao, D. Apalkov, M. Pakala, Y. Ding, A. Panchula, and Y. Huai, “Spin transfer switching and spin polarization in magnetic tunnel
junctions with MgO and AlO[sub x] barriers,” Applied Physics Letters, vol. 87, no. 23, p. 232502, 2005.
[14] J. H. Jeong, Y. Kim, W. C. Lim, J. H. Kim, J. H. Park, H. J. Shin, Y. S. Park, K. S. Kim, S. H. Park, Y. J. Lee, K. W. Kim, H. J. Kwon, H. L. Park,
H. S. Ahn, S. C. Oh, J. E. Lee, S. O. Park, S. Choi, H. K. Kang, and C. Chung, “Extended scalability of perpendicular STT-MRAM towards
sub-20nm MTJ node,” 2011 International Electron Devices Meeting, pp. 24.1.1–24.1.4, Dec. 2011.
[15] M. Gajek, J. J. Nowak, J. Z. Sun, P. L. Trouilloud, E. J. O’Sullivan, D. W. Abraham, M. C. Gaidis, G. Hu, S. Brown, Y. Zhu, R. P. Robertazzi,
W. J. Gallagher, and D. C. Worledge, “Spin torque switching of 20 nm magnetic tunnel junctions with perpendicular anisotropy,”
Applied Physics Letters, vol. 100, no. 13, p. 132408, 2012.
[16] H. Yoda, T. Kishi, T. Nagase, M. Yoshikawa, K. Nishiyama, E. Kitagawa, T. Daibou, M. Amano, N. Shimomura, and S. Takahashi, “High
efficient spin transfer torque writing on perpendicular magnetic tunnel junctions for high density MRAMs,” Current Applied Physics,
vol. 10, no. 1, pp. e87–e89, Jan. 2010.
[17] S.-W. S. Chung, K.-M. Rho, S.-D. Kim, H.-J. Suh, D.-J. Kim, H.-J. Kim, S.-H. Lee, J.-H. Park, H.-M. Hwang, S.-M. Hwang, J.-Y. Lee, Y.-B. An,
J.-U. Yi, Y.-H. Seo, D.-H. Jung, M.-S. Lee, S.-H. Cho, Y. Kim, J.-S. Rho, S.-K. Park, J.-G. Jeong, S.-J. Hong, J.-N. Kim, G.-J. Park, A. Driskill-
Smith, V. Nikitin, A. Ong, and X. Tang, “Fully integrated 54nm STT-RAM with the smallest bit cell dimension for high density memory
application,” IEEE International Electron Devices Meeting (IEDM), pp. 12.7.1 – 12.7.4, Dec. 2010.
[18] J. Åkerman, “Toward a universal memory.,” Science (New York, N.Y.), vol. 308, no. 5721, pp. 508–10, Apr. 2005.
[19] A. Fert, “Nobel Lecture: Origin, development, and future of spintronics,” Reviews of Modern Physics, vol. 80, no. 4, pp. 1517–1530,
Dec. 2008.
[20] C. Chappert, A. Fert, and F. N. Van Dau, “The emergence of spin electronics in data storage.,” Nature materials, vol. 6, no. 11, pp. 813–
23, Nov. 2007.
[21] A. Brataas, A. D. Kent, and H. Ohno, “Current-induced torques in magnetic materials.,” Nature materials, vol. 11, no. 5, pp. 372–81,
May 2012.
[22] T. Kawahara, K. Ito, R. Takemura, and H. Ohno, “Spin-transfer torque RAM technology: Review and prospect,” Microelectronics
Reliability, vol. 52, no. 4, pp. 613–627, Apr. 2012.
[23] D. Apalkov, A. Khvalkovskiy, S. Watts, V. Nikitin, X. Tang, D. Lottis, K. Moon, X. Luo, E. Chen, A. Ong, and M. Krounbi, “Spin-Transfer
Torque Random Access Memory ( STT-RAM ),” JETC, accepted for publication, 2012.
[24] I. L. Prejbeanu, M. Kerekes, R. C. Sousa, H. Sibuet, O. Redon, B. Dieny, and J. P. Nozières, “Thermally assisted MRAM,” Journal of
Physics: Condensed Matter, vol. 19, no. 16, p. 165218, 2007.
[25] S. Ikeda, K. Miura, H. Yamamoto, K. Mizunuma, H. D. Gan, M. Endo, S. Kanai, J. Hayakawa, F. Matsukura, and H. Ohno, “A
perpendicular-anisotropy CoFeB-MgO magnetic tunnel junction.,” Nature materials, vol. 9, no. 8, pp. 1–4, Jul. 2010.
[26] D. C. Worledge, G. Hu, D. W. Abraham, J. Z. Sun, P. L. Trouilloud, J. Nowak, S. Brown, M. C. Gaidis, E. J. O’Sullivan, and R. P. Robertazzi,
“Spin torque switching of perpendicular Ta∣CoFeB∣MgO-based magnetic tunnel junctions,” Applied Physics Letters, vol. 98, no. 2, p.
022501, 2011.
[27] M. P. Sharrock, “Measurement and interpretation of magnetic time effects in recording media,” IEEE Transactions on Magnetics, vol.
35, no. 6, pp. 4414–4422, 1999.
[28] D. Bedau, H. Liu, J. Z. Sun, J. a. Katine, E. E. Fullerton, S. Mangin, and a. D. Kent, “Spin-transfer pulse switching: From the dynamic to
the thermally activated regime,” Applied Physics Letters, vol. 97, no. 26, p. 262502, 2010.
[29] R. Heindl, W. H. Rippard, S. E. Russek, M. R. Pufall, and a. B. Kos, “Validity of the thermal activation model for spin-transfer torque
switching in magnetic tunnel junctions,” Journal of Applied Physics, vol. 109, no. 7, p. 073910, 2011.

32
[30] J. Sun, R. Robertazzi, J. Nowak, P. Trouilloud, G. Hu, D. Abraham, M. Gaidis, S. Brown, E. O’Sullivan, W. Gallagher, and D. Worledge,
“Effect of subvolume excitation and spin-torque efficiency on magnetic switching,” Physical Review B, vol. 84, no. 6, p. 064413, Aug.
2011.
[31] D. Bernstein, B. Bräuer, R. Kukreja, J. Stöhr, T. Hauet, J. Cucchiara, S. Mangin, J. Katine, T. Tyliszczak, K. Chou, and Y. Acremann,
“Nonuniform switching of the perpendicular magnetization in a spin-torque-driven magnetic nanopillar,” Physical Review B, vol. 83,
no. 18, pp. 180410(R)1–4, May 2011.
[32] R. Dittrich, T. Schrefl, H. Forster, D. Suess, W. Scholz, and J. Fidler, “Energy barriers in magnetic random access memory elements,”
IEEE Transactions on Magnetics, vol. 39, no. 5 Part 2, pp. 2839–2841, 2003.
[33] R. V. Chepulskii and W. H. Butler, “Ab initio magnetocrystalline anisotropy at nanoscale: The case of FePt,” Applied Physics Letters, vol.
100, no. 14, p. 142405, 2012.
[34] S. V. Barabash, R. V. Chepulskii, V. Blum, and A. Zunger, “First-principles determination of low-temperature order and ground states of
Fe-Ni, Fe-Pd, and Fe-Pt,” Physical Review B, vol. 80, no. 22, pp. 1–4, Dec. 2009.
[35] R. V. Chepulskii and S. Curtarolo, “Revealing low-temperature atomic ordering in bulk Co-Pt with the high-throughput ab-initio
method,” Applied Physics Letters, vol. 99, no. 26, p. 261902, 2011.
[36] M. Yoshikawa, E. Kitagawa, T. Nagase, T. Daibou, M. Nagamine, K. Nishiyama, T. Kishi, and H. Yoda, “Tunnel Magnetoresistance Over
100% in MgO-Based Magnetic Tunnel Junction Films With Perpendicular Magnetic L1 0 -FePt Electrodes,” vol. 44, no. 11, pp. 2573–
2576, 2008.
[37] K. Yakushiji, T. Saruya, H. Kubota, a. Fukushima, T. Nagahama, S. Yuasa, and K. Ando, “Ultrathin Co/Pt and Co/Pd superlattice films for
MgO-based perpendicular magnetic tunnel junctions,” Applied Physics Letters, vol. 97, no. 23, p. 232508, 2010.
[38] H. X. Yang, M. Chshiev, B. Dieny, J. H. Lee, a. Manchon, and K. H. Shin, “First-principles investigation of the very large perpendicular
magnetic anisotropy at Fe|MgO and Co|MgO interfaces,” Physical Review B, vol. 84, no. 5, pp. 1–5, Aug. 2011.
[39] M. T. Johnson, P. J. H. Bloemene, F. J. den Broeder, and J. J. de Vries, “Magnetic anisotropy in metallic multilayers,” Rep. Prog. Phys.,
pp. 1409–1458, 1996.
[40] R. V. Chepulskii, “SEPARATE CONTRIBUTIONS INTO PERPENDICULAR MAGNETIC ANISOTROPY OF,” in preparation.
[41] J. C. Sankey, Y.-T. Cui, J. Z. Sun, J. C. Slonczewski, R. A. Buhrman, and D. C. Ralph, “Measurement of the spin-transfer-torque vector in
magnetic tunnel junctions,” Nature Physics, vol. 4, no. 1, pp. 67–71, 2008.
[42] W. Butler, X.-G. Zhang, T. Schulthess, and J. MacLaren, “Spin-dependent tunneling conductance of Fe|MgO|Fe sandwiches,” Physical
Review B, vol. 63, no. 5, pp. 1–12, Jan. 2001.
[43] D. D. Djayaprawira, K. Tsunekawa, M. Nagai, H. Maehara, S. Yamagata, N. Watanabe, S. Yuasa, Y. Suzuki, and K. Ando, “230% room-
temperature magnetoresistance in CoFeB∕MgO∕CoFeB magnetic tunnel junctions,” Applied Physics Letters, vol. 86, no. 9, p. 092502,
2005.
[44] W. H. Butler, “Tunneling magnetoresistance from a symmetry filtering effect,” Science and Technology of Advanced Materials, vol. 9,
no. 1, p. 014106, Apr. 2008.
[45] D. Wang, C. Nordman, J. M. Daughton, Z. Qian, and J. Fink, “70% TMR at Room Temperature for SDT Sandwich Junctions With CoFeB
as Free and Reference Layers,” IEEE Transactions on Magnetics, vol. 40, no. 4, pp. 2269–2271, Jul. 2004.
[46] S. Ikeda, J. Hayakawa, Y. Ashizawa, Y. M. Lee, K. Miura, H. Hasegawa, M. Tsunoda, F. Matsukura, and H. Ohno, “Tunnel
magnetoresistance of 604% at 300 K by suppression of Ta diffusion in CoFeB∕MgO∕CoFeB pseudo-spin-valves annealed at high
temperature,” Applied Physics Letters, vol. 93, no. 8, p. 082508, 2008.
[47] D. E. Nikonov, G. I. Bourianoff, G. Rowlands, and I. N. Krivorotov, “Strategies and tolerances of spin transfer torque switching,” Journal
of Applied Physics, vol. 107, no. 11, p. 113910, 2010.
[48] R. H. Koch, J. a. Katine, and J. Z. Sun, “Time-Resolved Reversal of Spin-Transfer Switching in a Nanomagnet,” Physical Review Letters,
vol. 92, no. 8, pp. 2–5, 2004.
[49] J. Sun, “Spin-current interaction with a monodomain magnetic body: A model study,” Physical Review B, vol. 62, no. 1, pp. 570–578,
2000.
[50] J. C. Slonczewski, “Currents, torques, and polarization factors in magnetic tunnel junctions,” Physical Review B, vol. 71, no. 2, pp. 1–
10, 2005.
[51] J. Slonczewski and J. Sun, “Theory of voltage-driven current and torque in magnetic tunnel junctions,” Journal of Magnetism and
Magnetic Materials, vol. 310, no. 2, pp. 169–175, 2007.
[52] C. Wang, Y.-T. Cui, J. a. Katine, R. a. Buhrman, and D. C. Ralph, “Time-resolved measurement of spin-transfer-driven ferromagnetic
resonance and spin torque in magnetic tunnel junctions,” Nature Physics, vol. 7, no. 6, pp. 496–501, Feb. 2011.
[53] J. Sun and Ralph D., “Magnetoresistance and spin-transfer torque in magnetic tunnel junctions,” Journal of Magnetism and Magnetic
Materials, vol. 320, no. 7, pp. 1227–1237, Apr. 2008.
[54] Z. Diao, M. Pakala, A. Panchula, Y. Ding, D. Apalkov, L.-C. Wang, E. Chen, and Y. Huai, “Spin-transfer switching in MgO-based magnetic
tunnel junctions (invited),” Journal of Applied Physics, vol. 99, no. 8, p. 08G510, 2006.
[55] J. Slonczewski, “Currents and torques in metallic magnetic multilayers,” Journal of Magnetism and Magnetic Materials, vol. 247, no. 3,
pp. 324–338, 2002.
[56] J. Barnaś, a. Fert, M. Gmitra, I. Weymann, and V. Dugaev, “From giant magnetoresistance to current-induced switching by spin
transfer,” Physical Review B, vol. 72, no. 2, pp. 1–12, Jul. 2005.
[57] S.-C. Oh, S.-Y. Park, A. Manchon, M. Chshiev, J.-H. Han, H.-W. Lee, J.-E. Lee, K.-T. Nam, Y. Jo, Y.-C. Kong, B. Dieny, and K.-J. Lee, “Bias-
voltage dependence of perpendicular spin-transfer torque in asymmetric MgO-based magnetic tunnel junctions,” Nature Physics, vol.
5, no. 12, pp. 898–902, Oct. 2009.
[58] M. Yoshikawa, T. Ueda, H. Aikawa, N. Shimomura, E. Kitagawa, M. Nakayama, T. Kai, K. Nishiyama, T. Nagase, T. Kishi, S. Ikegawa, and
H. Yoda, “Estimation of spin transfer torque effect and thermal activation effect on magnetization reversal in CoFeB∕MgO∕CoFeB
magnetoresistive tunneling junctions,” Journal of Applied Physics, vol. 101, no. 9, p. 09A511, 2007.

33
[59] T. Devolder, J. Hayakawa, K. Ito, H. Takahashi, S. Ikeda, P. Crozat, N. Zerounian, J.-V. Kim, C. Chappert, and H. Ohno, “Single-Shot
Time-Resolved Measurements of Nanosecond-Scale Spin-Transfer Induced Switching: Stochastic Versus Deterministic Aspects,”
Physical Review Letters, vol. 100, no. 5, pp. 2–5, Feb. 2008.
[60] E. Chen, D. Apalkov, Z. Diao, A. Driskill-Smith, D. Druist, D. Lottis, V. Nikitin, X. Tang, S. Watts, S. Wang, S. a. Wolf, a. W. Ghosh, J. W.
Lu, S. J. Poon, M. Stan, W. H. Butler, S. Gupta, C. K. a. Mewes, T. Mewes, and P. B. Visscher, “Advances and Future Prospects of Spin-
Transfer Torque Random Access Memory,” IEEE Transactions on Magnetics, vol. 46, no. 6, pp. 1873–1878, Jun. 2010.
[61] I. Theodonis, N. Kioussis, A. Kalitsov, M. Chshiev, and W. H. Butler, “Anomalous Bias Dependence of Spin Torque in Magnetic Tunnel
Junctions,” Physical Review Letters, vol. 97, no. 23, pp. 2–5, 2006.
[62] P. Levy and A. Fert, “Spin Transfer in Magnetic Tunnel Junctions with Hot Electrons,” Physical Review Letters, vol. 97, no. 9, p. 97205,
2006.
[63] L. Xue, C. Wang, Y.-T. Cui, J. a. Katine, R. a. Buhrman, and D. C. Ralph, “Network analyzer measurements of spin transfer torques in
magnetic tunnel junctions,” Applied Physics Letters, vol. 101, no. 2, p. 022417, 2012.
[64] V. Kamberský, “Spin-orbital Gilbert damping in common magnetic metals,” Physical Review B, vol. 76, no. 13, pp. 1–10, Oct. 2007.
[65] M. Fähnle and C. Illg, “Electron theory of fast and ultrafast dissipative magnetization dynamics.,” Journal of physics. Condensed
matter : an Institute of Physics journal, vol. 23, no. 49, p. 493201, Dec. 2011.
[66] S. Mizukami, D. Watanabe, M. Oogane, Y. Ando, Y. Miura, M. Shirai, and T. Miyazaki, “Low damping constant for Co[sub 2]FeAl
Heusler alloy films and its correlation with density of states,” Journal of Applied Physics, vol. 105, no. 7, p. 07D306, 2009.
[67] K. Lenz, H. Wende, W. Kuch, K. Baberschke, K. Nagy, and a. Jánossy, “Two-magnon scattering and viscous Gilbert damping in ultrathin
ferromagnets,” Physical Review B, vol. 73, no. 14, pp. 1–6, Apr. 2006.
[68] L. Lagae, R. Wirix-Speetjens, W. Eyckmans, S. Borghs, and J. De Boeck, “Increased Gilbert damping in spin valves and magnetic tunnel
junctions,” Journal of Magnetism and Magnetic Materials, vol. 286, pp. 291–296, Feb. 2005.
[69] E. Chen, D. Apalkov, A. Khvalkovskiy, D. Lottis, K. Moon, V. Nikitin, A. Ong, X. Tang, S. Watts, C. K. A. Mewes, P. B. Visscher, and R. A.
Lukaszew, “Progress and Prospects of Spin Transfer Torque Random Access Memory,” pp. 1–7.
[70] G.-M. Choi, I.-J. Shin, B.-C. Min, and K.-H. Shin, “Perpendicular magnetic tunnel junctions with synthetic ferrimagnetic pinned layer,”
Journal of Applied Physics, vol. 108, no. 7, p. 073913, 2010.
[71] W. H. Butler, T. Mewes, C. K. A. Mewes, P. B. Visscher, W. H. Rippard, and S. E. Russek, “Switching Distributions for Perpendicular
Spin-Torque Devices within the Macrospin Approximation,” IEEE Transactions on Magnetics, accepted for publication, no. 99, 2012.
[72] T. Devolder, J. Hayakawa, K. Ito, H. Takahashi, S. Ikeda, J. a. Katine, M. J. Carey, P. Crozat, J. V. Kim, C. Chappert, and H. Ohno,
“Electrical time-domain observation of magnetization switching induced by spin transfer in magnetic nanostructures (invited),”
Journal of Applied Physics, vol. 103, no. 7, p. 07A723, 2008.
[73] D. Apalkov and P. Visscher, “Spin-torque switching: Fokker-Planck rate calculation,” Physical Review B, vol. 72, no. 18, pp. 1–4, Nov.
2005.
[74] J. Brown, William Fuller, “Brown - Thermal fluctuations of a single-domain particle - 1963.pdf,” Physical Review Letters, vol. 130, no. 5,
pp. 1677–1686, 1963.
[75] W. Coffey, D. Crothers, J. Dormann, Y. Kalmykov, E. Kennedy, and W. Wernsdorfer, “Thermally Activated Relaxation Time of a Single
Domain Ferromagnetic Particle Subjected to a Uniform Field at an Oblique Angle to the Easy Axis: Comparison with Experimental
Observations,” Physical Review Letters, vol. 80, no. 25, pp. 5655–5658, Jun. 1998.
[76] W. Rippard, R. Heindl, M. Pufall, S. Russek, and A. Kos, “Thermal relaxation rates of magnetic nanoparticles in the presence of
magnetic fields and spin-transfer effects,” Physical Review B, vol. 84, no. 6, pp. 1–7, Aug. 2011.
[77] J. Schratzberger, J. Lee, M. Fuger, J. Fidler, G. Fiedler, T. Schrefl, and D. Suess, “Validation of the transition state theory with Langevin-
dynamics simulations,” Journal of Applied Physics, vol. 108, no. 3, p. 033915, 2010.
[78] G. Fiedler, J. Fidler, J. Lee, T. Schrefl, R. L. Stamps, H. B. Braun, and D. Suess, “Direct calculation of the attempt frequency of magnetic
structures using the finite element method,” Journal of Applied Physics, vol. 111, no. 9, p. 093917, 2012.
[79] R. Heindl, W. Rippard, S. Russek, and a. Kos, “Physical limitations to efficient high-speed spin-torque switching in magnetic tunnel
junctions,” Physical Review B, vol. 83, no. 5, pp. 1–4, Feb. 2011.
[80] W. H. Butler, T. Mewes, C. K. A. Mewes, P. B. Visscher, W. H. Rippard, S. E. Russek, and R. Heindl, “Switching Distributions for
Perpendicular Spin-Torque Devices Within the Macrospin Approximation,” IEEE Transactions on Magnetics, vol. 48, no. 12, pp. 4684–
4700, 2012.
[81] M. Pakala, Y. Huai, T. Valet, Y. Ding, and Z. Diao, “Critical current distribution in spin-transfer-switched magnetic tunnel junctions,”
Journal of Applied Physics, vol. 98, no. 5, p. 056107, 2005.
[82] H. Liu, D. Bedau, J. Sun, S. Mangin, E. Fullerton, J. Katine, and a. Kent, “Time-resolved magnetic relaxation of a nanomagnet on
subnanosecond time scales,” Physical Review B, vol. 85, no. 22, pp. 1–5, Jun. 2012.
[83] W. Oepts, H. J. Verhagen, W. J. M. de Jonge, and R. Coehoorn, “Dielectric breakdown of ferromagnetic tunnel junctions,” Applied
Physics Letters, vol. 73, no. 16, p. 2363, 1998.
[84] J. Åkerman, P. Brown, M. Deherrera, M. Durlam, E. Fuchs, D. Gajewski, M. Griswold, J. Janesky, J. J. Nahas, S. Member, and A. T.
Barrier, “Demonstrated Reliability of 4-Mb MRAM,” vol. 4, no. 3, pp. 428–435, 2004.
[85] S. Amara, H. Bea, R. C. Sousa, and B. Dieny, “Barrier Breakdown Mechanisms in MgO-Based Magnetic Tunnel Junctions under Pulsed
Conditions,” 2012 4th IEEE International Memory Workshop, pp. 1–4, May 2012.
[86] T. Kagami, T. Kuwashima, N. Hachisuka, N. Kasahara, K. Sato, N. Ota, S. Miura, T. Uesugi, and N. Takahashi, “Extendibility and
Reliability of 100Gbpsi class TuMR Heads,” Dig. 134th Topical Symp. Magnetic Society of Japan, 2004, p. 141, 2004.
[87] J. Song, J. Kim, S. H. Kang, and S. Yoon, “Sensing margin trend with technology scaling in MRAM,” Int. J. Circ. Theor. Appl., vol. 39, no.
March 2010, pp. 313–325, 2011.
[88] D. Abraham, P. Trouilloud, and D. Worledge, “Rapid-turnaround characterization methods for MRAM development,” IBM Journal of
Research and Development, vol. 50, no. 1, p. 67, 2006.
[89] H. Lee, Y.-H. a. Wang, C. K. a. Mewes, W. H. Butler, T. Mewes, S. Maat, B. York, M. J. Carey, and J. R. Childress, “Magnetization
relaxation and structure of CoFeGe alloys,” Applied Physics Letters, vol. 95, no. 8, p. 082502, 2009.

34
[90] Y. Huai, M. Pakala, Z. Diao, and Y. Ding, “Spin transfer switching current reduction in magnetic tunnel junction based dual spin filter
structures,” Applied Physics Letters, vol. 87, no. 22, p. 222510, 2005.
[91] Z. Diao, A. Panchula, Y. Ding, M. Pakala, S. Wang, Z. Li, D. Apalkov, H. Nagai, A. Driskill-Smith, L.-C. Wang, E. Chen, and Y. Huai, “Spin
transfer switching in dual MgO magnetic tunnel junctions,” Applied Physics Letters, vol. 90, no. 13, p. 132508, 2007.
[92] D. Apalkov, V. Nikitin, S. M. Watts, X. Tang, D. Lottis, A. Khvalkovskiy, K. Moon, R. Kawakami, X. Luo, E. Chen, A. Ong, and M. Krounbi,
“Novel Ultra-Thin Dual MTJ for STT-RAM,” in 56th Magnetism and Magnetic Materials Conference, p. 85, 2011.
[93] A. D. Kent, B. Özyilmaz, and E. del Barco, “Spin-transfer-induced precessional magnetization reversal,” Applied Physics Letters, vol. 84,
no. 19, p. 3897, 2004.
[94] H. Liu, D. Bedau, D. Backes, J. a. Katine, and a. D. Kent, “Precessional reversal in orthogonal spin transfer magnetic random access
memory devices,” Applied Physics Letters, vol. 101, no. 3, p. 032403, 2012.
[95] J. Z. Sun, M. C. Gaidis, E. J. O’Sullivan, E. a. Joseph, G. Hu, D. W. Abraham, J. J. Nowak, P. L. Trouilloud, Y. Lu, S. L. Brown, D. C.
Worledge, and W. J. Gallagher, “A three-terminal spin-torque-driven magnetic switch,” Applied Physics Letters, vol. 95, no. 8, p.
083506, 2009.
[96] A. P. Pyatakov and A. K. Zvezdin, “Magnetoelectric and multiferroic media,” Physics-Uspekhi, vol. 55, no. 6, pp. 557–581, Jun. 2012.
[97] M. Bibes, “Nanoferronics is a winning combination.,” Nature materials, vol. 11, no. 5, pp. 354–7, May 2012.
[98] T. Maruyama, Y. Shiota, T. Nozaki, K. Ohta, N. Toda, M. Mizuguchi, A. A. Tulapurkar, T. Shinjo, M. Shiraishi, S. Mizukami, Y. Ando, and
Y. Suzuki, “Large voltage-induced magnetic anisotropy change in a few atomic layers of iron,” Nature nanotechnology, vol. 4, no.
March, pp. 158–161, 2009.
[99] T. Nozaki, Y. Shiota, S. Miwa, S. Murakami, F. Bonell, S. Ishibashi, H. Kubota, K. Yakushiji, T. Saruya, A. Fukushima, S. Yuasa, T. Shinjo,
and Y. Suzuki, “Electric-field-induced ferromagnetic resonance excitation in an ultrathin ferromagnetic metal layer,” Nature Physics,
vol. 8, no. 6, pp. 492–497, Apr. 2012.
[100] Y. Shiota, T. Nozaki, F. Bonell, S. Murakami, T. Shinjo, and Y. Suzuki, “Induction of coherent magnetization switching in a few atomic
layers of FeCo using voltage pulses.,” Nature materials, vol. 11, no. 1, pp. 39–43, Jan. 2012.
[101] W.-G. Wang, M. Li, S. Hageman, and C. L. Chien, “Electric-field-assisted switching in magnetic tunnel junctions.,” Nature materials, vol.
11, no. 1, pp. 64–8, Jan. 2012.
[102] I. M. Miron, K. Garello, G. Gaudin, P.-J. Zermatten, M. V. Costache, S. Auffret, S. Bandiera, B. Rodmacq, A. Schuhl, and P. Gambardella,
“Perpendicular switching of a single ferromagnetic layer induced by in-plane current injection.,” Nature, vol. 476, no. 7359, pp. 189–
93, Aug. 2011.
[103] L. Liu, C.-F. Pai, Y. Li, H. W. Tseng, D. C. Ralph, and R. a Buhrman, “Spin-torque switching with the giant spin Hall effect of tantalum.,”
Science (New York, N.Y.), vol. 336, no. 6081, pp. 555–8, May 2012.
[104] G. E. W. Bauer, E. Saitoh, and B. J. van Wees, “Spin caloritronics.,” Nature materials, vol. 11, no. 5, pp. 391–9, May 2012.
[105] D. Pesin and A. H. MacDonald, “Spintronics and pseudospintronics in graphene and topological insulators.,” Nature materials, vol. 11,
no. 5, pp. 409–16, May 2012.
[106] C. Brüne, A. Roth, H. Buhmann, E. M. Hankiewicz, L. W. Molenkamp, J. Maciejko, X.-L. Qi, and S.-C. Zhang, “Spin polarization of the
quantum spin Hall edge states,” Nature Physics, vol. 8, no. 6, pp. 486–491, May 2012.
[107] B. Dlubak, M.-B. Martin, C. Deranlot, B. Servet, S. Xavier, R. Mattana, M. Sprinkle, C. Berger, W. a. De Heer, F. Petroff, A. Anane, P.
Seneor, and A. Fert, “Highly efficient spin transport in epitaxial graphene on SiC,” Nature Physics, vol. 8, no. 7, pp. 557–561, Jun. 2012.

35

View publication stats

You might also like