You are on page 1of 4

A brief review on memristive-based digital and analog applications

Cesar de S. Dias

Universidade Federal do Rio Grande do Sul, Porto Alegre, Brazil


cesar.dias@ufrgs.edu.br

Abstract—In the face of the increasingly technical challenges the implementation of Boolean functions, some with univer-
imposed by the approaching of the limits of the CMOS technol- sal coverage and others limited to some specific operations.
ogy scaling, emerging devices have been considered as an alter- This Section intends to give an overall insight of the main
native to break this paradigm. In this scenario, memristive de-
contributions in this field of research.
vices have attracted the attention of the scientific community in
the last years as potential candidates to play a leading role in
A. Imply Logic
the next generation of VLSI circuits. A substantial effort has
been devoted to the development of several memristive based Material implication is a logical operation represented as
applications, which includes high-density nonvolatile memo- p → q or p IMP q, meaning “p implies q” or “if p then q”.
ries, digital and analog circuits, as well as bio-inspired compu-
By observing the truth table of this function, in Fig. 1a, it is
ting. In this context, this paper presents a brief review of some
important design techniques used in memristive-based digital possible to notice that the operation p → q is logically equiv-
and analog applications. alent to the expression 𝑝 + 𝑞. For this reason, this function
can be represented by the symbol shown in Fig. 1b. An im-
Index Terms— memristive devices; VLSI circuits; applica- portant feature of the IMP function is that, along with the
tions. FALSE operation (that always yields logic value ‘0’), it com-
poses a complete computational set that can be used to per-
I. INTRODUCTION form any logic operation. As shown in [6], the implementa-
tion of this function can be performed by the circuit shown
The downscaling of CMOS technology has been unceas-
in Fig. 2.
ingly used over the last decades to achieve higher encapsu-
lation density, faster circuits and to lower power consump-
tion [1]. Unfortunately, it has become harder to sustain this
tendency, once the dimensions of the MOSFETs are already
under the nanometric regime [2]. This adversity resulted in a
favorable scenario for the exploration of alternative compu-
tational architectures based on the use of emerging technol-
ogies, either alone or in a complementary way to CMOS Fig. 1. (a) Truth table of p → q. (b) Symbol of IMPLY logic gate.
technology, aiming to supply the increasing demand for per-
formance in several types of applications, like Big Data and
Internet of Things [3]. Within the group of candidates with
this profile are the memristors. Among the outstanding char-
acteristics of these elements, it can be mentioned its non-vol-
atility, good scalability, absence of leakage currents and
compatibility with CMOS technology [4]. This set of fea-
tures enables the exploitation of memristors in a variety of
applications in VLSI system design, including non-volatile
high-density integration memories, digital logic circuits, an-
alog circuits, and even neuromorphic systems [5].
In Section II, some notable approaches adopted to imple-
ment digital logic are discussed. Section III covers remarka- Fig. 2. (a) Circuit proposed in [6] for the implementation of memristive ma-
ble techniques employed in memristive-based analog design. terial implication. (b) Polarity convention for increasing/decreasing re-
sistance.
Section IV contains the final remarks.
The logical variables of this structure are the resistances
of P and Q, so that a logic level '1' corresponds to a low re-
II. DIGITAL LOGIC DESIGN sistance state 𝑅𝑂𝑁 and a level '0' corresponds to a high re-
Memristors provide the unique opportunity to either sup- sistance value 𝑅𝑂𝐹𝐹 . The computation of the imply operation
plement or replace CMOS technology. This Section will ex- is performed by applying voltages VCOND and VSET to P and
plore the potential of memristors in digital applications. Q, respectively. It should be noted that the input memristors
There is a large amount of memristor-based methods and at the beginning of the operation are P and Q, and the output
circuits focused on performing logic operations. This broad memristor at the end of the operation is Q (the input value of
spectrum of propositions includes different philosophies for Q may be destroyed). In addition, the following conditions
must be met to ensure the operation of a material implication:
𝑉𝐶𝑂𝑁𝐷 < 𝑉𝐶 < 𝑉𝑆𝐸𝑇 , (1) the voltage (current) over (across) the output memristor to be
(𝑉𝑆𝐸𝑇 − 𝑉𝐶𝑂𝑁𝐷 ) < 𝑉𝐶 , (2) lower than the threshold voltage (current). Hence, the logical
𝑅𝑂𝑁 < 𝑅𝐺 < 𝑅𝑂𝐹𝐹 , (3) state of the output memristor does not change and remains at
logical one. For other input combinations, the voltage/cur-
where VC is the critical voltage, a minimum value required rent is greater than the memristor threshold voltage/current.
to change the state of the memristor. The polarity shown in The logical state of the output memristor for these input com-
Fig. 2b is assumed. When computing an implication, the cur- binations switches to logical zero.
rent direction across a memristor can only be from top to bot- MAGIC and Imply Logic are memristive-based design
tom. So, whenever Q starts at ‘1’, that state will remain un- approaches that can be integrated within a crossbar array and
changed (combinations “01” and “11”). When P = ‘0’ and Q enable in-memory-computing, i.e., simultaneous processing
= ‘0’, most of the 𝑉𝐶𝑂𝑁𝐷 and 𝑉𝑆𝐸𝑇 voltages will fall on their and storage of data by the same circuit [9] .
respective memristors, switching Q to ‘1’ and keeping P at
‘0’. Lastly, when P = ’1’ and Q = ’0’, V G will be approxi- C. MRL
mately 𝑉𝐶𝑂𝑁𝐷 and the voltage over Q will be VSET-VCOND,
MRL (Memristor Ratioed Logic) family [10] combines
which is insufficient to cause a resistance switching in this
memristive and CMOS technologies. In this logical family,
device. Laiho and Lehtonen [7] showed the extension of this
the AND and OR gates are implemented only with memris-
operation to multiple input memristors.
tors. These gates are combined with a CMOS inverter to
B. MAGIC form the NAND and NOR gates, which are universal Bool-
ean functions. The NOT gate also serves to restore degraded
An important memristor-only logic family was proposed signals. An overview of such implementations is provided in
in [8]. In this method, called MAGIC (Memristor-Aided Fig. 4 . The following explanation regarding the operation of
logic), a logic gate is built with an individual memristor for these gates is based on the polarity convention depicted in
each of its inputs and an additional memristor for the output. Fig. 2b and covers AND/OR gates. When the inputs have
As in the imply logic, logical values are stored through re- identical logic levels, there is no current flowing through the
sistance states. The schematic circuits corresponding to the memristors and, therefore, there is also no resistance varia-
implementation of the AND, NAND, OR, NOR and NOT tion in these devices. Thus, VOUT follows the input values in
gates in this logic family are shown in Fig. 3. these cases. However, when the inputs are different, there
The description of the operation of a MAGIC gate will be will be a current flow from the VHIGH voltage terminal (level
based on the same polarity convention shown in Fig. 2b and ‘1’) to the VLOW terminal (level ‘0’), ensuring complemen-
divided into two steps. The first step consists in the initiali- tary resistance states in the devices. The output voltage will
zation of the output memristor to a specific logical state. In be determined by a voltage divider. Assuming R OFF ≫ R ON ,
the second step, a voltage V0 is applied to the circuit (see in if the grounded memristor is in the R OFF state, then VOUT ≅
Fig. 3) and is divided between its components. For some in- VHIGH . If it is in the R ON state, then VOUT ≅ 0 V. The number
put combinations, the voltage drop across the output memris-
of inputs can be extended by connecting more memristors to
tor will be sufficient to surpass a certain threshold value and, the common node, similar to a logic with diodes.
consequently, change its logical state. For other input com-
binations, this will not happen and the output memristor re-
mains at its pre-established state.
For a NOR gate, the first step is to write logic level ‘1’ on
the output memristor and, if necessary, write the input values
on the memristors In1 and In2. After that, the computation is
performed by applying a voltage V0 to the circuit, as shown
in Fig. 3a.

Fig. 4. Schematic of the MRL gates. a) OR gate. b) AND gate. c) NOR


gate. d) NAND gate.

The scope of alternatives for the design of memristive


logic circuits also includes notable techniques such as Pro-
grammable CMOS/Memristor Threshold Logic [11],
CMOS-like Memristor Complementary Logic [12], Parallel
Fig. 3. (a) MAGIC logic gates of (a) NOR, (b) OR, (c) NAND, (d) AND Input-Processing Memristor Logic [13] and others.
and (e) NOT. In addition, several studies employ memristive devices in
the development of alternative versions of classic circuits,
Assuming ROFF >> RON, when the input combination is such as full-adders [14], Look-Up Tables (LUTs) [15], sense
“00”, the equivalent resistance of In1 || In2 is ROFF/2, causing amplifiers and majority voters [16].
III. ANALOG APPLICATIONS can be applied to transimpedance amplifiers (TIA) to per-
form the conversion to voltage values. This technique pro-
Memristors with gradual resistance variation can be ex- vides essential support for the development of several appli-
ploited in several analog circuit implementations. Pershin cations.
and Di Ventra presented in [17] a few examples of memris- In this context, one of the most promising alternatives
tive-based programmable analog circuits, including a voltage consists of neuromorphic computing. This concept describes
comparator, a non-inverting amplifier and a Schmitt trigger. the use of VLSI systems to mimic the nervous system in the
There are also reports of the use of memristors in different brain. This system is fundamentally composed by neurons
types of oscillators, such as Wien bridge oscillator [18]. As- and synapses, and the interconnections between these ele-
coli et al. [19] bring an insight on adaptable filtering design ments form the so-called neural networks. A neuron can be
with memristors, presenting a first-order low-pass filter with roughly described as a processing unit that integrates the in-
tunable cutoff frequency and a second-order band-pass filter puts coming from other neurons and generates action poten-
with tunable quality factor. Potrebić et al. [20] analyze the tials (spikes) as a result. The synapses are adaptive memory
application of memristors in several RF/microwave circuits, elements that change their connection strength (or weight) as
such as the Wilkinson power dividers, antennas, frequency a result of neuronal activity, which is known as synaptic plas-
selective surfaces, to name only a few. ticity. This mechanism is believed to underlie learning and
By exploring cumulative resistance variations in phase- memory of the biological brain. In order to mimic the mas-
change memristors, Wright et al. [21] demonstrated that an- sive parallelism inherent to the nervous system, scalability
alog computation is able to carry out the full set of arithmetic and ultra-low power consumption are key factors for this
operations (addition, subtraction, multiplication, division), kind of application. In this context, this area of research has
besides being able to handle other complex tasks such as par- shown great interest in memristive technology, since these
allel factorization and fractional division. There is also in the devices not only meet these requirements but also have a pro-
group of analog implementations a primary application for grammable resistance quite similar to the plasticity of a bio-
computational systems, which is the vector-by-matrix multi- logical synapse.
plication. This task can be performed in a single step from a The neuromorphic computing is usually implemented in
memristive crossbar array, as shown in Fig. 5. a crossbar configuration, as shown in Fig. 6a.

Fig. 6. (a) Typical crossbar architecture of a SNN with memristor synapses


connecting neurons. (b) Excitation of a matrix synapse by pre and post
spikes separated by a Δt interval. (c) STDP learning curve [22].

In this structure, every neuron in the pre-neuron layer


(vertical lines) is connected to every neuron in the post-neu-
ron layer (horizontal lines) with individual memristive syn-
Fig. 5. A memristor crossbar array for vector-by-matrix multiplication. aptic weights (resistance). These spiking neural networks
(SNNs) can be trained to perform several tasks, using a set
In a simplified way, this operation can be described using of different learning rules/algorithms. Learning rules de-
the following multiplication as an example: scribe changes in synaptic plasticity, that is, they determine
𝐵1xM = x1xN × ANxM (4) when the strength of the connections increases or decreases.
To perform this operation, the elements of vector x will There is a considerable amount of learning rules. As an ex-
be converted into a set of input voltage signals and the ele- ample, an experimentally demonstration of the Spiking-Time
ments of matrix A will be mapped as conductances of the Dependent Plasticity (STDP) learning rule was presented in
memristors in the crossbar. Then, by applying the voltage [23]. In this mechanism, the synapse weight changes accord-
vector to the matrix rows, these components will be intrinsi- ing to an exponentially decaying function of the delay time
cally multiplied by the column elements (memristor conduct- between the pre-synaptic and pos-synaptic spikes, as shown
ances) following Ohm's law, and the sum of the currents in Fig. 6b. When a pre-spike precedes a post-spike, Δt = tpost
through each column will naturally be computed according - tpre is positive and the weight increases (see in Fig. 6c);
to the KCL rule. For an arbitrary column α, the current is when this sequence is reversed, Δt is negative and the weight
given by: decreases.
𝑁 (5) Another topic with special emphasis in studies related to
𝐼𝛼 = ∑ 𝑉𝑘𝑖𝑛 × 𝐺𝑘,𝛼 , this area of interest is neural behavior. Although there is a
𝑘=1 large amount of CMOS implementations of artificial
where Vkin is the voltage input vector and 𝐺𝑘,𝛼 is the con- neurons [24], these circuits usually require a large number
ductance vector for the column α. The output current vector
of transistors. Thus, memristor-based neurons have been pro- [12] I. Vourkas and G. C. Sirakoulis, "A novel design and modeling
paradigm for memristor-based crossbar circuits," IEEE
posed to simplify the circuits. For instance, the electrical cir- Transactions on Nanotechnology, vol. 11, no. 6, pp. 1151-1159,
cuit of the classic Hodgkin-Huxley model was redesigned in 2012.
[25]. Other notable contributions based on memristors adapt [13] G. Papandroulidakis, I. Vourkas, N. Vasileiadis, and G. C.
Sirakoulis, "Boolean logic operations and computing circuits
in hardware the behavior of classic models like Morris-Lecar
based on memristors," IEEE Transactions on Circuits and
[26], FitzHugh-Nagumo [27] and Hindmarsh-Rose [28]. In Systems II: Express Briefs, vol. 61, no. 12, pp. 972-976, 2014.
addition, there are also reports of memristive-based inte- [14] G. Liu, L. Zheng, G. Wang, Y. Shen, and Y. J. I. A. Liang, "A
grate-and-fire (I&F) neurons [29]. carry lookahead adder based on hybrid CMOS-memristor logic
circuit," vol. 7, pp. 43691-43696, 2019.
Interesting applications have been exploited in neuromor- [15] T. N. Kumar, H. A. Almurib, and F. Lombardi, "Design of a
phic computing. Prezioso et al. [30] realized the pattern clas- memristor-based look-up table (LUT) for low-energy operation
sification of 3x3 pixel black/white images into three classes. of FPGAs," Integration, vol. 55, pp. 1-11, 2016.
[16] M. Slimani, P. Butzen, L. Naviner, Y. Wang, and H. Cai,
Truong et al. [31] developed a system for speech recognition "Reliability analysis of hybrid spin transfer torque magnetic
of five vowels. Moreover, Choi et al. [32] used a memristive tunnel junction/CMOS majority voters," Microelectronics
network to perform feature extraction and analyze sensory Reliability, vol. 64, pp. 48-53, 2016.
[17] Y. V. Pershin and M. Di Ventra, "Practical approach to
data from a standard breast cancer screening database. programmable analog circuits with memristors," IEEE
Transactions on Circuits and Systems I: Regular Papers, vol. 57,
IV. CONCLUSION no. 8, pp. 1857-1864, 2010.
[18] A. Talukdar, A. G. Radwan, and K. N. Salama, "Generalized
This paper aimed to summarize some of the important ap- model for memristor-based Wien family oscillators,"
Microelectronics Journal, vol. 42, no. 9, pp. 1032-1038, 2011.
plications related to digital and analog circuit design with [19] A. Ascoli, R. Tetzlaff, F. Corinto, M. Mirchev, and M. Gilli,
memristors. These devices represent one of the most prom- "Memristor-based filtering applications," in 2013 14th Latin
ising alternatives to replace or complement the standard American Test Workshop-LATW, 2013, pp. 1-6: IEEE.
[20] M. Potrebić, D. Tošić, and D. Biolek, "RF/microwave
CMOS. It was discussed throughout the paper how memris- applications of memristors," in Advances in memristors,
tors features can be exploited in many digital and analog ap- memristive devices and systems: Springer, 2017, pp. 159-185.
plications, including neuromorphic computing. While many [21] C. D. Wright, P. Hosseini, and J. A. V. J. A. F. M. Diosdado,
"Beyond von‐Neumann computing with nanoscale phase‐change
challenges remain to be addressed, memristors are potential memory devices," Advanced Functional Materials, vol. 23, no.
candidates to VLSI design in beyond-CMOS era. Advances 18, pp. 2248-2254, 2013.
are continuously presented in the literature, and this paper [22] X. Wu, V. Saxena, and K. Zhu, "A CMOS spiking neuron for
dense memristor-synapse connectivity for brain-inspired
sought to bring a brief insight regarding the many design ap- computing," in 2015 International Joint Conference on Neural
proaches based on this technology. Networks (IJCNN), 2015, pp. 1-6: IEEE.
[23] S. H. Jo, T. Chang, I. Ebong, B. B. Bhadviya, P. Mazumder, and
W. Lu, "Nanoscale memristor device as synapse in
REFERENCES
neuromorphic systems," Nano letters, vol. 10, no. 4, pp. 1297-
1301, 2010.
[1] Y. Taur et al., "CMOS scaling into the nanometer regime,"
[24] C. Sung, H. Hwang, and I. K. J. J. o. A. P. Yoo, "Perspective: A
Proceedings of the IEEE, vol. 85, no. 4, pp. 486-504, 1997.
review on memristive hardware for neuromorphic computation,"
[2] K. J. Kuhn, "Considerations for Ultimate CMOS Scaling," IEEE
vol. 124, no. 15, p. 151903, 2018.
Transactions on Electron Devices, vol. 59, no. 7, pp. 1813-1828,
[25] L. Chua, V. Sbitnev, and H. Kim, "Hodgkin–Huxley axon is
2012.
made of memristors," International Journal of Bifurcation
[3] S. Hamdioui et al., "Memristor for computing: Myth or reality?,"
Chaos, vol. 22, no. 03, p. 1230011, 2012.
in 2017 Design, Automation & Test in Europe Conference &
[26] A. Amirsoleimani, M. Ahmadi, and A. Ahmadi, "STDP-based
Exhibition (DATE), 2017, pp. 722-731: IEEE.
unsupervised learning of memristive spiking neural network by
[4] J. J. Yang, D. B. Strukov, and D. R. Stewart, "Memristive
Morris-Lecar model," in 2017 International Joint Conference on
devices for computing," Nature nanotechnology, vol. 8, no. 1, p.
Neural Networks (IJCNN), 2017, pp. 3409-3414: IEEE.
13, 2013.
[27] J. Zhang and X. Liao, "Synchronization and chaos in coupled
[5] P. Mazumder, S.-M. Kang, and R. J. P. o. t. I. Waser,
memristor-based FitzHugh-Nagumo circuits with memristor
"Memristors: devices, models, and applications," vol. 100, no. 6,
synapse," AEU-International Journal of Electronics And
pp. 1911-1919, 2012.
Communications, vol. 75, pp. 82-90, 2017.
[6] J. Borghetti, G. S. Snider, P. J. Kuekes, J. J. Yang, D. R. Stewart,
[28] B. Bao, A. Hu, H. Bao, Q. Xu, M. Chen, and H. Wu, "Three-
and R. S. Williams, "‘Memristive’switches enable ‘stateful’logic
dimensional memristive Hindmarsh–Rose neuron model with
operations via material implication," Nature, vol. 464, no. 7290,
hidden coexisting asymmetric behaviors," Complexity, vol.
pp. 873-876, 2010.
2018, 2018.
[7] M. Laiho and E. Lehtonen, "Cellular nanoscale network cell with
[29] M. Al-Shedivat, R. Naous, G. Cauwenberghs, K. N. J. I. j. o. E.
memristors for local implication logic and synapses," in Circuits
Salama, s. t. i. circuits, and systems, "Memristors empower
and Systems (ISCAS), Proceedings of 2010 IEEE International
spiking neurons with stochasticity," vol. 5, no. 2, pp. 242-253,
Symposium on, 2010, pp. 2051-2054: IEEE.
2015.
[8] S. Kvatinsky et al., "MAGIC—Memristor-aided logic," IEEE
[30] M. Prezioso, F. Merrikh-Bayat, B. Hoskins, G. Adam, K. K.
Transactions on Circuits and Systems II: Express Briefs, vol. 61,
Likharev, and D. B. Strukov, "Training and operation of an
no. 11, pp. 895-899, 2014.
integrated neuromorphic network based on metal-oxide
[9] N. Talati, S. Gupta, P. Mane, and S. J. I. T. o. N. Kvatinsky,
memristors," Nature, vol. 521, no. 7550, pp. 61-64, 2015.
"Logic design within memristive memories using memristor-
[31] S. N. Truong, S.-J. Ham, and K.-S. Min, "Neuromorphic
aided loGIC (MAGIC)," vol. 15, no. 4, pp. 635-650, 2016.
crossbar circuit with nanoscale filamentary-switching binary
[10] S. Kvatinsky, N. Wald, G. Satat, A. Kolodny, U. C. Weiser, and
memristors for speech recognition," Nanoscale Research
E. G. Friedman, "MRL—memristor ratioed logic," in Cellular
Letters, vol. 9, no. 1, p. 629, 2014.
Nanoscale Networks and Their Applications (CNNA), 2012 13th
[32] S. Choi, J. H. Shin, J. Lee, P. Sheridan, and W. D. Lu,
International Workshop on, 2012, pp. 1-6: IEEE.
"Experimental demonstration of feature extraction and
[11] L. Gao, F. Alibart, and D. B. Strukov, "Programmable
dimensionality reduction using memristor networks," Nano
CMOS/memristor threshold logic," IEEE Transactions on
Letters, vol. 17, no. 5, pp. 3113-3118, 2017.
Nanotechnology, vol. 12, no. 2, pp. 115-119, 2013.

You might also like