You are on page 1of 431

微 電 子 學 (上)

Microelectronics: Part I

張文清
Vincent Chang

美國柏克萊加州大學繼續教育學院
University of California, Berkeley Extension
Berkeley, California

中國上海交通大學密西根學院

University of Michigan--Shanghai Jiao Tong University Joint Institute


Shanghai, China

鼎茂圖書出版有限公司
Tingmao Presss
謹將本書獻給我的最愛
秋鶯(Jennifer)、柏遠(Brian)、智森(Jason)
母親 陳淑貞
大哥 張旭
大姊 張珍
弟弟 張文豪(Calvin)
以及已過世的父親 張軍
張文清博士目前擔任美國柏克萊加州大學 IC 設計證照首席主講以及中國
上海交通大學密西根學院企業關係總監,同時也是美國專業網路線上教育公司
Knowledge Master Inc.的創辦人。他在台灣任職電機工程副教授十年,在微
電子、半導體、類比、以及混合信號 IC 設計領域有 20 年以上的豐富教學經
驗。在台灣、美國、中國、包括加州大學柏克萊分校、加州大學聖地牙哥分校、
IEEE 教育學程、以及上海交通大學培育超過三萬名工程師和學生。

Knowledge Master 獨創的網路中英雙語教學模式榮獲柏克萊加州大學採


用。2007 年至今,他協助柏克萊加州大學發展先進網路教學及雙語 IC 設計
證照, 並獲得柏克萊加大工學院教授和亞太區及美國主要半導體產業領袖的
支持。台灣聯電名譽副董事長宣明智表示,這項"獨步全球的柏克萊半導體 IC
設計學程,為專業網路教育樹立新的高標準"。台積電副董事長曾繁城博士則
認為 "柏克萊 IC 設計學程橫跨美國、台灣、和中國,提供在職人士創新的國
英雙語發音教學"。目前攻讀證照學程的專業人士及學生來自全美各州、台灣、
中國、印度、英國、德國、義大利、以色列及世界各地。

2010 年至今,他致力於協助中國上海交通大學密西根學院發展企業合
作 , 包 括 主 辦 美 國 柯 惠 醫 療 (Covidien) 的 企 業 創 新 和 領 導 力 培 訓 課 程
(Innovation Insight)。同時主持多項創新計劃,合作跨國企業包括英特爾
(Intel)、西門子(Siemens)、國家儀器(National Instruments)、飛利浦(Philips)、
都福(Dover)。

張博士出版 14 本微電子領域教科書,發表 40 篇國際期刊和會議論文。


近十年來大中華區(Greater China)在微電子工業方面蓬勃發展,其中包括
台灣以台北內湖、新竹、台中、台南和高雄五大科學園區串聯而成的科技島已
具相當規模,中國在上海、西安、無錫、北京、成都、杭州及深圳建立七個國
家級 IC 設計產業化基地。以上海為例,以浦東張江高科技園區為核心、紫竹
科學園區、金橋出口加工區和外高橋保稅區為延伸的微電子產業策略已將上海
打造成中國 IC 設計的第一重鎮。可以肯定的是,二十一世紀的大中華區將更
需要大量微電子與 IC 設計方面的人才,同時台灣與中國未來在微電子工業的
國際舞台上將持續扮演重要的角色。

為因應高科技時代的來臨,欲成為專業的微電子及 IC 設計工程師或相關
從業人員,就必須具備微電子學方面的基本知識與分析能力。本書正是專門針
對電機、電子、機械等工程科系或物理、化學等自然學科的大學部學生所撰寫
的微電子學教材。甚至對於大中華區的電子、IC 設計工程師或半導體業界專
業人士而言,如果希望在半導體元件與技術、數位與類比積體電路等領域進修
並取得國際頂尖一流大學—柏克萊加大—IC 設計證照(Certificate),使用本工
具書將大幅提升學習成效。

本書主要是根據我在台灣、美國以及中國數所大學教授半導體、微電子學
以及數位與類比 IC 設計時所用的教材,經過近二十五年不斷的修正撰寫而
成。在這段時間,微電子產業的研究發展也有極大的改變,於是我在書中配合
電子科技的進步,加入了一些最新的電路與技術,以符合時勢所需。

本書的架構主要由半導體元件、類比電路及數位電路三大部分完成,分成
上、下冊,其中上冊內容如下:第 1 章介紹電子電路的基本概念,其中分析
電路所必須具備的基本電路常識及分析技巧都將在本章中作說明。第 2 章介
紹運算放大器,針對運算放大器的基本特性、理想和非理想運算放大器之分析
方法作說明。第 3 章介紹半導體二極體,說明半導體材料之物理特性,並延
伸至 pn 接面、齊納和蕭基二極體的基本特性及其應用。第 4 章介紹雙載子接
面電晶體,對 BJT 工作原理、電流電壓特性曲線及主動區和飽和區的行為等
重要單元作一詳細介紹。第 5 章介紹場效電晶體,將針對 MOSFET 工作原理、
交流小訊號模型、與共源、共汲、共閘等放大器作介紹。第 6 章介紹積體電
路放大器,從 BJT 和 MOS 電流源、差動放大器的差模與共模觀念開始,再
擴展至 CMOS 與 BiCMOS 放大器。第 7 章介紹頻率響應,透過本章,讀者可
知當操作頻率延伸至低頭或高頻時放大器的電路表現。

下冊內容如下:第 8 章介紹回授與穩定度,內容分為三部份--四種低頻回
授組態的分析,以波德圖分析回授系統的穩定度,以及欲使回授系統在指定增
益條件下穩定的四種頻率補償技術。第 9 和第 10 章分別為輸出級和類比積體
電路,包括三種基本輸出級的特性分析,741 運算放大器內部電路分析,CMOS
和串疊式 CMOS 運算放大器,D/A 和 A/D 轉換器。第 11 章是濾波器,分析
的電路計有七種被動式 LRC 濾波器,無電感的多級放大器二階濾波技術,單
一放大器二階濾波器以及切換電容技術。第 12 章介紹波形產生器,包括正弦
振盪器,雙穩態複振器,以及分別利用 opamp,555 計時器和 CMOS 合成的
多種無穩態與單穩態複振器電路。第 13 章是金氧半數位電路,內容論及各式
NMOS 反相器,假 NMOS 和 CMOS 反相器,NMOS 和 CMOS 邏輯電路,
NMOS 和 CMOS 傳輸閘及動態 CMOS 邏輯。第 14 章為雙載子數位電路,包
括早期邏輯電路之回顧及其演進,TTL、ECL 和 BiCMOS 電路特性及應用。
在二十五年的教學經驗中,發現同學在修習電子學時,最難掌握的首先就
是各種固態電子元件的基本原理與物理觀念,例如:BJT 與 FET 的電流傳導
機構;同樣式 n-p-n 結構,為什麼有時稱它 npn BJT?有時又稱 p-通道 JFET?
以及兩個背對背串接的 pn 二極體為何不能作為一 npn 或 pnp 電晶體?元件基
本觀念的建立非常重要,它可以為後續數位電路的直流模型以及類比電路的交
流模型奠定深厚的基礎。其次,同學困惑的是類比電路分析,像是在一複雜電
路中如何掌握頻率響應的關鍵?若遭遇回授時,如何處理真實與理想間的差
距?再者,對於數位電路的分析而言,如何分析靜態操作時各元件的狀態?如
何分析各元件切換時,對電路動態特性所造成的影響。

綜合以上經驗所述,撰寫本書時曾特別考慮學習者的立場,採用一般大中
華區學習者最容易接受的方式書寫,其主要特色為特別重視微電子元件與電子
電路操作特性的基本分析與重要觀念的閘述。此外,就本書的文字敘述部分,
架構分明、層次井然有序,且每一章均有例題的演算和分析與其配合,另外,
在每一章的最後均附有針對本章範圍的練習題,可供讀者在閱讀完本章之後,
檢視自己所具備的分析能力。

本書的完成首先要感謝台灣知識庫(股)董事長邱昌其長期的信任與支
持。感謝鼎茂圖書出版(股)社長陳銘桐、責任編輯李世純及全體同仁的鼎力相
助。感謝佩珊於數年前對於出版本書的建議、統籌策劃與雙色印刷的規格制
定,感謝惠玉一年來辛苦細心的校稿與協助編輯,本書中的中英文索引是由他
負責完成的,感謝哲民於數據整理和題型研究等方面的協助。感謝一版責任編
輯貞妤、打字排版櫻華和電腦製圖蘇桂美三人的密切配合,承擔壓力與付出耐
心。此外,感謝過去我所教過的學生在課堂上的支持,以及對課程內容提出的
寶貴建議。

感謝美國柏克萊加州大學繼續教育學院院長 Diana Wu、總監 Jim


Connor、台灣積體電路製造(股)副董事長曾繁城博士、台灣聯電名譽副董事長
宣明智、中國映瑞光電總裁兼首席執行長張汝京博士、以及柏克萊加州大學電
機工程與電腦科學系 Chenming Calvin Hu (胡正明)教授和 Tsu-Jae King Liu
(劉金智潔)教授,於 2008 年全力支持發展先進網路教學及柏克萊雙語 IC 設計
證照學程,使本書得以列為證照學程參考用書。感謝台灣數所國、私立大學以
及中國上海交通大學密西根學院教師的推薦並使用本書作為教授微電子及數
位與類比積體電路等方面的參考用書。感謝台大電機系王維新教授在我攻讀
碩、博士時的教導。感謝我的良師(mentor)長期無條件的支持與協助 : Ronnie
Ong, Dr. Richard Ru Gin Chang, Frank Tzeng, Dr. Jack Lewis, Clement
Wong, Chris Meyer, Dean Hara, Dennis Lee, Steve Takai, 和 Gerald
Tanaka。最後,感謝父母的養育之恩以及妻子秋鶯對我的信任與鼓勵。

本書在編撰校對的過程雖力求嚴謹,然誤謬之處在所難免,尚祈各位教
師、學者與工程界先進賜予寶貴的建議,使本書能更臻完善,謝謝!

Vincent Chang
2013 年 3 月
第 1 章 電子電路的基本概念

第 2 章 運算放大器電路

第 3 章 半導體二極體

第 4 章 雙載子接面電晶體

第 5 章 場效電晶體

第 6 章 積體電路放大器

第 7 章 頻率響應

第 8 章 回授與穩定度

第 9 章 輸出級

第 10 章 類比積體電路

第 11 章 濾波器

第 12 章 波形產生器

第 13 章 金氧半數位電路

第 14 章 雙載子數位電路
第1章 電子電路的基本概念
1.1 前言 15
1.2 基本的電路定律 16
1.3 惠斯登電橋 18
1.4 Δ − Y 轉換 19
1.5 電源轉換與米勒定理 21
1.6 訊號與頻譜 25
1.7 放大器 26
1.8 頻率響應與波德圖 31
1.9 數位電路的基本認識 38
1.10 邏輯函數 40
1.11 反相器 55
練習題 58
參考書目 61

第2章 運算放大器電路
2.1 運算放大器的簡介 63
2.2 反相放大器 65
2.3 非反相放大器 70
2.4 反相組態的應用 71
2.5 差動放大器 76
2.6 運算放大器的其它應用 79
2.7 積體電路運算放大器的簡介 87
2.8 頻率響應 90
2.9 大訊號操作 95
2.10 共模排斥比 100
2.11 輸入和輸出電阻 103
2.12 直流偏移電壓和偏壓電流 107
練習題 113
參考書目 117

第3章 半導體二極體
3.1 純質半導體 119
3.2 外質半導體 127
3.3 載體遷移過程 129
3.4 載體擴散過程 133
3.5 pn 接面的物理特性 137
3.6 pn 接面的電流電壓特性 147
3.7 pn 接面的寄生電容 151
3.8 二極體電路分析 154
3.9 小訊號模型 159
3.10 蕭基二極體 162
3.11 齊納二極體 163
3.12 整流器電路 168
3.13 峰值整流器 174
練習題 176
參考書目 179

第4章 雙載子接面電晶體
4.1 工作原理 183
4.2 電流增益 186
4.3 依柏斯─莫爾模型 189
4.4 靜態特性 193
4.5 實際的考慮 200
4.6 電晶體電路的直流分析 204
4.7 分立電路的偏壓設計 209
4.8 小訊號模型 213
4.9 共射放大器 226
4.10 射極追隨器 234
4.11 共基放大器 240
練習題 244
參考書目 248

第5章 場效電晶體
5.1 加強式金氧半場效電晶體 251
5.2 MOSFET 的電流電壓特性 257
5.3 空乏式金氧半場效電晶體 266
5.4 接面場效電晶體 268
5.5 分立電路偏壓 277
5.6 小訊號模型 280
5.7 分立電路放大器 287
5.8 傳輸閘 292
練習題 294
參考書目 298

第6章 積體電路放大器
6.1 BJT 積體電路偏壓 301
6.2 MOS 積體電路偏壓 309
6.3 BJT 差動放大器 313
6.4 MOS 差動放大器 318
6.5 差動放大器的半電路觀念 321
6.6 主動負載差動放大器 326
6.7 偏移電壓和偏壓電流 331
6.8 NMOS 放大器 337
6.9 CMOS 與 BiCMOS 放大器 341
練習題 345
參考書目 354

第7章 頻率響應
7.1 基本概念 357
7.2 電流增益的高頻響應 363
7.3 共源放大器 365
7.4 共射放大器 375
7.5 共基放大器 382
7.6 組合式放大器 384
7.7 差動放大器 389
練習題 399
參考書目 403

附錄 1-練習題解答 404
附錄 2-中文索引 412
附錄 3-英文索引 421
電子電路的基本概念
本書的架構主要由三大部份完成,分別是半導體元
件,類比電路及數位電路。在往後的各章節中,將陸
續出現許多電子電路,讀者欲分析這些電路,必須具
備基本的電路常識與分析技巧,故我們特別開闢此一
章節,彙整基本的電路定律,如歐姆定律,克希荷夫
電壓定律與電源轉換。此外,何謂頻率響應?複數轉
移函數的意義為何?都將在本章介紹。最後,我們將
介紹數位電路的基本觀念,包括反相器的電路特性,
邏輯準位的定義及雜訊邊限等。

1.1 前言
1.2 基本的電路定律
1.3 惠斯登電橋
1.4 Δ − Y 轉換
1.5 電源轉換與米勒定理
1.6 訊號與頻譜
1.7 放大器
1.8 頻率響應與波德圖
1.9 數位電路的基本認識
1.10 邏輯函數
1.11 反相器
1.1 前言 15

1.1 前言

本 書的主題為現代電子電路(electronic circuits),其所包含的領域亦稱
為微電子學(microelectronics)。主要內容分為三大部份:
半導體元件
包括電子電路的基本概念、運算放大器電路、半導體二極體、雙載子電
晶體、場效電晶體等共五章。內容主要介紹半導體元件的基本原理、特
性,以及相關電子電路的分析方法。
類比電路
由第六章起介紹類比電路,包括差動與多級放大器、頻率響應、回授、
輸出級與功率放大器、類比積體電路、濾波器、訊號產生器與波整形電
路等共七章。內容介紹各種類比電路分析的方法,包括小訊號分析、頻
譜的概念,以及利用複頻率分析電路的技巧等。
數位電路
最後二章介紹金氧半、雙載子與高階數位電路,內容涵蓋 NMOS、
CMOS、DTL、TTL,蕭基 TTL、ECL、BiCMOS 等數位電路族系的特
性分析及電路設計。
對於現代電子電路技術而言,積體電路(integrated-circuit,簡稱 IC)扮
演著極為重要的角色。目前利用這種技術已可在一小塊矽晶片(silicon
chip)上製成一包含超過上千萬個組件的電路,而此矽晶片的面積約為
60 mm 2 。倘若如此的一個微電子電路是一個完整的數位計算機,則我們
將它稱為微電腦(microcomputer)或微處理機(microprocessor)。
在本書中我們將研究一些可以單獨使用的電子元件,用在分立電路
(discrete circuit)的設計上,以及將這些電子元件用於積體電路的組件
中。同時我們也將研究這些元件之間連接的設計與分析,而這些元件間
的連接所構成的分離或積體電路將執行許多不同的作用。另外我們也將
學習一些現成的 IC 晶片以及它們在電子系統上的應用。
本章主要是以介紹性的方式讓讀者認識電子學在信號和資訊處理上
所扮演的主要角色。雖然信號和資訊的處理也可以利用其他的方法。例
如:力學、流體學和光學。但是在這些方法之中可以對信號和資訊處理
提供最強而有力的支援者,毫無疑問的就是電子學,因此其地位最突出
也最重要。
16 第1章 電子電路的基本概念

1.2 基本的電路定律
在開始介紹這門課程之前,首先我們將讀者在研讀這本書之前所需具備
的基本電路常識和電路定律等作一介紹。

1.2.1 歐姆定律
德國物理學家歐姆(George Simon Ohm)在十九世紀首創歐姆定律(Ohm’s
law),認為橫跨電阻 R 上的電壓 V 與流經其上的電流 I 之關係為
V = IR (1-1)
其中, V 為電壓(伏特,V), I 代表電流(安培,A), R 則是電阻(歐姆,
Ω )。(1-1)式是參考圖 1-1(a),即順著電壓降的方向為電流 I 的參考方向。
而圖 1-1(b)則是順著電壓升的方向定為電流 I 的參考方向,此時歐姆定
律就必須改寫為
V = − IR (1-2)
以下我們考慮電阻器端點的功率計算。若選擇圖 1-1(a)的參考系統時,
P = VI (1-3)
其中 P 代表電阻器端點的功率。若改為圖 1-1(b)的參考系統時,
P = −VI (1-4)
由(1-3)式,
P = VI = ( IR) I = I 2 R (1-5)

I I
+ +
V R V R
− −

(a) (b)

圖 1-1 電阻上電壓與電流的兩種表示法:(a)電流方向為順著電壓降之
方向,(b)電流方向為順著電壓升之方向。
1.2 基本的電路定律 17

由(1-4)式,
P = −VI = −(− IR) I = I 2 R (1-6)

因此,就圖 1-1 而言,不論使用何種參考系統,電阻器端點的功率永遠 電阻器端點的功率永遠是


是正值,其物理意義為消耗功率。 正值,其物理意義為消耗
功率。

1.2.2 克希荷夫定律
1848 年,克希荷夫(Gustav Kirchhoff)在其論文上發表了克希荷夫定律
(Kirchhoff’s law),此定律主要是在電路元件相互連接之後為端電壓和端
電流間的關係寫下一些牽制條件方程式,分別是克希荷夫電壓定律
(Kirchhoff’s voltage law ,簡稱 KVL) 及克希荷夫電流定律 (Kirchhoff’s
current law,簡稱 KCL)。現在說明如下:
克希荷夫電壓定律(KVL)
在電路中繞著任一封閉路徑上之所有電壓代數和為零。以圖 1-2 為例,
由節點○
a 出發可得到下式

V1 + V2 + V3 − VS = 0 (1-7)
或可改寫為
VS = V1 + V2 + V3 (1-8)

於是 KVL 亦可敘述為在一迴路中電壓源的代數和等於所有組件上電壓 在一迴路中電壓源的代數


降的代數和。 和等於所有組件上電壓降
的代數和。
克希荷夫電流定律(KCL)
在電路中流進任一節點的電流代數和為零。以圖 1-2 為例,由節點○
b 的
KCL 可得
I1 + I 2 + I 3 = 0 (1-9)

+ V1 − I1 I2
a b

R1 +
VS I3 R 3 V2 R2
R4 −

− V3 + I4 c I2

圖 1-2 說明克希荷夫定律的一個例子。
18 第1章 電子電路的基本概念

流進任一節點的電流代數 其實 KCL 亦可敘述為流進任一節點的電流代數和等於流出該節點的電


和等於流出該節點的電流 流代數和。根據上一敘述,再考慮節點○ c 的 KCL 可得 I 4 = I 2 + I 3 ,代
代數和。 入(1-9)式可得 I 1 + I 4 = 0 ,此即與節點○
a 的 KCL 吻合。

f 選擇性閱讀 e 1.3 惠斯登電橋


圖 1-3(a)為一惠斯登電橋電路,主要是用來測量中等電阻值(約在 1 Ω 至
1 MΩ 之間)的一種技術,且其商用成品的精密度可高達 ± 0.1% 。此電
路包括一個直流電壓源 Vdc (通常是乾電池),一個檢測器,以及四個
電阻分支所組成。其中 R1 , R2 , R3 是已知電阻,而 R X 則是未知電阻。
首先考慮電橋處於平衡狀態,也就是 I G 為零,見圖 1-3(b)。由克希荷
夫定律得知此時 I 1 = I 3 和 I 2 = I X ,因為 I G = 0 ,所以檢測器(一般是使
用微安(microampere)範圍的達松法電流計)的兩端沒有電壓降,因此
I 1 R1 = I 2 R2 (1-10)
同時
I 3 R3 = I X R X (1-11)

現在將 I 1 = I 3 和 I 2 = I X 二式代入(1-11)式得

I 1 R3 = I 2 R X (1-12)

再將(1-10)式代入(1-12)式可得
R2
R X = R3 (1-13)
R1

R1 R2 R1 R2
I1 I2
IG
Vdc Vdc
I3 IX
R3 RX R3 RX

(a) (b)

圖 1-3 (a)惠斯登電橋電路,其中 R3 為可變電阻,(b)平衡的惠斯登電橋


電路,其中令通過檢測器的電流為 IG。
1.4 Δ−Y 轉換 19

結論是,吾人可藉由調整可變電阻 R3 直至微安電流計上沒有電流為
止,此時可由上式求出未知電阻器 R X 的值。

1.4 Δ − Y 轉換 f 選擇性閱讀 e

在許多電力系統相關的電路中,我們常會面對 Δ 形及 Y 形結構,此時
Δ − Y 轉換將是非常重要的電路分析工具。以惠斯登電橋為例,若檢測
器以一等效電阻 Req 取代時,其電路將變成圖 1-4。而在圖 1-4 中,觀察
R1 、 R2 、 Req 三個電阻的連接方式很像一個希臘字 Δ ,所以稱為Δ形連
接(delta interconnection)。事實上, Δ 形與 π 形是等效的,所以又可稱為
π 形連接(pi interconnection),如圖 1-5 所示。
所謂 Δ − Y 轉換的意思是指 Δ 形連接可以用 Y 形連接來取代,如圖 1-6
所示。現在考慮 Δ − Y 等效電路之推導:對於圖 1-6 中 a,b 兩端點間的

R1 R2
Req
Vdc

R3 RX

圖 1-4 惠斯登電橋所對應的等效電阻性網路。

Rc Rc
a b a b

Rb Ra Rb Ra

c c c

(a) (b)

圖 1-5 (a)Δ形連接與(b)π形連接之間其實是等效的。
20 第1章 電子電路的基本概念

電阻而言,由 Δ 形和 Y 形所得之結果必須相等,即
Rc ( Ra + Rb )
Rab = ( Ra + Rb ) Rc = = R1 + R2 (1-14)
Ra + Rb + Rc

同理,
Rbc = ( Rb + Rc ) Ra = R2 + R3 (1-15)
Rca = ( Rc + Ra ) Rb = R3 + R1 (1-16)
由以上三式聯立即可解得 Δ − Y 轉換:
Rb Rc
R1 = (1-17)
Ra + Rb + Rc
Rc R a
R2 = (1-18)
Ra + Rb + Rc
Ra Rb
R3 = (1-19)
Ra + Rb + Rc

觀察上式可發現一簡單的關係,即
R1 Ra = R2 Rb = R3 Rc (1-20)
此外,若 Δ 形中三個分支電阻相等時,即 Ra = Rb = Rc = RΔ,則代入(1-17)
至(1-19)三式可得
RΔ Δ
R1 = R2 = R3 = = RY (1-21)
3
此外,Y 形連接與 T 形連接(tee interconnection)之間其實是等效的,如圖
1-6 所示。
最後,我們也可以由(1-17)至(1-19)三式中推導出 Y − Δ 轉換。也就是
說,以 Y 形連接中的三個電阻 R1 、 R2 、 R3 來表示 Δ 形連接中的三個電

a b
R1 R2
Rc b
R1 R2 a
a b

R3
Rb Ra R3
c c
c
c

圖 1-6 Δ−Y 轉換且 Y 形連接與 T 型連接之間是等效的。


1.5 電源轉換與米勒定理 21

阻 Ra 、 Rb 、 Rc ,其結果如下:
R1 R2 + R2 R3 + R3 R1
Ra = (1-22)
R1
R1 R2 + R2 R3 + R3 R1
Rb = (1-23)
R2
R1 R2 + R2 R3 + R3 R1
Rc = (1-24)
R3

同時,讀者可再次看出以上三式與(1-20)式確實吻合。

1.5 電源轉換與米勒定理

1.5.1 電源轉換
在往後的許多電子電路(電晶體放大器、振盪器)的分析中,我們常常
需要將一個電流源並聯一個電阻,等效轉換於一個電壓源串聯一個相同 將一個電流源並聯一個電
的電阻,反之亦然,如圖 1-7 所示。圖 1-7(a)為戴維寧(Thévenin)形式, 阻,等效轉換於一個電壓
源串聯一個相同的電阻。
而圖 1-7(b)為諾頓(Norton)形式。而這種電源轉換(source transformation)
成立的條件為
VS
IS = (1-25)
RS
同時
RS = R P (1-26)
以上二式的證明如下:首先假想在○
X 、○
Y 兩端之間連接任何一個電阻
R ,而我們現在希望找到一組轉換使得圖 1-7(a)和(b)中這兩個電路對任

RS
X X

VS IS RP

Y Y
(a) (b)

圖 1-7 電源的轉換:(a)戴維寧形式,(b)諾頓形式。
22 第1章 電子電路的基本概念

一電阻 R 而言均是等效的。而最容易找到這組轉換的 R 值就是 R = 0(短


路)和 R = ∞ (開路)。當 R = 0 時,由圖1-7(a)中得知流經 R 的電流為
VS RS ,而由圖1-7(b)中所得之流經 R 的電流為 I S ,因此
VS
= IS (1-27)
RS
又當 R = ∞ 時,由圖1-7(a)所得○
X 和○
Y 兩端之電壓為 VS ,而由圖 1-7(b)
所得○
X 、○
Y 兩端之電壓為 I S RP ,於是

VS = I S R P (1-28)
再將此式代入(1-27)式即可得出(1-26)式。

1.5.2 米勒定理
在往後的頻率響應與類比積體電路中,米勒定理(Miller theorem)為一非
常常用的電路理論。它主要是將一個雙埠的橋式元件 Z 轉換為兩個新的
元件 Z 1 和 Z 2 ,分別出現在輸入端與輸出端,見圖 1-8。
假設 V2 = KV1 , K 表示順向電壓增益。由圖 1-8(a)和(b)可得
V1 V − V2 V1 (1 − K )
= I1 = 1 = (1-29)
Z1 Z Z

解得
Z
Z1 = (1-30)
1− K
或以導納表示
Y1 = Y (1 − K ) (1-31)
同理,

I1 I2 I1 I2
Z
+ + + +
V1 V2 V1 Z1 Z2 V2
− − − −

(a) (b)

圖 1-8 米勒定理。
1.5 電源轉換與米勒定理 23

⎛ 1⎞
V2 ⎜1 − ⎟
V2 V − V1 K⎠
= I2 = 2 = ⎝ (1-32)
Z2 Z Z

解得
Z
Z2 = (1-33)
1
1−
K
或以導納表示
⎛ 1⎞
Y2 = Y ⎜1 − ⎟ (1-34)
⎝ K⎠

■例題 1
試以電源轉換簡化圖 1-9 所示電路,並求出由 46V 電源所供應之電流。
以及在電阻網路上的全部功率散逸。

解: 首先將 40V 電壓源與 5 Ω 電阻串聯部份利用電源轉換改為 8A 電流


源並聯 5 Ω 電阻如圖 1-10(a)。又因 5 20 = 4Ω ,於是由 8A 電流源
並聯 4Ω電阻可等效轉換為 32V 電壓源串聯 4Ω電阻,見圖 1-10(b)。
再將 32V 電壓源串聯 20 Ω 電阻的部份利用電源轉換等效為 1.6A
電流源並聯 20 Ω 電阻如圖 1-10(c)。這是因為由(1-25)式,32V/20Ω
= 1.6A 以及(1-26)式中戴維寧等效之串聯電阻即為諾頓等效之並聯
電阻。最後再將 1.6A 電流源並聯 10 Ω 電阻 ( 20 20 = 10Ω) 等效為
16V 電壓源串聯 10 Ω 電阻如圖 1-10(d)。
於是計算出由 46V 電壓源所送出之電流為 (46 − 16) (20 + 10) = 1A 。
此外,讀者亦可計算散逸在整個電阻網路上的功率 PD ,由(1-5)
式可得 PD = (20 + 10) × 12 = 30 W 。

20Ω 6Ω 5Ω

46V 20Ω 20Ω 40V

10Ω

圖 1-9 例題 1:電路圖。
24 第1章 電子電路的基本概念

20Ω 6Ω

46V 20Ω 20Ω 5Ω 8A

10Ω
(a)

20Ω 6Ω 4Ω

46V 20Ω 32V

10Ω
(b)

20Ω

46V 20Ω 20Ω 1.6A

(c)

20Ω 10Ω

46V 16V

(d)

圖 1-10 例題 1:電路之分析與簡化過程。
1.6 訊號與頻譜 25

1.6 訊號與頻譜 f 選擇性閱讀 e

1.6.1 訊號

資訊(information)可以說是對於一種狀況或事件的認知。同樣地,訊號
(signal)則是這些認知在傳遞時所用的工具,而電子系統可幫助我們對於
由一組接收到的訊號獲取想要的資訊。無論如何,為了讓一個訊號在電
子系統中被處理,則它必須被轉換成一個電訊號,也就是一電壓或是一
電流。這個過程是被一元件稱為轉送器(transducers)所完成。目前市面上
有許多不同的轉送器,而每一個轉送器適用於一種型式的物理訊號。譬
如說,由人類產生的聲波可以用一個麥克風(microphone)轉變成一電訊
號,所謂麥克風即為一個壓力轉送器(pressure transducer)。在這裡我們的
目的並非去研究一個轉送器;而是將有興趣的訊號假設已經存在於電域
(electrical domain)中,並且研究利用電子電路處理這些訊號的過程。
由以上的討論我們得知一個訊號是一個時變量,如圖 1-11 所示。事實
上,此訊號的訊息內容可以由其大小(magnitude)隨時間的變化來代表;
也就是說,此訊息是包含在信號波形的 “擺動” 中。

1.6.2 類比訊號
圖 1-11 的電壓訊號稱為類比訊號(analog signal),所以取名為類比是因為
此訊號類比於它所代表的物理訊號。一類比訊號在它活動的範圍可呈現
出連續的變化。世界上圍繞在我們周遭的訊號大多是類比,而電子電路

v (t)

圖 1-11 一隨時間變化的電壓信號 v(t)。


26 第1章 電子電路的基本概念

v (t)

Δt 3Δt t
2Δt

圖 1-12 對圖 1-11 中之類比訊號取樣可得分立的時間訊號。

中能處理此種訊號者稱為類比電路(analog circuits)。

1.6.3 數位訊號
表示訊號的另一種形式為使用一連串的數字,每一個數字代表任某一瞬
間的訊號振幅,這種訊號稱為數位訊號(digital signal)。為了了解如何獲
得這種數位訊號,我們考慮將圖 1-11 所示的連續時間的訊號每隔一段固
定的時間區間測量其訊號強度,此過程稱為取樣(sampling)。而取樣後所
得到的訊號表示法見圖 1-12,顯然它不再是一個時間的連續函數,而是
一個分立時間訊號(discrete-time signal)。

1.7 放大器

1.7.1 基本觀念
訊號放大(signal amplification)為一最基本的訊號處理功能。每當轉送器
所提供的訊號非常微弱,例如在微伏特 (microvolt , μ V ) 或是毫伏特
(millivolt,mV)範圍且其能量很低,此時就需要提供訊號放大。因為訊
號微弱時,訊號處理的可靠度就差;若訊號振幅能提昇的話,則訊號處
理就容易的多了。
在訊號放大時,對於線性度(linearity)的探討是絕對必要的。因為在訊
號放大過程中,我們必須注意到原來包含在訊號內的訊息沒有改變且沒
若有其他訊息介入造成波 有新的訊息介入。若有其他訊息介入造成波形上的變化則稱為訊號失真
形上的變化則稱為訊號失 (signal distortion),顯然這是我們不願見到的現象。
真。
1.7 放大器 27

1.7.2 放大器增益
若一放大器輸出和輸入訊號間的關係為
vO (t ) = Av I (t ) (1-35)
其中 v I 和 vO 分別代表輸入和輸出訊號,而 A 則是一個代表放大振幅的常
數,稱為放大器增益(amplifier gain)。方程式(1-35)為一線性關係式;因
此它所描述的放大器稱為線性放大器 (linear amplifier) 。讀者不難想像
出,若(1-35)式中出現一些 v I 的高階項,則 vO 的波形將不再與 v I 者完全
相同,像這類的放大器就會出現非線性失真(nonlinear distortion)。例如,
vO (t ) = A1v I (t ) + A2 v I2 (t ) + A3 v I3 (t ) (1-36)
訊號放大器很顯然是一個雙埠網路(two-port network)。習慣上放大器
的電路符號可以圖 1-13 表示,圖中顯示出三角形的指向即代表由輸入至
輸出訊號傳輸的方向。而共同端點作為電壓參考點,稱為電路接地(circuit
ground)。
圖 1-13 放大器的電壓增益(voltage gain) Av 定義為
vO
Av =Δ (1-37)
vI

若吾人自輸入端加入振幅為 Vˆ 的正弦電壓,則經由圖 1-14 之轉換可得出


振幅為 AvVˆ 的輸出正弦波。有時候,電壓增益以對數表示會比較方便(例
如頻率響應和波德圖等),於是以分貝(decibels)表示的電壓增益如下:

Av (dB) =Δ 20 log Av dB (1-38)


圖 1-13 放大器的電流增益(current gain) Ai 定義為
iO
Ai =Δ (1-39)
iI

iI iO
Av
+
vI (t) + vO(t) RL

圖 1-13 放大器的電路符號,圖中亦顯示介於輸入和輸出的共同端點。
28 第1章 電子電路的基本概念

vO

斜率 = Av

0 vI

圖 1-14 一電壓增益為 Av 之線性電壓放大器其轉換特性。

或以分貝表示如下:

Ai (dB) =Δ 20 log Ai dB (1-40)


至於功率增益(power gain) Ap 定義為
PL vO iO
AP =Δ = (1-41)
PI v I iI
其中 PL 和 PI 分別代表負載功率和輸入訊號功率,iO 為放大器傳送至負載
的電流,
vO
iO = (1-42)
RL
而 iI 則是訊號源送出的電流。(1-41)式若以分貝表示:

AP (dB) =Δ 10 log AP dB (1-43)

1.7.3 放大器效率
放大器為一主動 (active)裝置,也就是必須要提供直流電源才能正常操
作。考慮一個連接於正負兩電源 V + 和 V − 的放大器,見圖 1-15(a)。請注
參考接地點即是兩電源供 意在此雙直流電源系統中,參考接地點即是兩電源供應器的共同端點。
應器的共同端點。 習慣上可將圖 1-15(a)中直流電源的連接方式簡化為圖 1-15(b)中僅以箭
頭表示,關於這點要請讀者特別注意。
圖 1-15(a)中顯示正電源 V + 送出的直流電流為 I 1 ,而負電源 V − 吸入的
直流電流為 I 2 ,於是傳送至放大器的直流功率
PS = PS + + PS − = V + I 1 + V − (− I 2 )
= V + I1 + V − I 2 (1-44)
1.7 放大器 29

V+ V+
I1

+ +
I2
vI (t) + RL v (t) vI (t) + RL v (t)
− V− O
− V− O

− −

(a) (b)

圖 1-15 (a)一個需要正負兩電源才能正常操作的放大器,(b)直流電源連接方式的簡易表示法。

此外,一電子放大器操作時絕對有部份能量轉換成熱的輻射,關於這部
份我們以 PD 表示功率散逸 (power dissipation)。於是基於能量不滅原理
(principle of conservation of energy),我們可寫下此放大器之功率平衡關
係式:
PS + PI = PD + PL (1-45)

因為由訊號源送出的功率 PI 通常很小,可以忽略,於是放大器的效率 放大器的效率可定義為負


載功率與供應功率之比。
(efficiency)可定義為負載功率與供應功率之比,
負載功率 P
η =Δ × 100% = L × 100% (1-46)
供應功率 PS

例如在音響系統的輸出放大器需要用到功率放大器(power amplifier),此
類放大器需要處理大量的功率,此時功率轉換效率即成為一非常重要的
參數。

1.7.4 放大器偏壓
一般實際的電子放大器大都具有非線性轉換特性,也必然存在兩個輸出
飽和電壓(output saturation voltage) VM 和 Vm ,見圖 1-16(b)。於是為了避
免輸出波形經由非線性轉換造成失真甚至輸出波形尖峰被截掉造成更
嚴重的失真,於是輸入訊號必須相對很小。
首先,吾人外加一直流電壓 VIQ ,見圖 1-16(a),經由圖 1-16(b)可得一
操作點(operating point) Q ,且對應一直流輸出電壓 VOQ 。此操作點又名
30 第1章 電子電路的基本概念

V+

Av
+
vi (t) +

V− RL v (t)=V +v (t)
O OQ o
VIQ

(a)

vO
VM

vo (t)
斜率=Av

VOQ
Q t

vi (t)

Vm

0
0 vI
VIQ
t

(b)

圖 1-16 (a)一具有交流與直流成份共存的放大器,(b)一放大器的電壓
轉換特性,顯示了相當程度的非線性。

靜態點(quiescent point),或是直流偏壓點(dc bias point)。現在吾人將欲


放大的時變訊號 vi (t ) 疊加在直流偏壓點之上,見圖 1-16(a)。於是全部瞬
時輸入(total instantaneous input) v I (t ) 可寫為
v I (t ) = VIQ + vi (t ) (1-47)
這個 v I (t ) 在 VIQ 附近變動,使得圖 1-16(a) 的瞬時操作點 (instantaneous
operating point)上下來回振動,於是經由此圖轉換對應出全部瞬時輸出
vO (t ) ,
1.8 頻率響應與波德圖 31

vO (t ) = VOQ + vo (t ) (1-48)

以上二式中交流成份(即時變成份)間之關係為
vo (t ) = Av vi (t ) (1-49)

其中電壓增益 Av 之定義為

dvO
Av =Δ (1-50)
dv I Q

以上所敘述的這種操作方式稱為小訊號操作(small-signal operation)──
即輸入時變訊號的變動範圍很小,使得放大器的操作被侷限在操作點附近 輸入訊號的變動範圍很
一個範圍很小且近似線性的線段上,而此近似線性線段之斜率即反應出輸 小,使得放大器的操作被
侷限在操作點附近範圍很
出訊號振幅與輸入訊號振幅之比,此即電壓增益。在此電壓增益為負值(圖
小且近似線性的線段上。
1-16(b) 中之斜率為負 ) ,這代表輸出訊號與輸入訊號間為 180°反相位
(out-of-phase)。反之,若電壓增益為正值,則代表兩者間為同相位(in phase)。

1.8 頻率響應與波德圖

1.8.1 頻譜
對於一隨時間任意變化的訊號而言,描述此訊號特性最有用的方法就是
表示其頻譜(frequency spectrum)。而這種表示法是經由一些數學工具─
─傅立葉級數(Fourier series)和傅立葉轉換(Fourier transform)而得到的。
傅立葉級數僅能適用於訊號波形為時間之週期性函數的情況。而傅立葉 傅立葉級數僅能適用於訊
級數的極限情況──傅立葉轉換則可用於獲得非週期性函數的頻譜。 號波形為時間之週期性函
數的情況。而傅立葉級數
傅立葉級數可用來將一給定的週期性時間函數展開成一無窮多個正
的極限情況──傅立葉轉
弦函數之和,而這些正弦函數之間彼此形成諧波關係。而傅立葉轉換可 換則可用於獲得非週期性
以應用至一非週期性時間函數,如圖 1-11 所示,且提供其頻譜為一頻率 函數的頻譜。
的連續函數,見圖 1-17。這不像在週期性信號中其頻譜是由分立頻率(基
頻和它的諧波)所組成的情況一樣,一非週期性訊號的頻譜一般包含許多 一非週期性訊號的頻譜包
可能的頻率。也就是說,一個訊號是由許多不同的頻率成份所組成。無 含許多可能的頻率。

論如何,實際訊號頻譜的主要部份通常被限制在頻率軸上的一小段範圍
內──關於這一點在作訊號處理時將非常有用。例如,像講話和音樂這
種可聽得到聲音的頻譜一般是由 20Hz 延伸至約 20kHz──此頻率範圍
即為聲頻帶(audio band)。
32 第1章 電子電路的基本概念

V (ω)

圖 1-17 一任意電壓訊號之頻譜。

1.8.2 轉換函數
將放大器的電壓增益以複 在處理放大器頻率響應(frequency response)時的主要工作就是要將放大
數頻率 s 表示成轉換函數 器的電壓增益以複數頻率(complex frequency) s 表示成轉換函數(transfer
的型式。
function) 的 型 式 。 在 此 s − 區 域 分 析 時 , 一 電 容 C 可 被 一 導 納
(admittance) sC 所取代,或阻抗為 1/sC;而電感 L 可被一阻抗 sL 所取代。
最後,使用電路分析技巧推導出電壓轉換函數 H ( s ) =Δ Vo ( s ) Vi ( s ) 。一旦
求得轉換函數 H ( s ) 之後,即可將 s 以 jω 取代( ω 為實際頻率)。所得到
的轉換函數 H ( jω ) 為一複數量(complex quantity),由其大小值(magnitude)
可 得 大 小 響 應 (magnitude response) , 其 角 度 可 得 相 角 響 應 (phase
response)。
本書所處理的電路其 H ( s ) 均可表示為以下的型式
bm s m + bm −1 s m −1 + L + b0
H (s) = (1-51)
a n s n + a n −1 s n −1 + L + a0

其中係數 a 和 b 都是實數,而分子的次數 m 小於或等於分母的次數 n;


n 稱為網路的階數(order)。再者,對於一穩定電路(也就是自己不會產生
訊號)而言,分母的係數必須使得分母多項式的所有根具有負實部。
H ( s ) 的另一種型式可表示為
( s − Z 1 )( s − Z 2 ) L ( s − Z m )
H (s) = K (1-52)
( s − P1 )( s − P2 ) L ( s − Pn )
其中 K 為乘積常數, Z1,Z 2,…,Z m 為分子多項式的根,而 P1 , P2 ,…,
Pn 為分母多項式的根。 Z1 , Z 2 ,…, Z m 稱為零點 (zeros) 或傳輸零點
1.8 頻率響應與波德圖 33

(transmission zeros),而 P1,P2 ,…,Pn 稱為極點(poles)或自然模式(natural


modes)。
極點和零點可以是實數或複數。但由於係數 a、b 為實數,所以複數
極點(或複數零點)必然以共軛複數對(conjugate pairs)的型式出現。譬如
3 + j 2 為一零點,則 3 − j 2 必然是同一網路中之零點。

1.8.3 波德圖
一轉換函數在極點和零點已知時,我們可以利用一簡單的方法得到大小
和相角的近似圖形。此方法對於實數的極點和零點而言,特別有用。這
個方法是由波德提出的,所畫出的圖形就被稱為波德圖(Bode plots)。
在本章中所有轉換函數均具有實數極點和零點,且可以寫成一階轉換
函數的乘積。而一階轉換函數的一般型式為
K1 s + K 0
H (s) = (1-53)
s +ωp
其中 ω p 為實數極點的位置。 ω p 被稱為極點頻率(pole frequency),應等
於在此單一時間常數(single-time-constant,簡稱 STC)網路中時間常數的
倒數。另外常數 K 0 和 K 1 決定了 STC 網路的型式。STC 網路中最簡單的
兩種型式為低通(low pass)和高通(high pass)。以下分別討論。
一階低通網路
一個 STC 低通網路的轉換函數 H ( s ) 可表示成
K
H (s) = (1-54)
1 + (s ω p )
由 s = jω 得
K
H ( jω ) = (1-55)
1 + j (ω ω p )

因此大小響應為
K
H ( jω ) = (1-56)
1 + (ω ω p ) 2

若以 dB 表示,

H ( jω ) (dB) =Δ 20 log H ( jω )

⎛ω ⎞
2 (1-57)
= 20 log K − 20 log 1 + ⎜ ⎟
⎜ω ⎟
⎝ p ⎠
34 第1章 電子電路的基本概念

20 log H ( jω )
波德圖
(dB)
20log K 3dB
−20dB/decade
真實曲線 或 −6dB/octave

20log K−20

0.1ωP ωP 10ωP ω

圖 1-18 一階低通濾波器的大小響應。

圖 1-18 顯示對於一階低通網路以 dB 表示的大小響應,大小曲線與兩


直線的漸近線非常接近,由這兩條漸近線所構成的部份即為波德圖。其
中低頻漸近線為一在 20 log K 的水平直線,而為求高頻漸近線的斜率考
慮(1-56)式並且令 ω ω p >> 1 ,得
ωp
H ( jω ) ≅ K (1-58)
ω
或是
⎛ω ⎞
20 log H ( jω ) ≅ 20 log K − 20 log⎜ ⎟ (1-59)
⎜ω ⎟
⎝ p ⎠
在對數頻率軸上若每增加 上式代表在對數頻率軸上若每增加十倍(decade)的頻率,則增益就衰減
十倍的頻率,則增益衰減 20dB ( 20 log 0.1 = −20dB ),因此我們將此高頻漸近線的斜率表示為 − 20
20dB。 dB/decade。或將 ω 增加 2 倍頻率,因 20 log 2 = 6dB,故斜率亦可表為
− 6 dB/octave。這兩條漸近線在 “轉角頻率” ω p 處交會。注意實際的大
小響應曲線和漸近響應(asymptotic response)在轉角頻率的差異最大,差
異值有 3dB 之多。因為
K
20 log H ( jω p ) = 20 log = 20 log K − 3dB (1-60)
2
所以在 ω = ω p 處的增益比 dc 增益減少了 2 倍,代表增益比 dc 增益減
少了 3dB。因此轉角頻率 ω p 常被稱為 3dB 頻率。
另一方面,相角響應可由(1-55)式求得。假設 K 為一正值,再考慮實
際頻率 ω 亦為一正值,於是轉換函數 H ( jω ) 之相角 θ (ω ) 為一定義域介
於 0°和−90°間之第四象限角,其值可表示為
1.8 頻率響應與波德圖 35

θ (ω) 波德圖
5.7
0.1ωP 0 ωP 10ωP
ω

真實曲線
−45

−45/decade 5.7
−90

圖 1-19 一階低通網路的相角響應。

⎛ω ⎞
θ (ω ) = − tan −1 ⎜⎜ ⎟

(1-61)
ω
⎝ p⎠
圖 1-19 的相角響應曲線亦逼近於一直線的漸近線(此漸近線部份即為
相角的波德圖)。注意在轉角頻率處相角為 − 45°/decade 的直線(虛線所示)
將近似於相角函數,且可看出在 0.1ω p 至 10ω p 之間兩者的最大誤差為
5.7°。
一階高通網路
一個 STC 高通網路的轉換函數 H (s ) 可表示成
K
H (s) = (1-62)
1 + (ω p s )
s = jω 代入上式得
K
H ( jω ) = (1-63)
1 − j (ω p ω )
因此大小響應為
K
H ( jω ) = (1-64)
1 + (ω p ω ) 2
和相角響應為
⎛ωp ⎞
θ (ω ) = tan −1 ⎜⎜ ⎟⎟ (1-65)
⎝ω ⎠
以上兩者分別畫在圖 1-20(a)和(b)。在大小響應部份,圖 1-20(a)呈現與
圖 1-18 相反的高通響應,其中 ω p 以下為一斜率為+20dB/decade 之直線。
至於圖 1-20(b)之相角響應則與圖 1-19 類似,只是向上平移 90°。這是因
為由(1-63)式分母的有理化(分子與分母同乘上 1 + j (ω p ω ) )可看出轉移
函數的相角應為第一象限角。
36 第1章 電子電路的基本概念

20 log H ( jω ) 波德圖
(dB)
20log K 3dB
真實曲線

+20dB/decade
20log K−20 或 +6dB/octave

0.1ωP ωP 10ωP ω
(a)

5.7 θ (ω)
90 波德圖

−45/decade
真實曲線
45

0 5.7
0.1ωP ωP 10ωP ω
(b)

圖 1-20 一階高通網路的(a)大小響應和(b)相角響應。

■例題 2
下式代表某一放大器之電壓轉換函數
10 s
H (s) =
⎛1 + s ⎞ ⎛1 + s ⎞
⎜ 2 ⎟⎜ ⎟
⎝ 10 ⎠ ⎝ 10 5 ⎠

試分別畫出此轉換函數之大小及相角波德圖。

解:
(a) 大小響應,見圖 1-21。
圖 1-21 顯示轉換函數中不同因子的漸近波德圖。詳述如下:
(1) 曲線○1 :代表乘積常數 10。
(2) 曲線○
2 :一斜率為 +20dB/decade 的直線,對應轉換函數中
分子的 s 項,也就是在 s = 0 的零點。
1.8 頻率響應與波德圖 37

20 log H ( jω)
2
(dB)
60
5 5

40

20 1 1

0 4
1 3 102 105 107 ω (rad/s)
3 4
2 -20

圖 1-21 例題 2:轉換函數的大小波德圖。

θ (ω )
2
+90
5
+45
1

0.1 102 5 105 ω (rad/s)

−45
3 4
5
−90

圖 1-22 例題 2:轉換函數的相角波德圖。

3 :對應分母中的 (1 + s / 10 2 ) ,也就是在 s = −10 2 的極


(3) 曲線 ○
3 是由兩漸近線組成,且兩者交於 ω = 10 2 。
點。曲線○
4 :對應分母中的 (1 + s / 10 5 ) ,也就是在 s = −10 5 的極
(4) 曲線 ○
4 中之兩漸近線交於 ω = 10 5 。
點。曲線○
(5) 曲線○
5 :最後將以上四個曲線相加即可得到放大器增益的漸
近波德圖,見曲線○
5。
38 第1章 電子電路的基本概念

(b) 相角響應,見圖 1-22。


(1) 曲線○
1 :代表常數 10。
2 :在 s = 0 的零點產生+90°的相角函數,以曲線○
(2) 曲線○ 2 表
示。
(3) 曲線○3 :在 s = −10 2 的極點所產生的相角函數 θ 3 為
ω
θ 3 = − tan −1
10 2
4 :在 s = −10 5 的極點所產生的相角函數 θ 4 為
(4) 曲線○
ω
θ 4 = − tan −1
10 5
(5) 曲線○
5 :最後將以上四曲線直接相加即可得到全部的相角響
應,見曲線○
5。

1.9 數位電路的基本認識
在二元數位電路(binary digital circuits)中,我們以兩個分開的電壓準位來
代表二元變數中的兩個值。如圖 1-23 所示,若訊號電壓落在 VOL (max) 和
VOL (min) 之間,則此訊號被稱為邏輯 0 (logic 0);若訊號電壓落在 VOH (max) 和
VOH (min) 之間,則此訊號被稱為邏輯 1 (logic 1)。在圖 1-23 中邏輯 1 的電
壓要比邏輯 0 的電壓為高,這種系統我們稱之為正邏輯系統(positive
logic system);反之則稱為負邏輯系統(negative logic system)。本書中我

電壓
VOH(max)

邏輯1
VOH(min)
未定義區域
VOL(max)

邏輯0
VOL(min)

圖 1-23 使用兩個分開的電壓準位以代表數位電路中的兩個值。
1.9 數位電路的基本認識 39

們均假設操作在正邏輯系統。再者,在許多場合中我們將使用 “高態” 和
“低態” (“high” 和 “low”)分別代替 1 和 0。

1.9.1 數位電路族系與實際包裝
積體式數位電路可被分為許多不同的族系。每一族系均以相同技術製作
而成,它們具有類似的電路結構並且表現出相同的基本特性。在十三章
中我們將討論兩種 MOS 族系:NMOS 和 CMOS。前者僅使用 n−通道

0.8cm
包住積體電
路的塑膠或
m
2c 陶瓷材料

連接至電路
的金屬針腳

(a)

VCC
14 13 12 11 10 9 8

1 2 3 4 5 6 7
GND
(b)

圖 1-24 一 14-針的積體電路:(a)實際的外貌,(b)一具有四個雙輸入的
NAND 閘之電路圖。
40 第1章 電子電路的基本概念

MOSFET;後者則同時使用 n−通道和 p−通道電晶體。而十四章我們將


介紹兩種 BJT 邏輯族系:電晶體−電晶體邏輯 (TTL) 和射極耦合邏輯
(ECL)。
圖 1-24(a)顯示一常見 IC 數位電路的實際包裝,此包裝是以塑膠(plastic)
或陶瓷(ceramic)材料所製成,被稱為雙線包裝(dual-in-line package,簡稱
DIP),它共有 14 個針腳,其它亦有 16、24 和 40 針包裝。4 個雙輸入的
NAND 閘包裝內部電路圖見圖 1-24(b)。

1.9.2 積體層次
在每一邏輯族系內均可作出許多不同型式的邏輯函數。依照在 IC 晶片
(IC chip)中電路的複雜程度,積體包裝(package)的層次可以分成下列四
種型式:
1. 小型積體電路(small-scale integrated circuit,簡稱 SSI)
2. 中型積體電路(medium-scale integrated circuit,簡稱 MSI)
3. 大型積體電路(large-scale integrated circuit,簡稱 LSI)
4. 超大型積體電路(very-large-scale integrated circuit,簡稱 VLSI)
雖然這些不同的積體層次之間並沒有很明顯和很嚴格的邊界區分,但
根據在晶片上 “等效邏輯閘” 的數目可大概區分如下:對 SSI 而言,在
晶片上大約有 1 至 10 個閘;MSI 之晶片約有 10 至 100 個閘;就 LSI 而
言,一晶片約有 100 至 1000 個閘;最後,若一晶片上的邏輯閘數目超
過 1000 個,則可歸類為 VLSI。

f 選擇性閱讀 e 1.10 邏輯函數

1.10.1 基本函數
NOT 函數
在一個數位計算機中由元件所執行的三種基本的邏輯函數為 NOT、AND
以及 OR 函數。首先考慮最簡單的 NOT 閘,見圖 1-25(a)和(b),其真值
表(truth table)為

A Y
1 0
0 1
1.10 邏輯函數 41

A Y A Y

(a) (b)

A A
Y Y
B B

(c) (d)

圖 1-25 (a)NOT 閘的電路符號,(b)另一種 NOT 閘的符號,(c)AND 閘


的電路符號,(d)OR 閘的電路符號。

若以布林代數(Boolean algebra)表示可寫為
Y=A (1-66)
這是數位電路中最基本的關係式,我們稱之為 NOT 函數或是反相函數。
它代表當 A = 0 時, Y = 1 ;當 A = 1 時, Y = 0 。在電路工作方面,它代
表當輸入 A 為邏輯低態,輸出 Y 為邏輯高態;當 A 為邏輯高態,Y 則為
邏輯低態。
AND 函數
為了建立 AND 函數的真值表,我們考慮兩個變數 A 和 B 可產生四種可
能的組合:
A B
0 0
0 1
1 0
1 1

於是此二變數執行 AND 作用後,上表中的前三列將產生輸出 Y = 0 ,第


四列將產生輸出 Y = 1 。以邏輯方程式的型式表示即為

0⋅ 0=0
0⋅ 1=0
1⋅ 0=0
1⋅ 1=1

於是其真值表為
42 第1章 電子電路的基本概念

A B Y
0 0 0
0 1 0
1 0 0
1 1 1

真值表中敘述若輸入 A 和 B 至少有一為 0 (低態),則輸出 Y 為 0;若 A


和 B 同時為 1 (高態),則輸出 Y 為 1。以布林代數表示可寫為
Y = AB (1-67)
以電路符號表示 AND 閘見圖 1-25(c)。
OR 函數
OR 函數以布林代表數示可寫為
Y = A+ B (1-68)
在邏輯方程式的運算中具有四種可能的組合

0+ 0 = 0
0+ 1 = 1
1+ 0 = 1
1+ 1 = 1

於是其真值表為

A B Y
0 0 0
0 1 1
1 0 1
1 1 1

真值表中敘述若 A 和 B 均為 0 時,則 Y 為 0;若 A 和 B 中至少有一為 1


時,則 Y 為 1。OR 閘的電路符號見圖 1-25(d)。

1.10.2 布林定理
在布林代數中與 OR 運算有關的布林定理如下:
A+ B = B + A (1-69)
A + ( B + C ) = ( A + B) + C (1-70)
A +1 = 1 (1-71)
1.10 邏輯函數 43

A+0 = A (1-72)
A+ A = A (1-73)
這些方程式可以很容易地經由 OR 運算的定義而獲得驗證。以外,與
AND 運算有關的布林定理如下:

AB = BA (1-74)
A( BC ) = ( AB)C (1-75)

A1 = A (1-76)
A0 = 0 (1-77)
AA = A (1-78)
A( B + C ) = AB + AC (1-79)

以上這些方程式也可以很容易地經由 AND 運算的定義而獲得驗證。同


時,利用(1-71)、(1-76)和(1-79)三式可以證明

A + AB = A (1-80)
或可表為
A( A + B) = A (1-81)

再者,利用(1-79)和(1-78)之式可得
( A + B )( A + C ) = AA + AB + AC + BC
= A + AB + AC + BC
= A(1 + B + C ) + BC
= A + BC (1-82)
或改寫為
A + BC = ( A + B )( A + C ) (1-83)
由 NOT、AND 和 OR 等運算之基本定義可獲得以下在數位邏輯運算中
常用的布林定理:

A=A (1-84)
A + A =1 (1-85)
AA = 0 (1-86)
A + AB = A + B (1-87)

其中(1-87)式的證明如下:
44 第1章 電子電路的基本概念

A + A B = A( B + 1) + A B
= AB + A + A B
= ( A + A)B + A
= B + A = A+ B (1-88)
或是可以用真值表的方法證明如表 1-1。
接下來我們介紹很有名的兩個二進位方程式,稱為狄摩根定律 (De-
Morgan’s laws):

A + B = AB (1-89)
AB = A + B (1-90)

可以用真值表證明如表 1-2。
此外,我們也可以觀察法來證明(1-90)式。注意若 A 和 B 為 1,則(1-90)
式的兩側均等於 0;且若 A 和 B 中至少有一為 0,則該式的兩側均等於
1。而(1-89)式也可以類似的觀察法來證明。狄摩根定律亦可表示成以下
的型式:

表 1-1 證明(1-88)式之真值表。

A B A+B A AB A + AB

0 0 0 1 0 0
0 1 1 1 1 1
1 0 1 0 0 1
1 1 1 0 0 1

表 1-2 證明狄摩根定律中之真值表。

A B A + B A+B AB AB A B AB A +B

0 0 0 1 0 1 1 1 1 1
0 1 1 0 0 1 1 0 0 1
1 0 1 0 0 1 0 1 0 1
1 1 1 0 1 0 0 0 0 0
1.10 邏輯函數 45

表 1-3 布林定理的歸納整理。
A +1= 1
OR A+0 = A
A+A = A
A1 = A
AND A0 = 0
基本定律
AA = A
A =A
NOT A + A =1
AA = 0
A+B =B+ A
交換律 AB = BA
( A + B ) + C = A + (B + C )
結合律 ( AB )C = A(BC )

分配律 A(B + C ) = AB + AC
A + AB = A
多餘特性 A + AB = A + B
( A + B )( A + C ) = A + BC

A + B + C + D +L = ABCD L
狄摩根定律
ABCD L = A + B + C + D + L

A + B + C + D + L = ABCD L (1-91)
以及

ABC L = A + B + C + D + L (1-92)
最後為了讀者參考方便起見,我們將這些布林定理歸納在表 1-3。

1.10.3 NAND 和 NOR 函數


大部份商用現成的電子開關並不會執行 OR 和 AND 等邏輯函數,反而
是執行 AND − NOT(NAND)以及 OR − NOT(NOR)等組合運算。首先考
慮 NAND 函數,
Y = AB (1-93)
其真值表如下:
46 第1章 電子電路的基本概念

A B AB AB
0 0 0 1
0 1 0 1
1 0 0 1
1 1 1 0

NAND 的運算敘述著:至少有一輸入是 0,則輸出是 1;若所有的輸入


是 1 時,則輸出才是 0。當 NAND 運算被應用到兩個變數以上時,則可
以寫為
Y = ABC L (1-94)
電路符號見圖 1-26。此外,NAND 的運算滿足交換律(commutative law),
也就是
Y = ABC = BAC = CAB = ACB = L (1-95)
但是無論如何,NAND 運算並不滿足結合律(associative law),因為
( AB)C ≠ A( BC ) (1-96)
接下來考慮 NOR 函數,
Y = A+ B (1-97)
其真值表如下:
A B A+ B A+ B
0 0 0 1
0 1 1 0
1 0 1 0
1 1 1 0

真值表中敘述:所有的輸入是 0,則輸出是 1;若至少有一輸入是 1,則


輸出是 0。NOR 的電路符號見圖 1-27。此外,NOR 的運算滿足交換律,
也就是

A ABC A
B Y = ABC B Y = ABC
C C

(a) (b)

圖 1-26 NAND 閘的電路符號


1.10 邏輯函數 47

A A
B Y = A+ B+C B Y = A+ B+C
C C

(a) (b)

圖 1-27 NOR 閘的電路符號。

Y = A+ B +C = B +C + A =L (1-98)
但是,NOR 運算並不滿足結合律
A+ B +C ≠ A+ B +C (1-99)

1.10.4 互斥−OR 函數
互斥−OR 函數之表示法為
Y = A⊕ B (1-100)
其定義如真值表所示:

A B Y
0 0 0
0 1 1
1 0 1
1 1 0

真值表中敘述:若正好僅有一個輸入為 1 時,則輸出為 1。此敘述亦等


效於 “若 A = 1 或 B = 1 但是 A 與 B 不能同時為 1,則 Y = 1。”以布林代數
來表示,則
Y = ( A + B)( AB) (1-101)
再者,互斥−OR 函數的布林代數表示式亦可由真值表中的第二和第三行
推導而得,即 “ A = 0 且 B = 1 ,則 Y = 1;或是 A = 1 且 B = 0 ,則 Y 亦等
於 1。”,於是

Y = A B + AB (1-102)
圖 1-28(a)中所示為實現此函數的電路圖。
互斥−OR 函數常被應用在電腦的算術單元中。而另一種應用則是作為
不等比較器 (inequality comparator),因為由真值表中可看出 “只有在
48 第1章 電子電路的基本概念

A AB
B
Y = A B + AB

AB

(a)

A AB
B
Y = AB + A B

AB

(b)

圖 1-28 實現互斥-OR 函數的電路圖。

A
Y
B

圖 1-29 互斥-OR 閘的簡化的電路符號。

A ≠ B 時,則 Y = 1 。” 利用此特性可以來檢驗兩個位元的不等性。於是
由互斥−OR 函數的真值表可得到另一種等效敘述:若 A 和 B 同時為 1
或是 A 和 B 同時為 0,則 Y = 0 。也就是
Y = AB + A B (1-103)
見圖 1-28(b)。而簡化的電路符號見圖 1-29。

1.10.5 乘積之和
第一種將考慮的邏輯函數標準型式為乘積之和(sum-of-products)。例如,
考慮此函數
Y = ( D + AB)( A + BC ) (1-104)
1.10 邏輯函數 49

為了將上式表示為乘積之和的型式,我們可以利用分配律 (distributive
law)將上式展開:
Y = ( D + AB) A + ( D + AB) BC
= D A + AAB + D BC + ABBC
= D A + AB + D BC + ABC (1-105)
此即我們想要的乘積之和。
有時候則必須使用狄摩根定律。例如,考慮
Y = ( AB + C ) D (1-106)
利用分配律可得
Y = ( AB) D + CD (1-107)

(1-107)式並不是我們想要的乘積之和的型式,因為式中出現了 NAND 函
數 AB 。於是我們利用狄摩根定律,
AB = A + B (1-108)
則(1-107)式可改寫為
Y = ( A + B ) D + CD = A D + B D + C D (1-109)

此即我們想要的型式。
注意在(1-105)式和(1-109)式中並不是每一項均包含了所有的變數。如
果做進一步的標準化將可使得所有的變數出現在每一項中,這種型式稱
為擴展的乘積之和 (expanded sum-of-products form) 。為了說明這件事
情,我們考慮表 1-4 中所對應 Y 的邏輯函數。

表 1-4 說明擴展的乘積之和所用的真值表。
變數
A B C Y

1 0 0 0 1
2 0 0 1 0
3 0 1 0 1
4 0 1 1 1
5 1 0 0 1
6 1 0 1 0
7 1 1 0 1
8 1 1 1 1
50 第1章 電子電路的基本概念

由表 1-4 中得知,在第 1、3、4、5、7 和 8 列中 Y = 1 。首先考慮第 1


列,由此列得知若 A = 0 且 B = 0 且 C = 0 時,則 Y = 1 。亦即
ABC = 1 (1-110)
讀者可檢驗此式發現只有當 A = 0 、 B = 0 和 C = 0 時,這個表示式才等
於 1。又例如考慮第 4 列可得
A BC = 1 (1-111)
注意不論第 1 列或是第 4 列均將導致 Y = 1。因此,就僅考慮此二列而言,
我們可以寫下
Y = A B C + A BC (1-112)
其中(1-112)式中右式的這兩項分別代表真值表中的第 1 列和第 4 列。於
是,由此下去可得出最後的邏輯方程式為
Y = A B C + A BC + A BC + AB C + ABC + ABC (1-113)
此即擴展的乘積之和的型式,其中的每一項稱之為最小項(minterm)。不
過,(1-113)式可以簡化為
Y = B+C (1-114)
讀者可自行證明。

1.10.6 和之乘積
另一種邏輯函數的標準型式為和之乘積(product-of-sums)。我們再次考慮
(1-104)式,同時希望將式中的 AB 和 BC 這兩項轉變為個別變數之和。
於是利用(1-83)式代入(1-104)式可得
Y = ( D + AB)( A + BC )
= ( D + A)( D + B)( A + B )( A + C ) (1-115)

注意此例中每一項乘積因子僅包含四個變數中的兩個變數之和。
所謂擴展的和之乘積(expanded product-of-sums form)則在每一項乘積
因子中均會出現所有的變數。讓我們重新考慮表 1-4,並且注意在第 2
和 6 列中 Y = 0 。對於第 2 列而言,我們可以得到一項( A + B + C )的因
子,也就是說,當 A = 0 且 B = 0 且 C = 1 時,則 Y = 0。同理第 6 列所
對應的因子為 ( A + B + C ) 。同時注意當這兩個因子中有任何一者是 0,
也就是有某一個因子中的每一項都是 0,則 Y 必為 0。因此,這兩項因
子必須要乘在一起,導致一擴展的和之乘積的型式:
1.10 邏輯函數 51

A AD
D

B BD
Y
D

C
D CD

圖 1-30 對應(1-117)式的邏輯電路。

Y = ( A + B + C )( A + B + C ) (1-116)

上式三個乘積因子中的每一項稱為最大項(maxterm)。此外,讀者也可以
自行檢驗(1-116)式是否滿足表 1-4 中的每一列。

1.10.7 標準式的合成
考慮(1-109)式中的乘積之和表示式:
Y = A D + B D + CD (1-117)
此式所對應的邏輯電路顯示於圖 1-30。由此圖可看出它是由三個(乘積項
的數目) AND 閘其後連接一個 OR 閘所組成的,這種電路稱之為二級
AND-OR 電路。很明顯可得知所有的乘積之和方程式均可以這種類似的
二級 AND-OR 電路來實現。
若我們考慮的是和之乘積表示式,則所獲得的電路將是一二級
OR-AND 電路,其中第一級是 OR 閘和第二級 AND 閘。

1.10.8 僅使用 NAND 或 NOR 的運算與合成


事實上為了方便起見,在邏輯電路的設計上往往是僅使用一種型式的邏
輯閘,也就是 NAND 閘或是 NOR 閘。且尤其是針對以 IC 型式出現的
邏輯電路特別如此。
首先讓我們考慮僅僅使用 NAND 閘。例如,利用一個 NAND 閘其後
跟隨一反相器即可得到 AND 運算,見圖 1-31。因為

AB = AB (1-118)
52 第1章 電子電路的基本概念

A AB AB
B

圖 1-31 僅使用 NAND 閘來執行 AND 運算。

Y = AB = A + B = A + B (1-119)
對應之電路見圖 1-32。
接下來我們考慮較複雜的表示法,例如:
Y = B + AC (1-120)
利用狄摩根定律可得,
Y = B + A C = ( B )( A C ) (1-121)
因此

A
A

AB = A + B

B
B

圖 1-32 僅使用 NAND 閘來執行 OR 運算。

B
B
Y

A
A
AC

圖 1-33 僅使用 NAND 閘來實現 Y = B + AC 。


1.10 邏輯函數 53

Y = Y = ( B )( A C ) (1-122)
對應之電路見圖 1-33。
以下我們將顯示如何利用非常簡單的步驟將二級 AND-OR 或是
OR-AND 電路轉變為一僅使用 NAND 或是僅使用 NOR 的電路。例如,
針對此乘積之和的表示式:
Y = A B + AC + AB (1-123)
此式所對應的電路見圖 1-34(a)。接著,將狄摩根定律代入(1-123)式可得
Y = A B + AC + AB = ( A B )( AC )( AB ) (1-124)
因此

Y = Y = ( A B )( AC )( AB ) (1-125)
僅使用 NAND 閘來實現上式所對應的電路圖見圖 1-34(b),且此電路與
圖 1-34(a)中者完全對等。
接下來,我們將(1-123)式改寫為和之乘積的表示式:
Y = ( A + B)( A + B + C ) (1-126)
此式所對應的電路見圖 1-35(a)。接著,將狄摩根定律代入(1-126)式可得
Y = ( A + B )( A + B + C ) = ( A + B ) + ( A + B + C ) (1-127)
所以
Y = Y = ( A + B) + ( A + B + C ) (1-128)
僅使用 NOR 閘來實現上式所對應的電路圖見圖 1-35(b),且此電路與圖
1-35(a)中者完全對等。

A AB AB
A
B B

A AC A AC
Y Y
C C
A A
B AB B AB

(a) (b)

圖 1-34 (a)二級 AND-OR 電路,(b)僅使用 NAND 閘的電路,此電路與(a)中者完全等效。


54 第1章 電子電路的基本概念

A A+B A A+ B
B B
Y Y
A A
B B
C A + B +C C A +B +C

(a) (b)

圖 1-35 (a)二級 OR-AND 電路,(b)僅使用 NOR 閘的電路,此電路與(a)中者完全等效。

結論是:如欲設計一僅使用 NAND 的電路,可將邏輯方程式先轉變為


乘積之和的型式;接著畫出所對應的二級 AND-OR 電路,最後將所有的
閘轉變為 NAND 即可。此外,如欲設計一僅使用 NOR 的電路,可將邏
輯方程式先轉變為和之乘積的型式;接著畫出所對應的二級 OR-AND 電
路,最後將所有的閘轉變為 NOR 即可。

■例題 3
簡化邏輯函數 Y = A B + AB + A B 。

解:
布林代數運算如下:
Y = B ( A + A) + A B
= B (1) + A B = B + A B
= B+ A

■例題 4
若 Y = A B + AB ,試用布林定理求出 Y 的表示法。

解:
布林代數運算如下:

Y = A B + AB = ( A B )( AB )
= ( A + B )( A + B ) = ( A + B )( A + B )
= AA + AB + B A + B B = 0 + AB + A B + 0
= AB + A B

與(1-103)式吻合。
1.11 反相器 55

1.11 反相器

1.11.1 基本概念
邏 輯 反 相 器 (logic inverter) 基 本 上 是 一 壓 控 開 關 (voltage- controlled
switch),其符號與示意圖見圖 1-36。當 v I 為低態(0V 附近)時,開關為開
路(open),因此輸出電壓 vO 為高態(等於供應電壓 VDD )。當 v I 為高態(超
過了某一定的臨界電壓)時,開關為閉路(closed),因此輸出電壓 vO 為低
態(0V),見圖 1-37(a)。顯然此電路執行了邏輯反相的作用。
實際的反相器與圖 1-36 中的觀念性電路有三點不同。第一,反相器的
輸入端通常會經由驅動元件中汲取部份電流。第二,開關並非理想;特
別是當開關為閉路時,本身並非短路,而是具有一導通電阻(on resistance)
Ron 以 及 一 額 外 的 電 壓 降 Voff [ 稱 為 偏 移 電 壓 (offset voltage)] , 見 圖
1-37(b)。其結果將造成開關在導通狀態時, vO 並非為零。第三,反相器
的切換並非瞬間完成,介於輸入訊號加入至輸出訊號產生變化之間必然
存在一延遲時間(delay time)。

1.11.2 電壓轉換特性
理想的轉換特性
圖 1-38 顯示一在電源供應器 VDD 操作下理想反相器的轉換特性。如圖所

VDD VDD

R
vO
vI vO
vI

(a) (b)

圖 1-36 邏輯反相器的符號與示意圖。
56 第1章 電子電路的基本概念

vI
VDD
VDD

0 R
0 T/2 T t
vO

vO
Ron
VDD
Voff

0
0 T/2 T t

(a) (b)

圖 1-37 (a)理想反相器輸入與輸出訊號間之關係,(b)一實際開關在導通狀態的等效電路。

示,此反相器表現一切換臨界電壓(switching threshold) Vth = VDD 2 。在


Vth 之下的輸入訊號視為低態,所對應的輸出電壓等於 VDD 。在 Vth 之上的
輸入訊號視為高態,所對應的輸出電壓等於 0V。注意此反相器對輸入
訊號電壓值發生錯誤的容忍度相當高。
實際的轉換特性
圖 1-38(b)顯示一實際反相器的轉換特性。注意,現在我們無法再清楚定
義所謂的切換臨界電壓,且在高和低兩態之間存在一變遷區域(transition
region)。另外,高態輸出( VOH )和低態輸出( VOL )分別不再等於 VDD 和 0V。
此圖中可以被區分為三個區域,首先是低輸入區: v I < VIL ,再者為數位
禁區: VIL ≤ v I ≤ VIH ,最後是高輸入區: v I > VIH 。
因為轉換特性在這些操作區之間的變化並不是很急劇,所以習慣上將
電壓特性曲線上斜率正好 電壓特性曲線上斜率正好等於 − 1 的那一點分別定義為 VIL 和 VIH ,見圖
等於 −1 的那一點分別定 1-38(b)。其中 VIL 和 VIH 分別代表 VIL 最大可允許的邏輯 0 之值以及 VIH 最
義為 VIL 和 VIH。
小可允許的邏輯 1 之值。
雜訊邊限
再次考慮圖 1-38(b)的轉換特性。因為在一個邏輯系統中,一個閘(gate)
1.11 反相器 57

vO vO
B 斜率 = −1
VDD VOH

NML NMH

斜率 = −1

A
VOL
0
VDD VDD vI 0 VOL VIL VIH VOH vI
Vth =
2
(a) (b)

圖 1-38 (a)理想反相器的轉換特性,(b)實際反相器的轉換特性。

電壓

VOH
NMH
VIH

VIL
NML
VOL

圖 1-39 邏輯準位圖,並顯示雜訊邊限。

通常需要再驅動下一個閘。因此我們考慮輸出為高態 VOH 的一個閘驅動


另一個完全相同的負載閘,則負載閘所指定的最小輸入邏輯 1 的值是
VIH 。由此得知 (VOH − VIH ) 代表一安全邊限,也就是只要疊加在驅動閘輸
出( VOH )的雜訊其振幅不超過 (VOH − VIH ) ,則此系統即能正常地操作。因
58 第1章 電子電路的基本概念

此我們將此差值稱為 “高” 雜訊邊限( “high” noise margin),以 NM H 表


示;即
Δ
NM H =VOH − VIH (1-129)
同理,“低” 雜訊邊限( “low” noise margin) NM L 定義為

NM L =Δ VIL − VOL (1-130)

以邏輯準位圖可顯示雜訊邊限之意義,見圖 1-39。

§ 練習題 1.1 圖 1-2 電路中,令 R1 = R4 = 1 kΩ , R2 = R3 = 2 kΩ , Vs = 3V 。計算


(a) 電流 I 1 、 I 2 、 I 3 、 I 4 。
(b) 電源 Vs 的供應功率 Ps 。
(c) 四電阻上的功率散逸 PD1 、 PD 2 、 PD 3 和 PD 4 。
(d) 由功率觀點證明能量不滅原理。
1.2 若將附圖之 R3 改為電壓控制電流源(voltage controlled current source)
g mV1,其中 g m 稱為轉導(transconductance),其值為 g m = 0.5 mA V ,
並重繪如下圖。
(a) 計算 V1 和 g mV1 。
(b) 電流源的散逸功率 PD 3 。
(c) 討論是否滿足能量不滅原理。

R1=1kΩ I1 I2

+ V1 − +
VS=3V gmV1 V2 R2=2kΩ

R4=1kΩ

習題 1.2 附圖。

1.3 參考圖 1-7 的電源轉換電路,


(a) 令圖 1-7(a)中 VS = 2V, RS = 1 kΩ ,問圖 1-7(b)中 I S 和 RP 分別為
何?
練習題 59

(b) 令圖 1-7(b)中 I S = 3mA, RP = 2 kΩ ,問圖 1-7(a)中 VS 和 RS 分別


為何?

1.4 考慮一放大器,其電壓轉換特性曲線如附圖:
(a) 計算線性區的電壓增益 Av 。
(b) 輸入一振幅 100mV,頻率為 1kHz 的正弦波,則輸出訊號表示為
vO (t ) = Av v I (t ) 是否正確?
(c) 繪出輸出波形 vO (t ) 。

vO
5V

−0.05V
0.05V vI

−5V

習題 1.4 附圖。

1.5 有一放大器,其轉換函數為 vO = tan −1 (100v I ) ,其中 vO 與 v I 之單位


均為伏特,計算
(a) 線性區電壓增益 Av 之表示式。
(b) 計算當 v I = 10mV 和 v I = 30mV 時的電壓增益。
[提示: d tan −1 x dx = (1 + x 2 ) −1 ]

1.6 考慮一 B 類輸出級電路,令供應功率 PS = 10W,傳送至負載功率


PL = 6W,參考(1-45)式並忽略 PI ,計算
(a) 功率轉換效率 η 。
(b) 功率散逸 PD 。

1.7 (a) 考慮一電阻分壓電路,如附圖(a),試寫出 vO 與 v S 之關係式。


(b) 現在圖(a)的○
a 、○
b 兩點間加入一緩衝電路(buffer),其中 Ri 代表
輸入電阻(input resistance), Ro 代表輸出電阻(output resistance), μ
稱為開路電壓增益(open-circuit voltage gain)。試寫出 vO 與 v S 之關
60 第1章 電子電路的基本概念

係式。
(c) 試問在什麼條件下會產生 vO = v S 。

RS a b RS a Ro b
vO vO
+
vS + RL vS + Vi Ri + μ vi RL
− − −

(a) (b)

習題 1.7 附圖。

1.8 考慮附圖所示之 STC 低通 RC 網路,


(a) 以 s-區域分析,推導轉換函數 H ( s ) =Δ Vo ( s ) Vi ( s ) 。
(b) 如附圖(b)所示輸入一高度為 V 之步階函數,證明輸出波形 vO (t )
為 vO (t ) = V (1 − e − t τ ) ,其中 τ =Δ RC ,即時間常數。
(c) 證明 vO (t ) 在原點的微分值為 V τ 。

vI (t)
V

+ R + t
vI C vO
vO (t)
− −
V

τ t
(a) (b)

習題 1.8 附圖。
參考書目 61

1.9 考慮一單一極點低通放大器,令直流增益為 40dB,3dB 轉角頻率為


100 kHz。
(a) 繪出大小響應波德圖。
(b) 以 dB 表示的 f = 400 kHz 和 f = 4 MHz 時的增益值。

− 10 2
1.10 有一低通濾波電路,其轉換函數為 H ( jf ) = ,其中
f
1+ j
fp
f P = 1.5kHz。
(a) 當 f = f T 時, H ( jf T ) = 1 ,問 f T 值為何?
(b) 計算在 f T 處,此轉換函數所提供的相位移 θ 。

1.11 利用布林定理證明(1-123)式和(1-126)式是相等的。

1.12 (a) 考 慮 一 TTL 數 位 電 路 , 其 轉 換 特 性 臨 界 點 數 值 分 別 為


VOH = 3.8V, VOL = 0.1V, VIL = 0.5V, VIH = 1.4V。
(b) 考慮一 ECL 數位電路,其轉換特性臨界點數值分別為 VOH = 3V,
VOL = 2.4V, VIL = 2.585V, VIH = 2.815V。
試分別計算上述兩電路之雜訊邊限 NM H 和 NM L 。

參考書目
1. J. W. Nilsson and S. Riedel, “Electric Circuits,” Circuits,” 6th ed. Oxford University Press,
9th ed. Prentice Hall, 2010. 2009.

2. H. W. Bode, “Network Analysis and Feedback 6. J. Millman, and A. Grabel. “Microelectronics,”


Amplifier Design,” Princeton, NJ; D. Van 3rd ed. New York: McGraw-Hill Book Co.,
Nostrand Co., 1945. 1999.

3. W. H. Hayt, and J. E. Kemmerly. “Engineering 7.R. T. Howe and C. G. Sodini, “Microelectronics:


Circuit Analysis,” 4th ed. New York; An Integrated Approach,” Prentice-Hall
McGraw-Hill Book Co., 1986. International, Inc., 1996.

4. E. S. Kuh, and R. A. Rohrer. “Theory of Linear 8. D. E. Hodges, and H. G. Jackson. “Analysis


Active Networks,” San Francisco: Holden-Day, and Design of Digital Integrated Circuits,”
Inc., 1967. McGraw-Hill Book Company, New York,
1983.
5. A. S. Sedra and K. C. Smith, “Microelectronic
7

運算放大器電路

在類比電路系統中,運算放大器可說是應用最廣泛也
最重要的電路方塊。所謂運算,是指藉由此放大器可
對類比訊號執行各類運算,包括加法,積分,微分,
濾波,整流,放大,阻抗轉換等。首先,我們將介紹
運算放大器的基本特性與理想的等效電路模型。接下
來,主要的工作就在以等效電路模型分析各類型的電
路。最後,我們再考慮非理想特性對電路表現所造成
的衝擊,例如延遲率和直流偏移電壓等。

2.1 運算放大器的簡介
2.2 反相放大器
2.3 非反相放大器
2.4 反相組態的應用
2.5 差動放大器
2.6 運算放大器的其它應用
2.7 積體電路運算放大器的簡介
2.8 頻率響應
2.9 大訊號操作
2.10 共模排斥比
2.11 輸入和輸出電阻
2.12 直流偏移電壓和偏壓電流
2.1 運算放大器的簡介 63

2.1 運算放大器的簡介

2.1.1 電路符號
算放大器(operational amplifier,簡稱 op amp)具有三個端點:兩個
運 輸入端和一個輸出端。圖 2-1(a)顯示一代表 op amp 的電路符號,
端點○
a 和○
b 為輸入端,端點○
c 為輸出端。事實上,此放大器需要直流(簡
稱 dc)電源才能工作,而大部份的 IC op amp 需要兩個 dc 電源供應器,
如圖 2-1(b)和(c)中我們顯示兩個作為電池的 dc 電源供應器,兩者並具有
一共同接地(common ground)。注意在 op amp 電路中的參考接地點就是 注意在 op amp 電路中的
這兩個電源供應器的共同端點;也就是,此 op amp 的包裝上沒有任何 參考接地點就是兩電源供
應器的共同端點。
端點是接地的。

V+
d
b − b −
c c
a + a +
e
V−

(a) (b)

d V+
b −
c
a +
e V−

(c)

圖 2-1 (a)運算放大器的電路符號,(b)(c)連接至 dc 電源供應器的運算


放大器。
64 第2章 運算放大器電路

b

0
vb +

c
A(va − vb )
+

a
+
0
va +

圖 2-2 一理想運算放大器的等效電路。

除了此三個端點和兩個電源供應器端點之外,一個 op amp 可能還有


其他具有特殊用途的端點。這些其他的端點包括了頻率補償(frequency
compensation)端點和做偏移消除(offset nulling)的端點。

2.1.2 理想特性
現在我們考慮一理想 op amp 的特性,可參考圖 2-2。分別介紹如下:一
個 op amp 的主要功能是用來感知出現在兩輸入端電壓訊號間的差額(也
就是, va − vb 這個量),並將此量乘以 A 後造成一 A ( va − vb )的電壓出現
在輸出端○
c 。
無窮大輸入電阻
理想的 op amp 不會汲取任何輸入電流;也就是進入端點○
a 的訊號電流
和進入端點○
b 的訊號電流均為零。換言之,一理想 op amp 的輸入阻抗
是無窮大。
零輸出電阻
輸出端 ○
c 為一理想電壓 至於輸出端○c 則被假設成一理想電壓源(ideal voltage source)。也就是,
源。也就是,介於端點○
c c 和接地點間的電壓必等於 A ( va − vb ),與負載阻抗的大小無
介於端點○
和接地點間的電壓等於 關。換言之,一理想 op amp 的輸出阻抗被假設為零。
A(va – vb),與負載的大小
綜合以上所述,我們可以得到一等效電路模型,如圖 2-2 所示。注意
無關。
輸出與 va 為同相位(in phase),與 vb 則為反相位(out of phase)。基於此原
因,輸入端○
b 被稱為反相輸入端(inverting input terminal)並以一“−”號表
示,而端點○
a 則被稱為非反相輸入端(noninverting input terminal)並以一
2.2 反相放大器 65

“+”號表示。同時也注意 op amp 為一差額輸入和單端輸出(differential op amp 為一差額輸入和


−input single−ended−output)放大器。 單端輸出之放大器。

完美的共模排斥
由以上描述可以看出 op amp 只對差額訊號 va − vb 有反應,因此它完全忽
視兩輸入間共同的訊號部份。也就是說,若 va = vb = 1V,則輸出將為零。
我們稱此特性為共模排斥(common-mode rejection),因此一理想的 op
amp 具有一完美的共模排斥。再者,增益 A 被稱為差動增益(differential
gain),理由已很明顯。
無窮大的頻寬
理想 op amp 具有一增益 A,且此增益在零頻率至無窮大頻率之間均保持
常數。也就是說,理想的 op amp 將以相同增益放大任何頻率的訊號。
無窮大的差動增益
理想 op amp 必須具有一非常大甚至無窮大的增益 A 。接著讀者可能要
問:若增益 A 是無窮大,那麼我們要如何使用 op amp 呢?答案非常簡
單 : 在 許 多 的 應 用 上 , op amp 將 不 會 使 用 開 迴 路 組 態 (open-loop
configuration)。反而我們將要外加回授(feedback)以關閉繞著 op amp 的
迴路,這點將在下一節中詳細討論。

2.1.3 直接耦合的觀念
op amp 具有一重要特性就是它們是直接耦合元件(direct-coupled devices)
或稱 dc 放大器,其中 dc 代表直接耦合(direct-coupled)。因為一直接耦合 一直接耦合放大器可以放
放大器可以放大頻率低至零的訊號,所以 dc 又可以代表直流(direct 大頻率低至零的訊號,所
以 dc 又可以代表直流。
current)。op amp 是直接耦合元件這個事實將為我們帶來許多重要的應
用。但不幸的,直接耦合的特性也會造成一些嚴重的問題,我們將在後
面討論。

2.2 反相放大器
考慮圖 2-3(a)中之電路,它包括了一個 op amp 和兩個電阻 R1 和 R2 。電
阻 R2 由 op amp 的輸出端(端點○
c )連接回反相或負輸入端(端點○
b )。因
此我們說利用 R2 產生了負回授(negative feedback);反之,若 R2 被連接
在端點○
c 和○
a 之間,則稱為正回授(positive feedback)。同時注意 R2 亦關
閉了圍繞 op amp 的迴路。除了 R2 以外,我們還將端點○
a 接地且在介於
端點○
b 和輸入訊號源之間接上一電阻 R1 。整個電路的輸出在端點○
c 被
66 第2章 運算放大器電路

取出。而端點○ c 當然是取出輸出訊號最方便的一點,因為在那裡的阻抗
準位(impedance level)為零(理想情況)。因此電壓 vO 將與供應至一負載阻
抗上的電流值無關。

2.2.1 理想情況
閉迴路增益
現在我們欲分析圖 2-3(a)的電路以求出閉迴路增益(closed -loop gain)
G,定義為
vO
G =Δ (2-1)
vI
假設 op amp 為理想,分析如下:增益 A 非常大(理想為無窮大)。若我
們假設這個電路正在“工作中”且在端點○ c 產生一有限的輸出電壓,則介
於 op amp 兩輸入端間的電壓必須非常小。也就是,
vO
v a − vb = ≅0 (2-2)
A

vb ≅ v a (2-3)
兩輸入端 “在電位上相互 因為增益 A 趨近於無窮大,所以 vb 趨近於 va 。可以說兩輸入端 “在電位
追蹤”,或者說在兩輸入端 上相互追蹤”,或者說在兩輸入端之間存在一 “虛短路” (virtual short
間存在一 “虛短路”。 a 正好接地;因此 va = 0 且 vb = 0 。此時我們稱端點○
circuit)。由於端點○ b
為虛接地(virtual ground)──也就是說,vb 雖為零電壓但實際上並沒有接地。
參考圖 2-3(b),流經電阻 R1 的電流 i1 為

R2 R2

i1
R1 b 0
+
− c −
vO R1 0V vO
vI + + vI + +
− a − R =R −
in 1
Rout=0

(a) (b)

圖 2-3 (a)反相閉迴路組態,(b)分析過程。
2.2 反相放大器 67

v I − vb v I
i1 = ≅ (2-4)
R1 R1

因為理想的 op amp 具有一無窮大的輸入阻抗,所以不會汲取任何電流。


因此 i1 必須流經電阻 R2 而到達低阻抗端點○
c 。輸出電壓 vO 為

vI
vO = vb − i1 R2 = 0 − R2 (2-5)
R1

因此,
vO R
G =Δ =− 2 (2-6)
vI R1

此即閉迴路增益。圖 2-3(b)顯示分析的過程。
因此我們發現閉迴路增益僅與兩個電阻 R1 和 R2 的比值有關。而負號
代表此閉迴路放大器提供訊號反轉(signal inversion),也因此這個組態稱
為反相組態(inverting configuration)。
閉迴路增益完全由外界的被動元件決定,這是非常有趣的。它代表在 閉迴路增益完全由外界的
理想情況下,閉迴路增益與 op amp 的增益無關。這一點已經強烈顯示 被動元件決定。

出負回授的特性─即訊號由一具有非常大增益 A 的放大器出發,再經由
外加負回授可得到一閉迴路增益 R2 R1 ,其中閉迴路增益 R2 R1 遠小於
A 但它很穩定且其值可預測。也就是說,利用負回授可獲得增益的精確
性和穩定性。
輸入電阻
圖 2-3(a)中閉迴路反相放大器的輸入電阻等於 R1,這可由圖 2-3(b)得知,

vI vI
Rin =Δ = = R1 (2-7)
i1 vI
R1

如果希望使 Rin 很高,則必須選擇一大的 R1。但是,若同時希望增益 R2 R1


也很高,則 R2 可能變為一極大且不實際的值。因此結論是低輸入電阻是 低輸入電阻是反相組態的
缺點。
反相組態的一項缺點。

2.2.2 有限開迴路增益的效應
現在考慮 op amp 的開迴路增益為有限值的情況,分析見圖 2-4。若輸出電
壓為 vO ,則 op amp 兩輸入端間的電壓等於 vO A ;又因為非反相輸入端是
接地的,因此反相輸入端的電壓即為 − vO A。故流經電阻 R1 上的電流 i1 為
68 第2章 運算放大器電路

v I − vb
i1 =
R1
R2

R1 0
v −
vb = − O A vO
A +
vI +

圖 2-4 反相組態中考慮 op amp 的有限開迴路增益之分析。

⎛ v ⎞ vO
v I − ⎜⎜ − O ⎟⎟ vI +
⎝ A ⎠ A
i1 = = (2-8)
R1 R1

由於 op amp 無窮大的輸入阻抗遂強迫電流 i1 全部流經 R2 ,因此輸出電


壓為
vO v ⎛ v + (vO A) ⎞
vO = − − i1 R2 = − O − ⎜ I ⎟ R2 (2-9)
A A ⎝ R1 ⎠
整理後可得閉迴路增益 G ,
R2

vO R1
G =Δ = (2-10)
vI 1⎛ R ⎞
1 + ⎜⎜1 + 2 ⎟⎟
A⎝ R1 ⎠
注意當 A 趨近於 ∞ ,G 趨近於理想值 − R2 R1 。此外,由(2-10)式可看出
若希望使閉迴路增益 G 受開迴路增益 A 的影響降至最低,則我們必須選
擇 R1 和 R2 使之滿足
R2
1+ << A (2-11)
R1
此時,閉迴路增益 G 將近似於 − R2 R1 。

■例題 1
若吾人將圖 2-3 反相組態電路中的 R2 以一 T -型網路取代,試計算其閉
迴路增益 vO v I 。
2.2 反相放大器 69

i3
20kΩ 20kΩ A

R2 R4
2kΩ R3
i1 i2
1kΩ 0
− −
vO R1 vO
vI + + vI + +
− −

(a) (b)

圖 2-5 例題 1:(a)電路圖,(b)(a)中電路的分析過程。

解:反相輸入端的電壓 v b 為
− vO − vO
vb = = =0
A ∞
這裡我們已經假設此電路正在 “工作中” 且產生一有限輸出電壓
vO 。因此電流 i1 為
v I − vb v I − 0 v I
i1 = = =
R1 R1 R1
現在我們要決定在節點○
A 處的電壓:

vI R
v A = v b − i1 R 2 = 0 − R2 = − 2 v I
R1 R1
電流 i2 為
0 − vA R
i2 = = 2 vI
R3 R1 R3
電流 i3 為
vI R
i3 = i1 + i2 = + 2 vI
R1 R1 R3
最後輸出電壓 vO 為
R2 ⎛v R ⎞
vO = v A − i3 R4 = − v I − ⎜ I + 2 v I ⎟ R4
R1 ⎝ R1 R1 R3 ⎠
因此電壓增益為
70 第2章 運算放大器電路

vO ⎡R ⎛ R ⎞⎤ R
= − ⎢ 4 ⎜⎜1 + 2 ⎟⎟⎥ − 2 = −240
vI ⎢⎣ R1 ⎝ R3 ⎠⎦⎥ R1

2.3 非反相放大器

2.3.1 電路分析
我們將研究的第二種閉迴路組態,見圖 2-6(a)稱為非反相組態。這裡輸
入訊號 v I 被直接加在 op amp 的正輸入端。決定此電路閉迴路增益( vO v I )
之分析見圖 2-6(b)。假設 op amp 是理想的(無窮大增益,兩輸入端之間
存在一虛短路),因此差額輸入訊號在 A = ∞ 條件下為
vO
v a − vb = =0 (2-12)
A

vI − 0 v I
R2 =
R1 R1 R2

R1 b
− c R1
vO −
+ vO
a +
vI +
− +
vI

(a) (b)


vO
+

vI +

(c)

圖 2-6 (a)非反相組態,(b)非反相組態的分析,(c)電壓追隨器。
2.4 反相組態的應用 71

因此輸出電壓為
⎛v ⎞
vO = vI + ⎜⎜ I ⎟⎟ R2 (2-13)
⎝ R1 ⎠
得閉迴路增益 G 為
vO R
G =Δ = 1+ 2 (2-14)
vI R1

接著我們對非反相組態的操作進一步的討論。在負回授路徑上的分壓
器(voltage divider)將使得部份的輸出電壓出現在 op amp 的反相輸入端
vb ;也就是,

⎛ R1 ⎞
vb = vO ⎜⎜ ⎟⎟ (2-15)
⎝ R1 + R2 ⎠
由於 op amp 無窮大的增益和導致的虛短路特性將使得此電壓等於外加
在正輸入端的電壓;因此
⎛ R1 ⎞
vO ⎜⎜ ⎟⎟ = v I (2-16)
⎝ R1 + R2 ⎠
非反相組態的增益是正的──因此稱為非反相(noninverting)。此閉迴
路放大器的輸入阻抗在理想情況下為無窮大,主要是因為沒有電流流入
op amp 的正輸入端。高輸入阻抗的特性為非反相組態的一主要優點。 高輸入阻抗為非反相組態
的一主要優點。

2.3.2 電壓追隨器
由以上的特性得知,吾人可以利用此電路作為一緩衝放大器 (buffer
amplifier)以連接一高阻抗源至一低阻抗負載。而在許多應用上緩衝放大
器並不需要提供任何電壓增益;反而它主要是作為一阻抗轉換器
(impedance transformer) 或是一功率放大器。在這些情況下我們可以令
R2 = 0 且 R1 = ∞ 即可得到一個單位增益放大器(unity-gain amplifier),見
圖 2-6(c)。此電路一般稱為電壓追隨器(voltage follower)。在理想情況下,
此電壓追隨器的三重要特性為 vO = v I , Rin = ∞ ,和 Rout = 0 。

2.4 反相組態的應用
我們使用兩個阻抗 Z1 和 Z 2 取代原有反相組態中的兩個電阻 R1 和 R2 ,見
圖 2-7。則閉迴路轉換函數(closed-loop transfer function) Vo Vi 為
72 第2章 運算放大器電路

Z2

Z1

+
+ +
Vi Vo
− −

圖 2-7 在回授迴路和輸入處具有一般阻抗的反相組態。

Vo Z
=− 2 (2-17)
Vi Z1

2.4.1 反相積分器
考慮一特例如下: Z1 = R 和 Z 2 = 1 sC 。於是,
Vo −1
= (2-18)
Vi sCR
其中對實際頻率而言, s = jω ,則
Vo −1 ω
= =− 0 (2-19)
Vi jω CR jω
此轉換函數即對應積分;也就是, vO (t ) 將是 vI (t ) 的積分。其中 ω 0 定義
為積分時間常數之倒數,
1
ω0 = (2-20)
RC
若以 dB 表示可寫成
Vo ⎛ω ⎞
(dB) = −20 log⎜⎜ ⎟⎟ (2-21)
Vi ⎝ ω0 ⎠
上式代表在頻譜上為一直線,其斜率為 − 20 dB/decade。顯然,當 ω = ω 0
時, Vo Vi (dB) = 0 dB ,見圖 2-8(b)。
另一方面,在時域 (time-domain) 中為了了解這個問題,重新考慮圖
2-8(a)的電路。其中輸出電壓 vO (t ) 為
1 1
vO (t ) = −
C ∫ i1 dt = −
RC ∫
v I (t )dt (2-22)
2.4 反相組態的應用 73

C Vo
20 log
Vi
i1
(dB)
− −20dB/decade
+ R
+ +
vI (t)
vO(t)
− −
0 ω0 ω

(a) (b)

圖 2-8 (a)反相積分器,(b)理想積分器之頻率響應。

若考慮 vO (t = 0) 之初值問題,則
1 t
RC ∫ 0
vO (t ) = vO (t = 0) − v I (t ′)dt ′ (2-23)

因此 vO (t ) 為 vI (t ) 的時間積分,電壓 vO (t = 0) 則是此積分過程的起始條
件,而時間常數 CR 稱為積分時間常數(integration time constant)。因為在
轉換函數中出現了一負號,所以我們稱此積分電路為反相的;習慣上也
稱為米勒積分器(Miller integrator)。
圖 2-8(b)顯示一米勒積分器的大小響應。注意在頻率為零處,閉迴路
增益是無窮大的。也就是說,在 dc 狀態下,op amp 操作成一開迴路(電
容對 dc 而言為開路)。

2.4.2 反相微分器
考慮另一特例,即 Z1 = 1 sC 和 Z 2 = R 。於是,
Vo
= − sCR (2-24)
Vi
或者以實際頻率表示,
Vo ω
= − jω CR = − j (2-25)
Vi ω0
或以 dB 表示,
Vo ⎛ω ⎞
(dB) = 20 log⎜⎜ ⎟⎟ (2-26)
Vi ⎝ω0 ⎠
74 第2章 運算放大器電路

R
Vo
20 log
Vi
C
(dB)

+
+ +
vI (t)
vO(t) +20dB/decade
− −
ω0 ω

(a) (b)

圖 2-9 (a)微分器,(b)一微分器的頻率響應。

顯示在頻譜上為一直線,其斜率為 + 20 dB/decade,見圖 2-9(b)。另一方


面,在時域的計算上,讀者可自行證明此電路執行微分運算,即
dv I (t )
vO (t ) = − RC (2-27)
dt

此微分器可以說是一個 “雜訊放大者” (noise magnifier)。若在輸入處接


收干擾訊號而使得 v I (t ) 產生一驟變,則輸出將產生一尖峰(spike)。代表
使用時很容易受到高頻雜訊的影響,故實際上我們儘量避免使用此微分
器電路。

2.4.3 濾波器
圖 2-10(a)為一低通濾波器(low-pass filter)電路,經推導可得
R2

R1 −K
G(s) = = (2-28)
s s
1+ 1+
ωH ωH
其中 − R2 R1 代表低頻或直流增益,而高 3dB 頻率(upper 3dB frequency)
ω H = 1 R2 C 。此外,取其大小值可得
K
G ( jω ) = (2-29)
2
⎛ ω ⎞
1 + ⎜⎜ ⎟⎟
⎝ ωH ⎠
2.4 反相組態的應用 75

C
R2
R2

R1 R1 C
Vi Vi −

Vo Vo
+ +

(a) (b)

圖 2-10 (a)低通濾波器電路,(b)高通濾波器電路。

令 ω = ω T 時, G ( jω T ) 衰減至 1 (0dB),可解出
ω T = Kω H (2-30)
其中 ω T 稱為單位增益頻率(unity-gain frequency),更深一層的意義為增益 ωT 稱為單位增益頻率,更
頻寬乘積(gain-bandwidth product)。 深一層的意義為增益頻寬
乘積。
圖 2-10(b)為一高通濾波器(high-pass filter)電路,經推導可得

R2

R1
G (s) = (2-31)
ωL
1+
s
其 中 − R2 R1 代 表 高 頻 增 益 , 而 低 3dB 頻 率 (lower 3dB frequency)
ω L = 1 R1C 。

2.4.4 反相加法器
圖 2-11 的電路為反相組態的最後一個應用,它是一個加法器電路。經由
歐姆定律可得 i1 = v1 R1 ,…, in = vn Rn 。所有電流加在一起產生電流
isum ,它將被強迫流經 R f ,則輸出電壓 vO 為 − isum R f ,即

⎛ Rf Rf Rf ⎞
vO = −⎜⎜ v1 + v2 + L + vn ⎟ (2-32)
⎝ R1 R2 Rn ⎟⎠

也就是,輸出電壓為輸入訊號的一個加權和;所以這種電路又稱為加權
式加法器(weighted summer)。
76 第2章 運算放大器電路

i1 R1 Rf
v1
i2 R2 isum
v2

vO
in Rn +
vn

圖 2-11 一反相加法器。

■例題 2
考慮圖 2-10(a)之低通濾波器電路,設計此電路使其直流增益為 40dB,
3dB 頻率為 1.5 kHz,和輸入電阻為 1 kΩ 。
(a)計算增益衰減至 0dB 之頻率 f T ,
(b)計算在 f = f T 處,濾波器所提供之相移 θ ( jf T ) 。

R2 1 1
解: (a) fT = Kf 3 dB = ⋅ = = 150 kHz
R1 2π R2C 2π R1C
(b) θ ( jf T ) = 180° − tan −1 10 2 = 180° − 89.5° ≅ 90°

2.5 差動放大器

2.5.1 重疊原理
如圖 2-12(a)所示為一差動放大器(differential amplifier)。分析這個電路有
很多方法,其中最簡單的就是利用重疊原理(principle of superposition)。
首先令 v2 為零,然後求出所對應的輸出電壓 vO1 ,見圖 2-12(b) 。由圖
2-12(b)可得出
R2
vO1 = − v1 (2-33)
R1
接著我們令 v1 為零,並計算所對應 vO 2 ,見圖 2-12(c)。由此圖可得

R4 ⎛ R ⎞
vO 2 = v 2 ⎜⎜1 + 2 ⎟⎟ (2-34)
R3 + R4 ⎝ R1 ⎠
2.5 差動放大器 77

R2 v1 R2
R1
R1 R1
v1 − v1 −
vO1
v2 + + +
R3
vO R3
R4 R4

(a) (b)

R2 R2
i
R1
R1 + −
− v 2 − v1 − 0V vO
vO2 + R1 − +
v2 +
R3
R4 Rin = 2R1 i
R2

(c) (d)

圖 2-12 (a)一差動放大器,(b)(c)利用重疊原理分析,(d)輸入電阻的計算。

重疊原理告訴我們輸出電壓 vO 等於 vO1 和 vO 2 的和:


R2 ⎛ R ⎞ ⎛ R4 ⎞
vO = − v1 + ⎜⎜1 + 2 ⎟⎟ ⎜⎜ ⎟ v2 (2-35)
R1 ⎝ R1 ⎠ ⎝ R3 + R4 ⎟⎠

2.5.2 差動放大器及其應用
接著讀者不難發現,若滿足
R1 R3
= (2-36)
R2 R4

則此電路為一差動放大器。將(2-36)式代入(2-35)式得
R2 R
vO = (v2 − v1 ) = − 2 (v1 − v2 ) (2-37)
R1 R1
78 第2章 運算放大器電路

很明顯為一差動放大器,其增益為 R2 R1 。
差動放大器的應用很廣泛,最值得注意的是用於儀器系統的設計。譬
如說,有一轉送器(transducer)在它的兩個輸出端產生一相當小的訊號,
如 1mV。但是,在每條接線和接地點之間可能存在非常大的接收干擾,
如 1V。此時所需的放大器必須要能排斥此大的干擾訊號(它可視為在兩
條線上都存在的一種共模訊號),並且放大此小小的差動訊號。建議對這
部分有興趣的讀者可詳閱參考書目第 5 章 spice 模擬,將可對差動放大
器拒絕共模放大差模的特性有更深刻的體認。

2.5.3 輸入電阻及儀表放大器
最後我們希望求出介於兩輸入端之間所看到的電阻。將 R1 R2 = R3 R4 的
條件置入後的電路見圖 2-12(d)。為簡化問題,我們令 R3 = R1 和 R4 = R2 。
輸入差動電阻(input differential resistance) Rin 被定義為差動放大器介
於兩輸入端之間所看到的電阻:
v2 − v1
Rin =Δ (2-38)
i

寫下一迴路方程式得(注意虛短路)
v2 − v1 = R1i + 0 + R1i (2-39)

因此
Rin = 2R1 (2-40)

注意若此放大器想要具有大的差動增益,則 R1 必須要小,因此輸入電阻
也相對減小,為此電路的一個缺點。
欲改進上述問題,可使用一緩衝電路作為前級,構成一儀表放大器
(instrumentation amplifier),見圖 2-13。分析本電路可得到輸出訊號與差
模輸入訊號間之關係為

R2 ⎛ 2R ⎞
vO = − ⎜⎜1 + B ⎟⎟ (v1 − v2 ) (2-41)
R1 ⎝ RA ⎠
其中差動增益(differential gain) Ad 為

R2 ⎛ 2R ⎞
Ad = − ⎜⎜1 + B ⎟⎟ (2-42)
R1 ⎝ RA ⎠

此電路除了具有高增益之外,最主要的優點為具有高輸入電阻。
2.6 運算放大器的其它應用 79

v1 +
R2

RB vO1 R1


RA i vO
+
Rin = ∞ RB vO2 R
1
− R2

v2 +

圖 2-13 差動式儀表放大器。

■例題 3
參考圖 2-13 之儀表放大器電路,令 R A = 5 kΩ , RB = 50 kΩ , R1 = R2 =
15 kΩ 。注意輸入訊號:
v1 (t ) = −15 mV + 20V sin(2π 10 2 t )
v2 (t ) = 15 mV + 20V sin(2π 10 2 t )
計算 vO (t ) 。

解: 令兩輸入訊號為 v1 (t ) = vd 2 + vcm , v2 (t ) = − vd 2 + vcm 。其中 vd =


− 30 mV 和 vcm = 20V sin(2π 10 2 t ) 。代入(2-41)式可得

R2 ⎛ R ⎞
vO (t ) = − ⎜⎜1 + 2 B ⎟⎟ (v1 − v2 ) = 630 mV
R1 ⎝ RA ⎠

代表僅有差模成份被放大,而共模成份則被完全排除。

2.6 運算放大器的其它應用 f 選擇性閱讀 e

2.6.1 負阻抗轉換器
如圖 2-14(a)所示,現在我們希望求得此電路的輸入電阻 Rin ,為求 Rin 我
們外加一輸入電壓 vt 並且計算輸入電流 i ,則 Rin =Δ vt i ,見圖 2-14(b)。
經過分析得 op amp 輸出電壓為
80 第2章 運算放大器電路

vt
R2 R1 R2
vt
R1 R1 R1 vt
− − ⎛ R ⎞
vt ⎜⎜1 + 2 ⎟⎟
+ + ⎝ R1 ⎠
i
R

R2 1
vt + i1 = vt ⋅
− vt R1 R
Rin R in =
i

(a) (b)

圖 2-14 (a)一負阻抗轉換器電路,(b)(a)的電路分析。

vt ⎛ R ⎞
vt + R2 = ⎜⎜1 + 2 ⎟⎟ vt (2-43)
R1 ⎝ R1 ⎠
因此流經電阻 R 上的電流 i1 為
⎛ R ⎞
vt ⎜⎜1 + 2 ⎟⎟ − vt
R1 ⎠ R 1
i1 = ⎝ = vt 2 = −i (2-44)
R R1 R

因為流入 op amp 正輸入端的電流為零,所以


R1
Rin = − R (2-45)
R2

也就是,輸入電阻是負的。於是我們稱此電路為一負阻抗轉換器(negative
impedance converter,簡稱 NIC),其中 R 可能被任一阻抗 Z 所取代。
讓我們更進一步研究此電路的兩個重要應用,分別敘述如下。
電壓至電流轉換器
考慮圖 2-15(a)的電路,現在我們希望計算流經阻抗 Z L 上的電流 iL 。注
意 NIC 電路所示區域的輸入電阻為 − R ,於是電路可簡化為圖 2-15(b)。
再顯示將電壓源轉換為其諾頓等效,於是兩並聯電阻 R 和 − R 合併產生
一無窮大的電阻,導致圖 2-15(c)的電路,由此圖得負載電流 iL 為
vI
iL = (2-46)
R
2.6 運算放大器的其它應用 81

R
iL
R
vI + ZL
− −R
R

+
(b)
R R

iL vI
vI + iL =
− ZL R
vI
R ZL

(a) (c)

圖 2-15 解釋將負阻抗轉換器應用作為一電壓至電流轉換器。

與 Z L 的值無關!這是一個有趣的結果;它告訴我們圖 2-15(a)的電路可
作為一電壓至電流轉換器 (voltage-to-current converter) ──提供一電流 電壓至電流轉換器提供一
iL ,此電流直接正比於 v I ,且與負載阻抗無關。也就是說,端點○ a 可作 電流 iL,此電流直接正比
於 vI,且與負載阻抗無關。
為電流源的輸出,且由此端點看回去的阻抗為無窮大。
非反相積分器
接著考慮圖 2-16 的電路,在此我們以一電容 C 作為電壓至電流轉換器
的負載。由前面的分析知供應電容 C 的電流 I l = Vi R ,因此其上的電壓
Va 為
Il V
Va = = i (2-47)
sC sCR
也就是,
Va 1
= (2-48)
Vi sCR

這是一個積分器的轉換函數,在轉換函數中並未出現負號,因此我們稱
為非反相積分器(noninverting integrator)。注意在此我們不能取端點○
a 作
為輸出端,因為端點○
a 是一高阻抗節點(high-impedance node),意思是說
在此連接任何的負載將會改變其轉換函數 Va Vi 。不過幸運的是,此電路
存在一低阻抗節點,其訊號正比於 Va 。我們說的是 op amp 的輸出端○
c ,
82 第2章 運算放大器電路

R
− c
Vo
a +

R Va R
Vi

Il C

圖 2-16 將電壓至電流轉換器應用於一非反相積分器的設計。

Vo = 2Va (2-49)
因此
Vo 2
= (2-50)
Vi sCR

2.6.2 全通濾波器
一大小響應與頻率無關,即頻寬為無窮大的濾波器稱之為全通濾波器
(all-pass filter),見圖 2-17(a)。由圖 2-17(b)中之分析可得
R s
Vb = Va = Vi = Vi (2-51)
R + 1 sC s + 1 RC
而電流 I 為
Vi − Vb Vi ⎛ s ⎞ Vi 1 RC
I= = ⎜⎜1 − ⎟= (2-52)
R R ⎝ s + 1 RC ⎟⎠ R s + 1 RC

於是
s 1 RC
Vo = Vb − IR = Vi − Vi (2-53)
s + 1 RC s + 1 RC

解得
Vo ( s ) s − 1 RC s − ω 0
= = (2-54)
Vi ( s ) s + 1 RC s + ω 0

其中
2.6 運算放大器的其它應用 83

R R

R R I Vb
− −
Vi Vo Vi Vo
+ +
Va
C C
R R

(a) (b)

Vo
θ
Vi
0dB 180

90

0
ω ω0 ω

(c) (d)

圖 2-17 全通濾波器:(a)電路,(b)分析,(c)大小響應,(d)相角響應。

1
ω0 = (2-55)
RC
代入 s = jω 可得
Vo ( jω ) − ω 0 + jω
= (2-56)
Vi ( jω ) ω 0 + jω

故 Vo Vi = 1,稱為平直增益(flat gain)。其大小及相角響應分別見圖 2-17(c)


和圖 2-17(d)。注意,此函數之大小響應為常數與頻率無關,因而稱為全
通。此一網路可當作一相位平移(phase shifter)或修飾一系統的相角響應。 此一網路可當作一相位平
移或修飾一系統的相角響
應。
2.6.3 一般性的阻抗轉換器
現在我們希望分析圖 2-18 中之電路並推導出輸入阻抗 Z in 的表示式。為
84 第2章 運算放大器電路

求 Z in ,我們在輸入外加一測試電壓 Vt 並且求出輸入電流 I 的表示式,則


輸入阻抗即為
Vt
Z in =Δ (2-57)
I
由於 op amp 的虛短路特性,因此 V4 = V2 = Vt 。於是在 Z 5 上的電流等於
V4 Vt
I5 = = (2-58)
Z5 Z5

因為沒有電流流進 op amp 2 的正輸入端,所以


Vt
I4 = I5 = (2-59)
Z5
得電壓 V3 為
Vt ⎛ Z ⎞
V3 = V4 + I 4 Z 4 = Vt + Z 4 = ⎜⎜1 + 4 ⎟⎟ Vt (2-60)
Z5 ⎝ Z5 ⎠
接著在 Z 3 的電流為
V3 − V2 1 ⎡ ⎛ Z4 ⎞ ⎤ Vt Z 4
I3 =
Z3
=
Z3 ⎢Vt ⎜1 + Z ⎟ − Vt ⎥ = Z Z (2-61)
⎣ ⎝ 5 ⎠ ⎦ 3 5

因為流進兩個 op amp 負輸入端的電流均為零,因此


Vt Z 4
V1 = V2 − I 2 Z 2 = Vt − I 3 Z 2 = Vt − Z2 (2-62)
Z3 Z5

− +

I = I1 0 0
Z1 Z2 V Z3 V Z4 V4
2 3

V1
+ 0 0 I 2 = I3 I 4 = I5 Z5
Vt −
Zin
+ −

圖 2-18 一般性的阻抗轉換器。
2.6 運算放大器的其它應用 85

在 Z 1 上的電流 I 1 等於

Vt − V1 1 ⎡ ⎛V ⎞⎛Z ⎞ ⎤ V Z Z
I1 = = ⎢Vt − Vt + ⎜⎜ t ⎟⎟ ⎜⎜ 4 ⎟⎟ Z 2 ⎥ = t 4 2 (2-63)
Z1 Z1 ⎢⎣ ⎝ Z 3 ⎠ ⎝ Z 5 ⎠ ⎥⎦ Z 3 Z 5 Z1

最後,因為流入 op amp 1 正輸入端的電流為零,所以輸入電流 I 必須等


於 I1 ,
Vt Z 4 Z 2
I= (2-64)
Z 3 Z 5 Z1

因為
Vt
Z in =Δ (2-65)
I
由此可得
Z1 Z 3
Z in = Z5 (2-66)
Z2 Z4

此電路被稱為一般性的阻抗轉換器(generalized impedance converter,


簡稱 GIC)。GIC 主要應用於主動無電感式的濾波器設計。現在我們考慮 GIC 主要應用於主動無電
利用 GIC 實現一等效的電感 L 。首先,令 感式的濾波器設計。

Z1 = R1 , Z 2 = 1 sC2 , Z 3 = R3 , Z 4 = R4 , Z 5 = R5 (2-67)


R1 R3 R5
Z in = sC 2 (2-68)
R4

因此
R1 R3 R5
L = C2 (2-69)
R4

接著我們也可以令
Z1 = R1 , Z 2 = R2 , Z 3 = R3 , Z 4 = 1 sC4 , Z 5 = R5 (2-70)


R1 R3 R5
Z in = sC 4 (2-71)
R2

因此
R1 R3 R5
L = C4 (2-72)
R2
86 第2章 運算放大器電路

■例題 4
Vo 2
本節介紹了一非反相積分器,見圖 2-16,其轉換函數為 = ,試
Vi sRC
設計兩個不同的電路滿足上述相同的轉換函數。
(a)使用兩個 op amp。
(b)僅使用一個 op amp。

解:
(a) 使用一米勒積分器串接一反相放大器,見圖 2-19。
(b)如圖 2-20 所示,分析如下:參考(2-37)式
Z2 1 SC 2
Vo = − (V1 − V2 ) = − (0 − Vi ) = Vi
Z1 R2 sRC

C
2r
R
Vi − r

+ Vo
+

圖 2-19 例題 4:(a)之解答。

C
R
2

Vo
+
Vi R
2 C

圖 2-20 例題 4:(b)之解答。
2.7 積體電路運算放大器的簡介 87

Cf

− b Vo
Vid Gm1 A2 +1 c
+ a

圖 2-21 IC 運算放大器的內部結構。

2.7 積體電路運算放大器的簡介 f 選擇性閱讀 e

2.7.1 內部結構
欲進一步了解 op amp 的頻率響應和步階響應(step response),則必須考
慮 op amp 的內部電路。圖 2-21 顯示大部份現代 IC op amp 的內部結構(方
塊圖型式)。op amp 通常包含三級:輸入級(input stage)基本上是一差動
輸入轉導放大器(differential-input transconductance amplifier)。而中間級
(middle stage)是一個電壓放大器,具有一高的負增益( A2 )和一回授式的
補償電容 C f 。最後輸出級(output stage)為一單位增益緩衝器(unity-gain
buffer),將為 op amp 提供一低輸出電阻。因為輸出級部份不是這裡討論
的重點,故我們假設它是一理想的單一增益放大器。也就是說,輸入電
阻為無窮大,輸出電阻為零,而電壓增益則精確等於 1。
圖 2-22(a)顯示一 IC op amp 簡化的小訊號模型,其中輸出級並不考
慮。而輸入級具有一無窮大的輸入阻抗。此級放大差動輸入電壓 Vid
( Vid = Va − Vb ),且提供一正比的電流 Gm1Vid ,另外它還具有一輸出電阻
Ro1 。因此,第一級主要是將輸入電壓訊號 Vid 乘以 Gm1 轉變為輸出電流
訊號 Gm1Vid ,其中輸出電流與輸入電壓間之關聯係數即為轉導 (trans-
conductance) 。第二級具有一輸入電阻 Ri 2 ,一轉導 Gm 2 和一輸出電阻
Ro 2 。在此回授電容 C f 的主要目的是為了確保 op amp 的穩定。

2.7.2 開迴路增益
現 在 我 們 希 望 分 析 圖 2-22(a) 的 等 效 電 路 以 決 定 開 迴 路 增 益
A =Δ Vo ( s ) Vid ( s ) 。利用米勒定理,即(1-31)式,將電容 C f 以一 C f ( 1 + A2 )
88 第2章 運算放大器電路

之等效電容取代並置於第二級的輸入端,見圖 2-22(b)。將 Ro1 和 Ri 2 合併


為一電阻 R 。
R = Ro1 Ri 2 (2-73)

由圖 2-22(b)可得
− Gm1Vid
Vi 2 = (2-74)
Y
其中
1
Y= + sC f (1 + A2 ) (2-75)
R
因此
Gm1 R
Vi 2 = −Vid (2-76)
1 + sC f (1 + A2 ) R

其中

Cf

c
b Vo
− +
Vid
Gm1Vid Ro1 Vi 2 Ri2 Gm2Vi2 Ro2
+
a −

(a)

+
Gm1Vid R C f (1 + A2 ) Vi 2

(b)

圖 2-22 (a)典型 IC 運算放大器的小訊號模型,(b)介於第一級和第二級


之間的等效電路。
2.7 積體電路運算放大器的簡介 89

Δ Vo
A2 = = −Gm 2 Ro 2 (2-77)
Vi 2

開迴路增益為
Vo ( s ) A2 Gm1 R A0
A( s ) =Δ = Δ
= (2-78)
Vid ( s ) 1 + sC f (1 + A2 ) R 1 + s
ωp
其中低頻增益 A0 為
A0 = A2 Gm1 R (2-79)
和 3dB 頻率
1
ωp = (2-80)
C f (1 + A2 ) R

2.7.3 積分器近似
注意在頻率遠高於 ω p 時,(2-78)式的 A(s ) 可近似為

A2 Gm1 R
A(s ) ≅ (2-81)
sC f (1 + A2 ) R
對 A2 >> 1 而言,
Gm1
A(s ) ≅ (2-82)
sC f

在此頻率下,op amp 的內部電路可以圖 2-23 的結構表示。這裡我們假


設 A2 趨近於 ∞ ,因此第二級和補償電容則扮演一積分器的角色。注意 第二級和補償電容扮演積
在第二級的輸入端出現了一虛接地,且第一級的所有電流( Gm1Vid )流經回 分器的角色。
授電容 C f 。因此

Cf

Gm1Vid
− b
Vid Gm1
+ a 0 c
+
Vo
A2 = ∞

圖 2-23 運算放大器在 f >> fp 時的近似等效電路。


90 第2章 運算放大器電路

Gm1Vid
Vo = (2-83)
sC f

如此即得出如(2-82)式所示的開迴路增益。這個簡化的等效電路對於後
面討論變動率限制(slew-rate limitation)時將相當有用。

■例題 5
考慮一 741−型 op amp,其 Gm1 = 0.19mA/V, Ro1 = 6.7 MΩ , Ri 2 = 4MΩ ,
A2 = 500 , C f = 30pF 。計算此 op amp 的直流增益 A0 與單位增益頻寬
ft 。

解:
Α 0 = 500 × 0.19 × 10 −3 × (6.7 4) × 10 6
= 2.4 × 10 5 V V

Gm1 0.19 × 10 −3
ωT = = = 6.33 Mrad/s
Cf 30 × 10 −12

若以 Hz 表示,則
ωT
fT = ≅1MHz

2.8 頻率響應

2.8.1 內部補償的運算放大器
前面已經介紹過 op amp 的許多電路應用,而在這些電路的分析過程中
我們均假設 op amp 為理想。在許多應用上,雖然這個假設與真實情況
相去不遠,但是一個電路設計者必須要能熟悉實際 op amp 的整體特性
以及這些特性對 op amp 電路表現所造成的影響。如此設計者才能很明
智的使用 op amp。
首先我們考慮一個 op amp 的差動開迴路增益為有限時所造成的影
響,同時也考慮有限增益隨頻率增加而降低所造成的效應。圖 2-24 顯示
一 op amp 差動增益的大小響應(適用於大部份一般用途的 op amp,如 741
型 op amp)。
注意雖然在 dc 和低頻時的增益非常高,但是它在一相當低的頻率(此
例中為 10Hz)即開始以 −20dB/decade 的斜率衰減。而對於一內部補償
2.8 頻率響應 91

(internally compensated)的 op amp 而言,其表現大概就是如此。所謂內 所謂內部補償就是在同一


部補償就是在同一 IC 晶片上置入一補償網路(通常是一電容),其作用是 IC 晶片上置入一補償網
路。
造成 op amp 的增益具有一單一時間常數低通響應(single-time-constant
low-pass response),如圖 2-24 所示。此修飾開迴路增益的過程稱為頻率
補償(frequency compensation)且其目的是為了確保 op amp 電路的穩定, 頻率補償之目的是為了確
這點我們將在第 8 章說明。 保 op amp 電路的穩定。
由(2-78)式得知一個內部補償 op amp 的增益 A(s ) 可表示為
A0
A( s ) = (2-84)
s
1+
ωp

對於實際的頻率而言, s = jω ,
A0
A( jω ) = (2-85)

1+
ωp

其中 A0 代表 dc 增益, ω p 則為 3dB 頻率。在圖 2-24 中我們將增益 A 掉


至 1 (0dB)時所對應的頻率以 ω T 表示,則
ω T = A0ω p (2-86)

ω T 稱 為 單 位 增 益 頻 寬 (unity-gain bandwidth) 。 此 單 位 增 益 頻 寬 f T
= ω T 2π 通常在 op amp 的資料簿上均會指定。

20 log A (dB)
A0 100 3dB

80

60 −20dB/decade

40

20
fp fT
0
101 103 105 106 f (Hz)

圖 2-24 一典型的一般用途內部補償運算放大器的開迴路增益。
92 第2章 運算放大器電路

2.8.2 閉迴路放大器的頻率響應
接下來我們要考慮有限的 op amp 增益和頻寬對反相電路(圖 2-3)和非反
相電路(圖 2-6)的閉迴路轉換函數所造成之影響。
反相放大器
假設 op amp 具有一有限的開迴路增益 A ,則由(2-10)式可將反相放大器
的閉迴路增益寫成
R2

Vo R1
= (2-87)
Vi 1⎛ R ⎞
1 + ⎜⎜1 + 2 ⎟⎟
A⎝ R1 ⎠

將(2-84)式代入得
R2

Vo ( s ) R1
G (s ) = = (2-88)
Vi ( s ) 1 ⎛ R ⎞ s
1+ ⎜⎜1 + 2 ⎟⎟ +
A0 ⎝ R1 ⎠ ω T (1 + R2 R1 )
R2
對於 A0 >> 1 + 而言,
R1
R2

V (s) R1
G (s ) = o ≅ (2-89)
Vi ( s ) 1 + s
ω T (1 + R2 R1 )
因此,反相放大器具有一 STC 低通響應;其 dc 增益的大小等於 R2 R1 和
3dB 頻率為
ωT
ω 3dB = (2-90)
R
1+ 2
R1
故閉迴路的單位增益頻寬 ω TF 為
R2 ωT
ωTF = ⋅ ≅ ωT (2-91)
R1 1 + R2
R1
上式係假設 R2 >> R1 。
非反相放大器
假設 op amp 具有一有限的開迴路增益 A,則圖 2-6 的非反相放大器其閉
2.8 頻率響應 93

迴路轉換函數為
R2
1+
Vo R1
= (2-92)
Vi 1⎛ R ⎞
1 + ⎜⎜1 + 2 ⎟⎟
A⎝ R1 ⎠

將(2-84)式代入得
R2
1+
V (s) R1
G ( s) = o = (2-93)
Vi ( s ) 1 ⎛ R ⎞ s
1 + ⎜⎜1 + 2 ⎟⎟ +
A0 ⎝ R1 ⎠ ωT (1 + R2 R1 )

整理得
R2
1+
V (s) R1
G (s ) = o ≅ (2-94)
Vi ( s ) 1 + s
ω T (1 + R2 R1 )
因此非反相放大器亦具有一 STC 低通響應,其 dc 增益為 (1 + R2 R1 ) ,
而 3dB 頻率則與(2-90)式所示者相同。至於閉迴路的單位增益頻寬 ω TF 為
⎛ R ⎞
ωTF = ⎜⎜1 + 2 ⎟⎟ ω 3dB = ωT (2-95)
⎝ R1 ⎠

現令開迴路參數如下: A0 = 10 5 (100dB), f p = 10 Hz, f T = 1 MHz。再

(dB)
A0 100
A(s )
80

60

G0 40
G (s )
fT
20

0
fp f3dB fTF f

圖 2-25 開迴路增益 A(s)與非反相放大器閉迴路增益 G(s)之大小響應。


94 第2章 運算放大器電路

假設 R2 R1 = 99 ,故低頻閉迴路增益為 40 dB, f 3dB = 10kHz,但 f TF 維


加了回授之後,增益衰 持在 1 MHz,見圖 2-25。代表意義為,加了回授之後,增益衰減,頻寬
減,頻寬伸展,但單位增 伸展,但單位增益頻率(即增益頻寬乘積)並沒有改變。
益頻率 ( 即增益頻寬乘積 )
並沒有改變。 ■例題 6
考慮圖 2-3 之反相放大器,令 op amp 本身具有單一極點低通響應且
A0 = 20 V V 和 f T = 100 MHz 。如欲使此放大器之低頻閉迴路增益為
20dB,則此反相放大器之 3dB 頻率為多少?

解:
R2 R
− − 2
V R1 R1
G (s) = o = =
Vi 1 ⎛ R2 ⎞ ⎛ ⎞⎛ ⎞
1+ ⎜⎜1 + ⎟⎟ 1 + 1 ⎜1 + s ⎟ ⎜1 + R2 ⎟
A( s ) ⎝ R1 ⎠ ⎜
A0 ⎜⎝ ω p ⎟⎠ ⎝ R1 ⎟⎠
R
− 2
R1 G0
= =
1 ⎛ R ⎞ s ⎛ R2 ⎞ 1 + s
1 + ⎜⎜1 + 2 ⎟⎟ + ⎜1 + ⎟⎟
A0 ⎝ R1 ⎠ ωT ⎜⎝ R1 ⎠ ω 3dB

其中低頻增益 G0 為
R2

R1
G0 =
1 ⎛ R ⎞
1 + ⎜⎜1 + 2 ⎟⎟
A0 ⎝ R1 ⎠
和 3dB 頻率 ω 3dB 為

ωT ⎡ 1 ⎛ R ⎞⎤
ω 3dB = ⎢1 + ⎜⎜1 + 2 ⎟⎟⎥
⎛ R ⎞ A0 ⎝ R1 ⎠⎦⎥
⎜⎜1 + 2 ⎟⎟ ⎣⎢
⎝ R1 ⎠

代入數值
R2
R1
10 =
1 ⎛ R ⎞
1 + ⎜⎜1 + 2 ⎟⎟
20 ⎝ R1 ⎠

可解得
R2
= 21
R1
2.9 大訊號操作 95


fT ⎡ 1 ⎛ R ⎞⎤
f 3dB = ⎢1 + ⎜⎜1 + 2 ⎟⎟⎥ = 9.55 MHz
⎛ R ⎞ A0 ⎝ R1 ⎠⎦⎥
⎜⎜1 + 2 ⎟⎟ ⎣⎢
⎝ R1 ⎠

2.9 大訊號操作
本節我們將研究當輸出出現大訊號時 op amp 電路表現所受到的限制。
換言之,當大訊號出現時,op amp 可能遭受兩種失真──輸出飽和失真
與延遲率失真。

2.9.1 輸出飽和
op amp 和其他的放大器類似──在某一額定的輸出電壓範圍之內才能
線性地操作。圖 2-26 所示為 op amp 具有輸出飽和現象的轉換特性。其
中 VM 和 Vm 之值大約分別在正負電源供應值之內 2 或 3 伏特。因此,一
個操作在 ± 15V 電源的 op amp,其輸出電壓在正方向到達約+12V 以及
在負方向到達約−12V 時將飽和。對此 op amp 而言,我們說它的額定輸
出電壓(rated output voltage)為 ± 12V。因此,為了避免輸出波形的尖峰被 為了避免輸出波形的尖峰
截掉而導致波形失真,則輸入訊號必須相對地保持很小。 被截掉導致失真,則輸入
訊號必須相對地保持很
小。

vO
斜率 = A
VM

Vm
A
0 VM vI
A

Vm

飽和區 線性區 飽和區

圖 2-26 一放大器的轉換特性。
96 第2章 運算放大器電路

2.9.2 延遲率
當大訊號出現時造成非線 當大訊號出現時造成非線性失真的另一種現象就是延遲率限制 (slew
性失真的另一種現象就是 -rate limiting)。考慮圖 2-27(a)的一增益追隨器。令輸入電壓 v I 為一高度 Vˆ
延遲率限制。
的步階(step)波形,見圖 2-27(b)。由(2-94)式代入 R2 = 0 和 R1 = ∞ 可得出
閉迴路增益:
Vo 1
= (2-96)
Vi 1 + s
ωT

或由
Vo = A( s )(Vi − Vo ) (2-97)

vI

+ + Vˆ

vI + vO


0 t
(a) (b)

vO vO
斜率 = SR
Vˆ Vˆ

τ t 0 t

(c) (d)

圖 2-27 (a)一單位增益追隨器,(b)輸入步階波形,(c)當Vˆ很小時所得
到的指數上升輸出波形,(d)當Vˆ很大時所得到的線性上升輸出
波形(此時放大器被延遲率所限制)。
2.9 大訊號操作 97

A0
Vo A( s ) 1+ s ωp 1
= = ≅ (2-98)
Vi A( s ) + 1 A s
1+ 0
1+
1+ s ωp ωT

為一 STC 低通響應。因此其輸出波形為
vO (t ) = Vˆ (1 − e −t τ ) (2-99)

其中 τ = 1 ω T 。圖 2-27(c)顯示這個指數上升波形。
事實上,如此的響應只有在步階高度 Vˆ “很小” 時才會得到。對於大
的步階輸入 ( 例如, 5V) 而言,輸出波形將是一線性斜波訊號 (linearly
ramping signal),見圖 2-27(d)。很重要的一點是,此線性斜波的斜率要
比相同大小 Vˆ 之指數上升波形[圖 2-27(c)]的起始斜率 Vˆ τ 要小。因此圖
2-27(d)的線性斜波響應代表 op amp 輸出不能以(2-99)式中所預期的速率
上升。當這件事情發生時,我們說此 op amp 被延遲率所限制了,而在
輸出處此線性斜波的斜率即定義為延遲率(slew rate)。因此延遲率(SR) 延遲率 (SR) 就是 op amp
就是 op amp 輸出電壓對時間最大可能的變化率。 輸出電壓對時間的最大變
化率。
dvO
SR = (2-100)
dt max

Io1

IM

斜率 = Gm1
b Io1
− −
Vid Gm1
+ 0 Vid
+
a

Im

飽和區 線性區 飽和區

(a) (b)

圖 2-28 在 IC 運算放大器中輸入轉導放大器及其轉換特性。
98 第2章 運算放大器電路

這個量通常在 op amp 資料簿上均會指定,其單位以 V μs 表示。因此,


對於一訊號 Vˆ 而言,若指數上升的起始斜率 Vˆ τ 超過了 op amp 的延遲
率,則圖 2-27 的 op amp 將開始遭受延遲率限制,此時輸出將呈現線性
上升的波形。
接下來我們研究延遲率限制的由來。再次考慮圖 2-27(a)的單位增益追
隨器,並在輸入加上一幾伏特的步階。我們發現在 t = 0 時,輸入上升至
Vˆ 伏特,但輸出仍保持在零伏特。因此整個步階的大小將出現在 op amp
的兩輸入端之間。如此大的一輸入差動電壓 Vid 將使得輸入轉導放大器進
入飽和,如圖 2-28 所示。在此條件下,轉導放大器將其最大可用的輸出
定電流 IM 流經第二級的 電流 I M 供應至第二級(注意 I M 小於 Gm1Vid )。此定電流 I M 流經第二級的
補償電容,造成輸出電壓 補償電容,造成輸出電壓以斜率 I M C f 線性上升。這就是輸出電壓最高
以斜率 IM/Cf 線性上升。
可能產生的變化率,也就是 op amp 的延遲率。因此,
IM
SR = (2-101)
Cf

2.9.3 全功率頻寬
運算放大器本身由於變動率限制將對一大訊號正弦波形造成非線性失
真。考慮一個單位增益追隨器外加一振幅為 VM 且頻率為 ω 之正弦波輸
入,則
vO (t ) = VM sin ω t (2-102)

此波形的變化率為

vO (t)

t
延遲率限制

圖 2-29 延遲率限制對輸出正弦波形的影響。
2.9 大訊號操作 99

dvO (t )
= ω VM cos ω t (2-103)
dt
此變化率的極大值為 ω VM ,且此極大值發生在輸入正弦波與 t 軸的交會
點處。現在若 ω VM 超過了 op amp 的延遲率,則輸出波形將產生失真,
如圖 2-29 所示。
在 op amp 的資料簿上通常會指定一頻率 f M ,稱為全功率頻寬(full
power bandwidth)──振幅等於 op amp 額定輸出電壓的一正弦波輸出開 振幅等於 op amp 額定輸
始顯現失真(由於延遲率限制)時的頻率即應為 f M 。若我們將額定輸出電 出電壓的一正弦波輸出開
壓以 VM 表示,則 f M 與 SR 之關係如下: 始顯現失真 ( 由於延遲率
限制)時的頻率即應為 fM。
ω M VM = SR (2-104)
因此,
SR
fM = (2-105)
2π VM
顯然一振幅小於 VM 的輸出正弦波在頻率超過 ω M 時也將顯現出延遲率
失真(slew-rate distortion)。事實上,頻率 ω 的輸出正弦波在未失真情況
下的最大振幅如下:
⎛ω ⎞
vO = VM ⎜ M ⎟ (2-106)
⎝ ω ⎠
最後,我們必須注意延遲率限制與第 2.8 節的小訊號頻率限制絕對不同。

■例題 7
假設 op amp 均為理想,但是其最大輸出飽和電壓為 ± 5V。現在考慮輸
入一振幅為 0.1V 的正弦波,試計算當輸入頻率分別為 0.1Hz 及 10MHz
時,輸出電壓波形的振幅。
(a)積分器電路。
(b)微分器電路。

解:

(a) 對於圖中之積分器而言,
Vo 1
=
Vi ω RC
⎧ 1
⎪⎪ 2π × 0.1× 103 × 10 −6 = 1592 V V LLLLL f = 0.1 Hz
=⎨
⎪ 1
= 1.59 × 10 −5 V V L f = 10 MHz
⎪⎩ 2π × 10 × 106 × 103 × 10 −6
100 第2章 運算放大器電路

C=1μF R=1kΩ

+5V +5V
R=1kΩ C=1μF
− −
vO vO
+ + + +
vI − vI −
−5V −5V

(a) (b)

圖 2-30 例題 7:(a)積分器電路,(b)微分器電路。

⎧⎪5V LLLLLLL f = 0.1Hz


⇒ Vo = ⎨
⎪⎩1.59 × 10 −6 V LLL f = 10MHz

(b) 對於圖中之微分器而言,

Vo ⎧2π × 0.1 × 10 −3 = 6.28 × 10 −4 V V LL f = 0.1 Hz


= ω RC = ⎨
Vi ⎩2π × 10 × 10 = 6.28 × 10 V V LL f = 10 MHz
7 −3 4

⎧6.28 × 10 −5 V LLLL f = 0.1 Hz


⇒ Vo = ⎨
⎩5V LLLLLLLL f = 10 MHz

f 選擇性閱讀 e 2.10 共模排斥比

2.10.1 共模增益
實際的 op amp 具有不為零的共模增益(common-mode gain);也就是說,
若兩輸入端接在一起且外加一訊號 vCM ,則輸出將不為零。此時輸出電
壓 vO 與輸入電壓 vCM 的比值稱為共模增益 Acm 。圖 2-31 解釋此定義。
考慮一 op amp,訊號 va 和 vb 分別加在其非反相和反相輸入端。差動輸
入訊號 vid 為

vid = va − vb (2-107)

兩輸入訊號的平均值則為共模輸入訊號 vCM ,
2.10 共模排斥比 101

b
− c
Acm vO
+
a
vCM +

圖 2-31 解釋運算放大器共模增益之定義。

v a + vb
vCM = (2-108)
2
輸出電壓 vO 可表示為
vO = Avid + Acm vCM (2-109)
其中 A 為差動增益和 Acm 為共模增益。

2.10.2 共模排斥比
一 op amp 排斥共模訊號的能力將以共模排斥比(common-mode rejection
ratio;簡稱 CMRR)來表示,定義為
A
CMRR =Δ (2-110)
Acm

通常 CMRR 可以分貝來表示:
A
CMRR = 20 log (2-111)
Acm

CMRR 是頻率的函數,當頻率增加時其值下降。CMRR 在低頻時的典型


值約在 80 至 100 dB 間。現在我們將考慮 op amp 有限的 CMRR 對閉迴
路組態的影響。
反相組態
op amp 的有限 CMRR 對反相組態而言並不重要。因為正輸入端接地,
因此共模輸入訊號幾乎為零。
非反相組態
在非反相組態中,共模輸入訊號幾乎就等於外加輸入訊號。因此在要求
高度精確增益值的應用上,op amp 的有限 CMRR 就要考慮進去。
差動放大器
102 第2章 運算放大器電路

對圖 2-12 的差動放大器而言,若 op amp 的 CMRR 為有限時,則此電路


就不僅放大差額訊號而已了。

2.10.3 誤差電壓的觀念
在計算閉迴路增益時,將有限 CMRR 的效應考慮進去的分析方法如下:
一共模輸入訊號 vCM 產生一輸出成份 Acm vCM ,見圖 2-31。於是吾人可定
義一共模誤差電壓(common-mode error voltage) ver ,
Acm vCM v
ver = = CM (2-112)
A CMRR
此訊號 ver 被加至一零共模增益的 op amp 上,則可產生與前者相同的輸
出成份。因此,在一電路中,只要一求得輸入共模訊號,即加上一訊號
產生器 ver 至 op amp 的一輸入端,而後進行分析,在剩餘的分析過程中
可假設 op amp 為理想。
舉一例說明,圖 2-32 顯示考慮 op amp 之 CMRR 為有限值時非反相組
~ v I 。因此這個 op amp 即被一理想的
態的分析,由圖 2-32(a)可看出 vCM −
op amp 附帶一 ver = v I CMRR 的誤差電壓產生器所取代,見圖 2-32(b)。
其結果為
⎛ 1 ⎞⎛ R ⎞
vO = v I ⎜ 1 + ⎟ ⎜⎜1 + 2 ⎟⎟ (2-113)
⎝ CMRR ⎠ ⎝ R1 ⎠

1
增益誤差 = × 100% (2-114)
CMRR

R2
R2

R1 b R1 b
− c − c
vO vO
+ −+ +
a 非理想 a ver
vI + (CMRR ≠ ∞) vI + 理想
− −

非理想
(a) (b)

圖 2-32 考慮有限 CMRR 對非反相組態的影響。


2.11 輸入和輸出電阻 103

2.11 輸入和輸出電阻 f 選擇性閱讀 e

圖 2-33 顯示考慮有限的輸入和輸出電阻之後所得的 op amp 模型。如圖


所示, op amp 在兩輸入端間具有一差模輸入電阻 (differential input
resistance) Rid 。除此之外,若兩輸入端接在一起所測量到的輸入電阻稱
為共模輸入電阻(common-mode input resistance) Ricm 。在等效電路中我們
將 Ricm 拆成兩個相等成份 2 Ricm ,每一成份均接在一輸入端和接地點間。

2.11.1 輸入電阻
對於利用雙載子接面電晶體製作的一般用途 op amp 而言,其輸入電阻
的典型值為 Rid = 1MΩ 和 Ricm = 100MΩ 。在輸入級利用場效電晶體所製
作的 op amp 則具有較高的輸入電阻。對於一特定閉迴路電路的輸入電
阻值將由 Rid 和 Ricm 以及電路組態所決定。
反相組態
對反相組態而言,輸入電阻幾乎等於 R1 。詳細分析亦顯示 Rid 和 Ricm 對
於反相電路輸入電阻值的影響可以忽略。
非反相組態
另一方面,非反相組態的輸入電阻則與 Rid 和 Ricm 以及 A 和 R2 R1 之值具

2Ricm
b
− Ro c
Rid
+ + A(va − vb )

vCM + R
− icm a Ro
2Ricm
Rid

圖 2-33 顯示輸入和輸出電阻的運算放大器之模型。
104 第2章 運算放大器電路

有強烈的關係。假設 Ro = 0 , R1 << Ricm , R2 Rid << A ,將可導出非反相


電路輸入電阻的近似表示式:
Rin ≅ 2 Ricm (1 + Aβ ) Rid (2-115)
其中 β 為
R1
β= (2-116)
R1 + R2

2.11.2 輸出電阻
開迴路輸出電阻 Ro 的典型值約 75 至 100Ω 之間。現在我們希望求出閉迴
路放大器的輸出電阻。為此我們將訊號源短路,如此將使得反相和非反
相組態完全一樣,並加上一測試電壓 Vt ,如圖 2-34 所示。因此,輸出
電阻 Rout = Vt I 即可由此圖分析求得。
R1
Vid = −Vt = − β Vt (2-117)
R1 + R2

由輸出節點可寫下一節點方程式:
Vt V − AVid Vt (1 + Aβ )Vt
I= + t = + (2-118)
R1 + R2 Ro R1 + R2 Ro
因此

R2

R1 ( R1 + R 2 )


Ro I
Vid

AVid +
+ − + V
− t
Ro Rout
1 + Aβ

圖 2-34 閉迴路輸出電阻的推導。
2.11 輸入和輸出電阻 105

1 Δ I 1 1 + Aβ
= = + (2-119)
Rout Vt R1 + R2 Ro
其中 β 定義為
R1
β =Δ (2-120)
R1 + R2

這代表閉迴路輸出電阻包含兩項並聯成份,
⎛ Ro ⎞
Rout = [ R1 + R2 ] ⎜⎜ ⎟⎟ (2-121)
⎝ 1 + Aβ ⎠
正常情況下 Ro 遠小於 R1 + R2 ,導致
Ro
Rout ≅ (2-122)
1 + Aβ
注意閉迴路輸出電阻比 op amp 本身的開迴路輸出電阻小了一個因子,
此因子即等於回授量 1 + Aβ 。若 Aβ >> 1 ,則
Ro
Rout ≅ (2-123)

在非常低的頻率之下, A 為實數且其值很大,將產生一非常小的 Rout 。
舉 一 例 說 明 : 一 利 用 op amp 設 計 的 電 壓 追 隨 器 , 若 A0 = 10 5 和
Ro = 100Ω ,則 Rout = 100 (10 5 × 1) = 1 mΩ 。

■例題 8
若 A0 = 10 4 , Rid = 1MΩ , Ricm = 50MΩ , Ro = 200Ω , f T = 1MHz , R f =
100kΩ ,試證明輸入阻抗 Z in 可表示為如下圖之等效電路,並計算
R A , RB 和 L 之值。

Rf

− RB
vO
+ RA
It
Zin Zin L

(a) (b)

圖 2-35 例題 8:(a)電路,(b)(a)中電路簡化後的等效電路。
106 第2章 運算放大器電路

解:

將 op amp 的等效電路代入可得圖 2-36,且

⎛ −V ⎞
Z in = Rid 2 Ricm ⎜ id ⎟
⎝ I ⎠
其中
− Vid − AVid
I=
R f + Ro

移項整理得
− Vid R f + Ro
=
I A +1
因此輸入阻抗為
R f + Ro
Z in = Rid 2 Ricm
A +1
或輸入導納為
1 1 1 A
Yin = Z in−1 = + + +
Rid 2 Ricm R f + Ro R f + Ro

代入

I Rf

2Ricm

− Ro
It Vid Rid
Zin
+ + AVid

2Ricm

圖 2-36 例題 8:分析。
2.12 直流偏移電壓和偏壓電流 107

A0 1
A = A( s ) = =
s 1 s
1+ +
ωp A0 ω T

可得
1 1 1 1 Δ 1 1
Yin = + + + = +
Rid 2 Ricm R f + Ro R f + Ro R f + Ro R A RB + sL
+s
A0 ωT

其中
R A = Rid 2 Ricm ( R f + Ro ) = 91kΩ
R f + Ro
RB = = 10.02Ω
A0
R f + Ro
L= = 15.95mH
ωT

2.12 直流偏移電壓和偏壓電流

2.12.1 輸入偏移電壓
第一種定義
因為 op amp 是直接耦合元件,它在 dc 具有很大的增益。第一個直流上
的問題就是偏移電壓(offset voltage)。為了了解此問題,我們考慮以下一
個觀念性的實驗:若 op amp 的兩輸入端接在一起且再接地,則在輸出
將產生一直流電壓,此為輸出直流偏移電壓 (output dc offset voltage)
VO 。在閉迴路組態的分析中,為了方便將此電壓考慮進去,遂將它反射
回輸入。也就是,將此輸出直流偏移電壓除以增益 A0 即可得出輸入偏移 將此輸出直流偏移電壓除
電壓(input offset voltage) Voff , 以增益 A0 即可得出輸入
偏移電壓。
VO
Voff = (2-124)
A0

其中 A0 代表 op amp 的直流增益。
另一種觀點
由圖 2-37(b)可看出若將兩輸入端接在一起則輸出將為 A0Voff = VO ,此即
原先我們對 Voff 的定義。另一種對 Voff 的解釋如下:若在一實際 op amp
108 第2章 運算放大器電路

的兩輸入端間加一電壓使得輸出 dc 電壓降至零,則所加的此電壓大小
即為輸入偏移電壓。這種解釋是假設 Voff 的極性已知,所以外加電壓的
極性必須與 Voff 相反,見圖 2-37(c)。一般用途的 op amp 其偏移電壓 Voff 約
在 2mV 至 5mV 左右。
偏移電壓的影響
現在將考慮 Voff 對閉迴路放大器表現的影響。為了簡化問題,所以我們
把訊號源接地。如此一來,反相和非反相組態完全一樣,見圖 2-38(a)。
由圖 2-38(a)可以很容易看出在輸出產生一 dc 偏移電壓
⎛ R ⎞
VO = Voff ⎜⎜1 + 2 ⎟⎟ (2-125)
⎝ R1 ⎠

當輸入訊號一旦出現時,此輸出直流電壓將被疊加在輸出訊號之上。若
降低了最大可允許的輸出 閉迴路增益很大時,此輸出直流偏移電壓可能很高,因此降低了最大可
訊號擺幅。 允許的輸出訊號擺幅。
克服此直流偏移問題的一種方法就是用電容耦合此放大器。但是,這
種方法只有在閉迴路放大器不需要放大 dc 或非常低頻訊號時才適用。

b
b

VO ≠ 0 −
+ VO ≠ 0
a 非理想 −+ +
a Voff 理想

(a) (b)

b
+ −
Voff VO = 0
− −+ +
a Voff

(c)

圖 2-37 解釋輸入偏移電壓 Voff 的定義。


2.12 直流偏移電壓和偏壓電流 109

R2 R2

CC R1
R1 −
− Vo
VO + +
Vi − 非理想
−+ +
Voff 理想
非理想

(a) (b)

圖 2-38 (a)計算在一閉迴路放大器中由於 Voff 所產生的輸出 dc 偏移電壓,(b)利用耦合電容可降低


輸出直流偏移電壓。

圖 2-38(b)顯示一電容耦合反相放大器。事實上此電路具有一 STC 高通
響應,其 3dB 頻率為 ω L = 1 CC R1 ,而在頻率 ω >> ω L 時,增益將為
− R2 R1 。這個電路的優點就是 Voff 不會被放大。因此輸出直流電壓將等
於 Voff 而非 Voff (1 + R2 R1 ) 。

2.12.2 輸入偏壓電流
定義
在 op amp 中第二個遭遇到的直流問題顯示於圖 2-39。為了讓 op amp 正
常地操作,它的兩個輸入端必須供應有限的直流電流,此電流稱為輸入
偏壓電流(input bias currents)。在圖 2-39 中,此二電流是被兩個電流源 I B1
和 I B 2 所代表。op amp 的製造商通常會指定 I B1 和 I B 2 的平均值以及其間
的差值。平均值 I B 稱為輸入偏壓電流,
I B1 + I B 2
IB = (2-126)
2
差值 I off 則稱為輸入偏移電流(input offset current),

I off = I B1 − I B 2 (2-127)

利用雙載子電晶體製作的一般用途 op amp 之典型值為 I B = 100nA 和


I off = 10nA 。在輸入級使用場效電晶體製作的 op amp 則具有較小的輸入
偏壓電流(可至微微安培的數量級)。
110 第2章 運算放大器電路

b
IB1
c
a
IB2

圖 2-39 考慮輸入偏壓電流的 op amp 等效電路。

偏壓電流的影響
考慮圖 2-40(a)的閉迴路放大器,經分析可得輸出直流電壓為
VO = I B1 R2 (2-128)
這顯然限制了 R2 的上限值。很幸運地,有一種技巧可以用來降低由於輸
入偏壓電流在輸出所造成的偏移電壓,就是在輸入端與接地點間置入一
電阻 R3 ,如圖 2-40(b)所示。經電路分析可得
⎛ R ⎞
VO = I B1 R2 − I B 2 R3 ⎜⎜1 + 2 ⎟⎟ (2-129)
⎝ R1 ⎠
首先考慮 I B1 = I B 2 = I B ,則

⎡ ⎛ R ⎞⎤
VO = I B ⎢ R2 − R3 ⎜⎜1 + 2 ⎟⎟⎥ (2-130)
⎣⎢ ⎝ R1 ⎠⎥⎦

因此如欲將 VO 降至零必須選擇 R3 使得
R1 R2
R3 = (2-131)
R1 + R2

也就是, R3 必須等於 R1 和 R2 的並聯。


已經選擇了上述的 R3 之後,再考慮 I off 的效應。令 I B1 = I B + I off 2 和
I B 2 = I B − I off 2 ,代入(2-129)式得

VO = I off R2 (2-132)

這已經比原先沒有 R3 時的值約小了一個數量級。結論是,若希望降低輸
2.12 直流偏移電壓和偏壓電流 111

R2
IB1

R1 b −
0V
0 IB1

+
c VO = I B1 R2
a
IB2

(a)

R2
I B 2 R3
R1 R3
I B1 − I B 2
b − R1
R1
− I B 2 R3 IB1
IB2
+ VO
c
R3 a
− I B 2 R3 IB2

(b)

圖 2-40 (a)考慮輸入偏壓電流的閉迴路放大器之分析,(b)利用適當的 R3
可降低由輸入偏壓電流所導致的輸出偏移電壓。

入偏壓電流的效應,則吾人必須在正輸入端置入一電阻,且其值等於在 若希望降低輸入偏壓電流
反相端所看到的直流電阻。注意若放大器為 ac 耦合,則我們必須選擇 的效應,則吾人必須在正
R3 = R2 ,如圖 2-41(a)所示。 輸入端置入一電阻,且其
值等於在反相端所看到的
在 ac 耦合放大器中,注意在 op amp 的每一輸入端與接地點間必須永
直流電阻。
遠提供一連續的直流路徑。基於此原因,圖 2-41(b)的 ac 耦合非反相放
112 第2章 運算放大器電路

R2 R2

CC R1 CC R1
− −
Vo Vo
Vi + + +
− C2
R3 = R2 Vi +
− R3
Rin = R3

(a) (b)

圖 2-41 (a)一交流耦合放大器,(b)解釋在 op amp 的每一輸入端必須存在一連續的 dc 路徑。

大器若沒有置入一至接地的電阻 R3 ,則此電路將無法工作。但不幸地
是,置入 R3 後將嚴重降低閉迴路放大器的輸入電阻。

■例題 9
利用 Spice (simulation program for integrated-circuit emphasis)程式中的

(A) Diff-Mode Transfer Characteristics of 741 OPAMP


20V

(−314.102uV,3.1uV)
0V

−20V
−400uV −200uV 0uV 200uV 400uV
V(22) Vid

圖 2-42 例題 9:附圖。
練習題 113

直流掃描指令可得出一 741 運算放大器的差模轉換特性,即 VO 對 Vid 之


關係。請注意此特性曲線並未直接穿越原點,根據圖 2-37(c)之定義,
試估計此運算放大器之輸入偏移電壓 Voff 。

解: 轉換特性中顯示兩個重要訊息。圖中顯示非對稱的轉換特性,其中
線性區並未通過原點,而是出現在輸入差模電壓為 − 360.1μV 與
− 265.9 μV 之間。利用檢視(probe)指令觀察特性曲線穿過零值輸出
處,對應之輸入差模電壓為 − 314.102 μV 。根據輸入偏移電壓 Voff
(input offset voltage)的定義,上述 − 314.102 μV 之負值即為輸入偏移
電壓,即 Voff = +314.102 μV 。
這裡必須特別指出,上述關於輸入偏移電壓 Voff 的出現並非由於
差動級中電晶體或是負載的不匹配所造成,而是純粹由於 741 op
amp 本身的電路系統偏移(systematic offset)所引起的。至於在實際
電路中, Voff 的出現仍需考慮製造過程中電晶體或負載不可避免的
隨機不匹配現象。

2.1 針對圖 2-4 之反相放大器,令 A ≠ ∞ , § 練習題


(a) 證明由 v I 所看到的輸入電阻 Rin 為
R1
Rin =
1 ⎛ R2 ⎞
− ⎜ ⎟
A ⎜⎝ R1 ⎟⎠
1+
1⎛ R ⎞
1+ ⎜⎜1 + 2 ⎟⎟
A⎝ R1 ⎠
(b) 若 A = ∞ 時, Rin 之值為何?

R R R

R R

R
vI −
vO
+

習題 2.2 附圖
114 第2章 運算放大器電路

2.2 如附圖所示電路,分析此電路並決定輸出電壓 vO 。

2.3 證明圖 2-10(a) 和 (b) 中的兩個濾波器轉換函數分別為 (2-28) 式和


(2-31)式。
2.4 如附圖所示,設運算放大器有理想的特性,求 R2 及 C 之值,使放大
器之低頻電壓放大倍數為 50,頻寬 ω 3dB = 10 4 rad s 。

R2

R1 = 1 kΩ
Vi −
Vo
+

習題 2.4 附圖

2.5 (a) 參考附圖(a)所示電路,證明其轉換函數為


R2

Δ V R1
H (s) = o =
Vi ⎛ ω L ⎞ ⎛ s ⎞
⎜1 + ⎟ ⎜⎜1 + ⎟⎟
⎝ s ⎠ ⎝ ωH ⎠
其中 ω L = 1 C1 R1 和 ω H = 1 C 2 R2 ,並證明其波德圖為一帶通函

C2
Vo
(dB)
Vi
R2
+20dB/dec −20dB/dec
R1 C1
Vi −
Vo
+

ωL ωH ω

(a) (b)

習題 2.5 附圖
練習題 115

數,見圖(b)。
(b) 設計此一帶通濾波器(bandpass filter)使之滿足中頻增益為 40
dB, f L = 100 Hz, f H = 10 kHz,在 f >> f L 時的輸入電阻為
10 kΩ 。

2.6 分析附圖電路,決定 vO 與四輸入電壓間之關係。

R1 R
v1
R2
v2 −
R3 vO
v3 +
R4
v4

習題 2.6 附圖

2.7 附圖電路中,決定 vO 與 v1 和 v 2 之關係式。

500Ω

400Ω
v1 −
vO
v2 +
100Ω
300Ω

習題 2.7 附圖

2.8 運算放大電路如圖所示,若輸入電壓為 vi (t ) = cos 5 × 10 3 t ,試問輸


出電壓 vo (t ) 為何?

2.9 考慮一運算放大器,令額定輸出電壓為 ± 10V , SR = 1V μ S ,計算


其全功率頻寬 f M 之值。
116 第2章 運算放大器電路

R1=1kΩ

R1=1kΩ

Vi (t) Vo(t)
+
R=2kΩ
C=0.1 μF

習題 2.8 附圖

2.10 考慮圖 2-9(a)之微分器電路,令 v I (t ) = V p sin ω t ,且 op amp 的延遲


率為 SR,試證明此電路的輸出訊號可忠實呈現輸入訊號微分時所
容忍的最大頻率 f max 為
1 SR
f max =
2π RCV p

2.11 附圖所示為一電壓至電流轉換器,試證明流經負載的電流 i L 恆等於


− vI
iL =
R
且 i L 與 Z L 無關。

100kΩ

100kΩ
iL
− R
vI +

+
100kΩ ZL
100kΩ +

習題 2.11 附圖

2.12 附圖所示為一差動放大器,假設 op amp 為理想,試證明其差模電


壓增益 Ad 為
參考書目 117

vo R ⎛ R ⎞
Ad =Δ = −2 2 ⎜⎜1 + 2 ⎟⎟
vd R1 ⎝ RG ⎠

R1 R2 R2

+
− RG
vd
+ +
− vo

R1 R2 R2

習題 2.12 附圖

參考書目
1. A. S. Sedra and K. C. Smith, “Microelectronic and Applications,” New York: McGraw-Hill
Circuits,” 6th ed. Oxford University Press, Book Co., 1971.
2009. 7. A. Barna, and D. I. Porat. “Operational
2. J. Millman, and A. Grabel. “Microelectronics,” Amplifiers,” 2nd ed. New York, NY: John
3rd ed. New York: McGraw-Hill Book Co., Wiley and Sons, Inc., 1989.
1999. 8. H. M. Barna, “Op-Amp Circuits and Principles,”
3. J. W. Nilsson and S. Riedel, “Electric IN: SAMS, A division of Macmillan Computer
Circuits,” 9th ed. Prentice Hall, 2010. Publishing, 1991.

4. S. Franco, “Design with Operational Amplifiers 9. J. K. Roberge, “Operational Amplifiers:


and Analog Integrated Circuits,” New York: Theory and Practice,” New York: Wiley,
McGraw-Hill 1988. 1975.

5. R. F. Coughlin, and F. F. Driscoll. “Operational 10. S. Soclof, “Design and Applications of


Amplifiers and Linear Integrated Circuits,” Analog Integrated Circuits,” Englewood
Englewood Cliffs, NJ: Prentice Hall, Inc., Cliffs, NJ: Prentice Hall, Inc., 1991.
1977. 11. 張文清, “SPICE 電子電路模擬”,四版,
6. J. G. Graeme, G. E. Tobey, and L. P. 台北鼎茂圖書,2009。
Huelsman. “Operational Amplifiers: Design
半導體二極體

半導體工業可說是全球在二次大戰之後發展最成功,
也最快速蓬勃的產業。本章將由介紹半導體材料及其
物理特性談起,包括純質和外質的觀念,載體傳導的
過程;延伸至 pn 接面,二極體物理與電流電壓關係
式,以及齊納和蕭基二極體的基本特性等。最後,我
們將介紹半導體二極體的電路應用,如整流器與電壓
調節器。

3.1 純質半導體
3.2 外質半導體
3.3 載體遷移過程
3.4 載體擴散過程
3.5 pn 接面的物理特性
3.6 pn 接面的電流電壓特性
3.7 pn 接面的寄生電容
3.8 二極體電路分析
3.9 小訊號模型
3.10 蕭基二極體
3.11 齊納二極體
3.12 整流器電路
3.13 峰值整流器
3.1 純質半導體 119

3.1 純質半導體 f 選擇性閱讀 e


態材料(solid-state materials)主要可分為三類:導體(conductor)、半
導體(semiconductor)、絕緣體(insulator)。導體是指在其上外加特定
電壓即可造成大量電流的一種材料,於是傳導能力很好,即電阻係數
(resistivity)很低。而電阻係數則是對材料傳導能力好壞的一種計量。另
外,絕緣體是在其上外加電壓後幾乎無法造成電流傳導的材料,於是傳
導能力很差,即電阻係數很高。因此,我們對半導體下一定義:半導體 半導體就是傳導能力介於
就是傳導能力介於導體與絕緣體間之材料。或者也可以說,半導體是一 導體與絕緣體間之材料。

種既非良好導體亦非良好絕緣體的一種材料。讀者會懷疑一半導體的電
阻係數為何不是一個確定的物理常數,反而是在八個數量級的大範圍內
變動。其實,這也正是半導體最大的優點──半導體可經由摻雜使其電
阻係數作大幅度的變動。再加上適當的安排與設計,即可獲得各式各樣
功能及表現不同的半導體元件。不過,本節先不談外加雜質的問題,只
考慮一個「乾淨」且無任何雜質組成或是缺陷產生的半導體,即純質半 無任何雜質組成或是缺陷
導體(intrinsic semiconductor)。 產生的半導體,即純質半
導體。

3.1.1 電阻係數
首先,我們將先前提到的一材料之電阻係數 ρ ,其定義如下:
L
R=ρ (3-1)
A
其中 L 和 A 分別為一矩形電阻樣本的長度和橫截面積, R 為其電阻值(以
Ω 表示)。上式說明一矩形樣本之電阻值正比於長度,反比於橫截面積,
其間之比例係數即為電阻係數。但是若我們令 A = 1 cm 2 且 L = 1cm 代入
上式,除了可以理解電阻係數的因次(dimension)為什麼是 Ω -cm 之外,
更可以知道電阻係數的物理意義──電阻係數就是體積為一立方公分
材料之電阻值。
另一方面,電阻係數與電子濃度或遷移率等參數之間的關係將在第 3.3
節討論。在該節中,我們將看到一 n-型半導體的電阻係數分別與電子濃
度與電子遷移率成正比。接下來,我們就半導體材料的分類及其重要特
性作一簡介。
120 第3章 半導體二極體

3.1.2 半導體材料
半導體材料的研究自十九世紀初期開始,至今約有兩百年歷史,期間研
究的材料不斷增加且其應用範圍也愈來愈廣。表 3-1 顯示週期表中與半
半導體分為元素半導體與 導體有關的元素。根據此表可將半導體分為元素半導體與化合物半導
化合物半導體。 體。
元素半導體
元素半導體中較具代表性者為第四族中的矽(silicon)和鍺(germanium)。
其中鍺是 1950 年代主要的半導體材料,但由於鍺製二極體與電晶體等
元件的漏電流較大以及鍺氧化物具水溶性等缺點,於是其地位很快地被
矽所取代。矽的主要優點有──地球上藏量豐富,矽原料提煉容易且成
本較低;另外,矽元件漏電流較小且可成長出品質很好的二氧化矽
SiO 2。於是自 1960 年代起,矽發展迅速並且成為目前積體電路(integrated
circuit)工業中最主要的材料,同時矽技術也是所有半導體技術中發展最
成熟,也最先進的。
化合物半導體
所謂化合物半導體當然是指兩種以上的元素所構成的材料。例如第二族
的鋅(Zn)和第六族的硒(Se)化合形成硒化鋅(ZnSe),第三族的鎵(Ga)和第
砷化鎵其主要特點為電子 五族的砷(As)化合形成砷化鎵(GaAs)等。其中較值得一提的是,砷化鎵
遷移率特高和作為光電方 其主要特點為電子遷移率(electron mobility)特高和可作為光學及光電方
面的應用。
面的應用(遷移率的說明見本章第 3.3 節),而這些特點都是矽所欠缺的。
另外,硒化鋅之放光波長正好在藍光的範圍,於是可作為藍色發光二極
體(light-emitting diode)之材料。

表 3-1 週期表中與半導體有關之元素。Si 和 Ge 為常見的四價元素半導


體,而 GaAs 和 ZnSe 等則為化合物半導體。

Ⅱ Ⅲ Ⅳ Ⅴ Ⅵ
週期
2 B C N
3 Al Si P S
4 Zn Ga Ge As Se
5 Cd In Sn Sb Te
6 Hg Pb
3.1 純質半導體 121

3.1.3 晶體結構與共價鍵結
晶體結構
原子為物質的基本組成,而原子間週期性的排列形成了晶格(lattice)。若
這種規則的週期性排列方式可維持很大的範圍,則稱為單晶結構
(single-crystal structure)。在電子學領域內像是矽、鍺、砷化鎵等半導體
材料均是一種單晶結構。此外,即使有雜質加入,像是將硼或磷摻入矽
材料形成 n 型或 p 型,對這種單晶結構的排列方式影響並不顯著。元素
半導體中的矽和鍺其原子間週期性的排列方式為鑽石結構(diamond
structure),見圖 3-1(a)。圖中立方體內被五個原子佔據,稱為單位晶胞(unit
cell),而 a 稱為晶格常數(lattice constant)。對矽而言,晶格常數的室溫
( 300°K )值為 5.43Å。
共價鍵結
原子本身是由三種基本的粒子所組成:質子(proton)、中子(neutron),和
電子(electron)。再者,質子和中子構成所謂原子核(nucleus),而電子則
繞著原子核在特定軌道上運轉。根據波爾(Bohr)原子模型得知,第一層

z ⎛ 1⎞
⎜ 0,0, ⎟
⎝ 2⎠
共價鍵結
+4
⎛1 1 1⎞
⎜ , , ⎟
⎝2 2 2⎠
a /2

+4 +4 +4
⎛1 1 1⎞
⎜ , , ⎟
⎝4 4 4⎠
y
⎛ 1 ⎞ +4
⎜ 0, ,0 ⎟
x ⎛1 ⎞ ⎝ 2 ⎠
⎜ ,0,0 ⎟
⎝2 ⎠
a : 晶格常數

(a) (b)

圖 3-1 (a)矽的晶體結構,一般稱為鑽石結構,(b)鑽石結構中單位晶胞的二度空間表示法,顯示每
個矽原子在周圍均有四個相等距離且最鄰近的矽原子,而原子與原子間則形成共價鍵。
122 第3章 半導體二極體

軌道(習慣上稱 K 軌道)具有兩個電子,第二層軌道(L 軌道)具有八個電


子,而第三層軌道(M 軌道)有四個電子。於是,矽原子共有 14 個電子,
而矽原子核中有 14 個質子,兩者平衡的結果使得原子本身仍維持電中
性 。 習 慣 上 , 我 們 將 一 個 原 子 中 之 最 外 層 軌 道 稱 為 價 軌 道 (valence
矽、鍺、砷化鎵等半導體 orbital)。矽、鍺、砷化鎵等半導體材料均具有四個價電子。此外要補充
材料均具有四個價電子。 說明一點──由一個原子所擁有價電子的數目可大致判定此材料傳導
特性的好壞。像是最好的導體具有一個價電子,而最好的絕緣體則具有
八個價電子。
讀者應知道移去外層價電子所需的能量(此能量稱為游離能)要比移去
內層電子所需者要低。這代表內層電子較不易與外界發生反應,或說不
易與其他原子發生交互作用。於是當我們將許多原子聚集在一起形成晶
體時,可以不考慮原子核及內層電子,只需注意外層四個價電子的效應
即可。為了突顯這四個價電子的重要性,我們刻意將一個中性的矽原子
畫成中心為+4 的矽核(矽原子核加上十個內層電子)加上外圍的四個價電
子,見圖 3-1(b)。
讓我們重新回到圖 3-1(a),再次觀察鑽石結構之單位晶胞後,發現每
個矽原子在其周圍均有四個相等距離且最鄰近的矽原子。為了簡單且清
楚地表示這種現象,可將鑽石結構之單位晶胞畫成二度空間的圖形,見
圖 3-1(b)。又因每個原子在最外層軌道上有四個價電子,而每個原子與
它鄰近的四個原子共用這些價電子,這種價電子的共用稱為共價鍵結
(covalent bonding),而每個電子對構成共價鍵。另外,經由電子共用的
結果將使得每個原子在其周圍均具有八個價電子,正好對應晶格鍵結之
每個矽原子周圍均有四個 最大穩定性。歸納以上所述可得:在鑽石結構中,每個矽原子在其周圍
相等距離且最鄰近的矽原 均有四個相等距離且最鄰近的矽原子,原子與原子間形成共價鍵結。
子,原子間形成共價鍵
結。
3.1.4 傳導載體
所謂的載體(carriers)是指可移動的荷電粒子;當然,荷電粒子的移動將
造成傳導電流(conduction current)。首先考慮一矽晶體在絕對溫度零度
( 0K = −273°C )時,所有的價電子被束縛在晶格點附近,也可以說被凍結
在它們各自所屬的價軌道上,對傳導毫無貢獻。於是,整個晶體中不存
在任何傳導電子(或稱自由電子),此時的矽晶體根本就是一個絕緣體。
然而溫度上升時,一些價電子可獲得足夠的能量跳脫價軌道;也就是
說,由於熱振動使得共價鍵可能被打斷,一旦鍵被打斷,電子則不受束
縛到處遊蕩,可參與電流傳導,稱為傳導電子(conduction electron),如
圖 3-2 所示。
3.1 純質半導體 123

+4 傳導電子

+4 +4 +4

電洞

+4

圖 3-2 半導體在溫度上升時,由於晶格熱振動使得共價鍵被打斷,產
生一傳導電子和一電洞。

價電子由於斷鍵變成了傳導電子,而在共價鍵上留下了一個空位,緊
接著這個空位亦可被鄰近的電子所填滿,於是填電子的行為就好像空位
在反方向移動,且此空位的傳導並不涉及傳導電子,因此將此空位視為
一個新的載體,稱為電洞(hole)。在電場之作用下,電洞帶正電與電子移
動方向正好相反。也就是說,由於
F = ± qε (3-2)

其中 ± q 分別代表電洞或電子所帶的電荷量,且 q = 1.6 × 10 −19 C (C 代表庫


倫), ε 代表電場強度(electric field intensity),其常用的因次是 V cm ,而
F 代表庫倫作用力。綜合以上所述:半導體於 0K 時形同絕緣體,溫度 半導體於 0K 時形同絕緣
上升造成斷鍵將產生一傳導電子和電洞。在電場的作用下,電子的受力 體,溫度上升造成斷鍵將
產生一傳導電子和電洞。
方向與電場相反,而電洞的受力方向則與電場相同。

3.1.5 能帶的觀念
每一種固態材料都有本身的能帶圖(energy band diagram),由能帶圖可以
看出材料的一些基本特性──傳導或絕緣能力,以及吸光或放光特性
等。在固態物理(solid-state physics)這門課中,能帶的計算和分析為最重
要的單元之一。有關導體、外質半導體、和絕緣體的能帶圖及對材料傳
導能力的解釋等,由於不屬於我們這門課程範圍,在此不多說明。
雖然如此,我們還是把一些簡單的能帶觀念介紹給讀者。首先,由波
爾的原子模型可得知原子能階量化的觀念──即一個孤立原子其軌道
124 第3章 半導體二極體

上的電子只有在一些特定的能量才能存在,這些分立的(discrete)的能量
稱之為能階(energy level),能階之間稱為能隙,電子在能隙的範圍內是
能隙代表電子在能量空間 不允許出現的,所以能隙代表電子在能量空間中的禁區。請注意,以上
中的禁區。 的敘述只能適用於一個孤立的原子。一旦我們將成千上萬的原子聚集在
一起形成晶體時,由於原子與原子之間複雜的交互作用(包括電子雲與電
子雲間的排斥力,電子雲與電子核間的吸引力等)遂使得原先單純的能階
擴展成能帶(energy bands)。
對鍺、矽等半導體而言,決定其物理特性的主要有兩個能帶。上方為
導電帶(conduction band),下方為價電帶(valence band), EC 為導電帶最
低值, EV 為價電帶最高值,而 E g ( = EC − EV )則為帶溝(bandgap),見圖
3-3。此圖我們將以淺顯易懂的方式向讀者解釋:在矽晶體中,外層軌道
能量較高者進入導電帶, 的電子可以有兩種選擇──能量較高者進入導電帶,成為傳導電子,能
成為傳導電子,能量不足 量不足者留在價電帶成為價電子,但是不可能以帶溝間的能量存在於此
者留在價電帶成為價電
晶體系統中。至於半導體材料的帶溝能量大約在 1 電子伏特
子,但是不可能以帶溝間
(electronvolt,以 eV 表示)上下,例如矽在室溫時之 E g 值為 1.12eV,而
的能量存在於此晶體系統
中。 鍺為 0.66eV。而
1 eV = (1.6 × 10 −19 C)(1 V) = 1.6 × 10 −19 J (3-3)

其中 J 代表焦耳(Joule),為另一種度量能量的單位。
藉由此能帶表示法,可以再度解釋斷鍵產生載體的現象。在 0°K 時,
導電帶為空的,價電帶被電子所填滿,代表此時晶體中無任何傳導載
體,所有的電子(內層電子除外)均以價電子的身份出現,於是晶體毫無

電子能量
導電帶
EC

Eg 熱產生 傳導電子
電洞
EV
價電帶

圖 3-3 半導體的能帶圖。在 0K 時,其中價電帶被電子填滿,導電帶是


空的;當溫度超過 0K 時,價電子吸收熱能激發至導電帶形成電
子電洞對。而所謂的電洞則是指價電帶出現的空位。
3.1 純質半導體 125

傳導能力,相當於一絕緣體。無論如何,一旦溫度上升,電子受到熱擾
動就有機會往上跳,當電子一旦越過禁區跳到導電帶即形成傳導電子,
並且在價電帶留下一個空位,即所謂電洞。以上這種行為稱為載體的熱
產 生 (thermal generation) , 且 使 得 純 質 半 導 體 中 出 現 了 電 子 電 洞 對
(electron-hole pair)。結論是,0K 時,價電帶被全部填滿,導電帶是空的, 0K 時,價電帶全部填滿,
晶體形同絕緣體。溫度上升,由於熱產生效應使得純質半導體中激發出 導電帶是空的,晶體形同
絕緣體。溫度上升,由於
電子電洞對。而所謂的電洞則是指價電帶出現的空位。
熱產生使得半導體激發電
這時候讀者可能會懷疑為什麼價電帶中的空位可以用粒子來處理? 子電洞對。
又電洞傳導產生電流之意義為何?讓我們想像有一盛滿可樂的透明玻
璃瓶,只不過瓶頂出現一小氣泡,見圖 3-4(a)。現在將此玻璃瓶以極快
的速度倒立後,我們將看到氣泡由玻璃瓶的底部逐漸上升,見圖 3-4(b)。
其實,這裡的可樂是指價電帶中的價電子,而氣泡就是指價電帶中的空
位(電洞)。再者,所謂「氣泡上升」一詞,只是為了簡化可樂在瓶中複
雜傳導行為的一種等效敘述法。也就是說,「電洞的移動」只不過是價 「電洞的移動」不過是價
電帶中之價電子填補空位複雜行為的一種等效敘述法,目的是為了簡化 電帶中價電子填補空位複
雜行為的等效敘述法。
問題。
當然,如果這個實驗是針對一無氣泡存在充滿可樂的玻璃瓶來考慮的
話,則瓶倒立後將不會看到任何可樂分子的流動。意即,在價電帶被全
部填滿時,由於缺乏額外空位,使得價電子無法移動,或說電洞根本不
存在,且亦無電洞傳導現象發生。
以上對於空位的三種說法均只是觀念性的,經由量子力學的嚴密處
理,證實此空位確實可視為一個帶正電的荷電載體,且實驗上經由霍爾

氣泡

(a) (b)

圖 3-4 價電帶中出現空位時,價電子的傳導行為可類比盛滿可樂的玻
璃瓶中出現氣泡時的行為。
126 第3章 半導體二極體

測量(Hall measurement)亦得到證實,故以後我們直接將此空位視為荷正
電的粒子,並簡稱電洞。

3.1.6 純質載體濃度
所謂理想的純質半導體是指沒有雜質摻入,沒有缺陷產生,且具有完美
晶體結構的半導體。但是事實上,這種理想材料是不存在的。於是真實
世界中對於純質半導體的定義應修正如下:利用現代半導體製作技術,
將雜質和缺陷之數目減少至最低程度者稱為純質半導體。
根據圖 3-3 的觀念,純質半導體中產生一傳導電子的同時,必然在價
對於純質半導體而言,導 電帶伴隨一空位的出現。於是,對於純質半導體而言,導電帶之電子濃
電帶之電子濃度 n 必與價 度 n 必與價電帶之電洞濃度 p 相等,也就是
電帶之電洞濃度 p 相等。
n = p = ni (3-4)
其中 ni 稱為純質載體濃度(intrinsic carrier concentration)。在此濃度之因
次一律使用 1/立方公分( 1 cm 3 )。
根據半導體理論可推導出
⎛ Eg ⎞
ni2 (T , E g ) = A*T 3 exp ⎜⎜ − ⎟⎟ (3-5)
⎝ kT ⎠
其中 A* 為一與材料有關的常數,T 代表絕對溫度,k 則是波茲曼常數
(Boltzmann constant),其值為 k = 1.38 × 10 −23 J K 。此外,在室溫(300K)時,
1kT = 4.14 × 10 −21 J = 0.0259eV (3-6)
對於矽在 300K 而言, ni = 1.45 × 10 10
cm ;而鍺在 300K 時, ni = 2.4 ×
3

13 3
帶溝值 Eg 愈小,則純質載 10 cm 。其實,此數值亦可由(3-5)式解釋:帶溝值 E g 愈小,則純質載
體濃度 ni 愈大。 體濃度 ni 愈大,見表 3-2。
由於鍺的 E g 值較矽為小,故鍺的 ni 值將遠大於矽。另一方面,兩者的
ni 值差了三個數量級;代表在室溫下,鍺晶體的傳導能力將遠比矽晶體

表 3-2 常見半導體在室溫,帶溝大小與純質載體濃度之比較。

材料 Eg(eV) n i (1/cm3)

Ge 0.66 2.4 × 1013


Si 1.12 1.45 × 1010
GaAs 1.42 1.79 × 10 6
3.2 外質半導體 127

好。但就整流(rectification)應用而言,鍺晶體之傳導能力佳也代表鍺製
半導體元件的漏電流(leakage current)較大,為其重大缺點。這也是為什
麼自 1960 年代起,矽晶體取代鍺成為製造二極體、電晶體等元件之主
要材料的原因之一。
附帶一提,由於室溫時,純質半導體產生的電子電洞對數目極少;以
矽為例,僅達 1010 cm 3 左右。故室溫時純質半導體的電性類似一良好的
絕緣體。

3.2 外質半導體 f 選擇性閱讀 e

半導體最大的好處就是可以摻雜(doped),可以摻雜成 p 型或 n 型,然後
可做 pn 接面,npn 電晶體等各種不同的電子元件。若將週期表中三價或
五價的原子加到純的半導體中就會形成不純的半導體,稱為外質
(extrinsic)半導體。

3.2.1 n 型半導體
如果摻入的雜質原子是五價的,例如:磷、砷、及銻,就會得到如圖 3-5(a)
所示的晶體結構。雜質原子中的四個價電子會佔據原來矽原子應佔的四
個共價鍵,而第五個價電子變為一幾乎不受束縛的電子,事實上第五個
價電子之游離能(ionization energy)極小,以磷摻入矽為例,此游離能約
0.025eV;於是,這個五價原子提供了一個幾乎不受束縛的傳導電子,我
們稱此雜質原子為施體(donor)。注意,當此雜質原子所提供的第五個價 當此雜質原子所提供的第
電子一旦游離,則原來的中性原子就變為一個帶正電的離子(ion),即 五個價電子一旦游離,則
原來的中性原子就變為一
N D → N D+ + e − (3-7)
個帶正電的離子。
其中 N D 為施體原子濃度(donor atom concentration),而 N D+ 則為施體離子
濃度(donor ion concentration)。

3.2.2 p 型半導體
如果摻入的雜質是三價的,例如:硼、鎵、及銦,就會得到如圖 3-5(b)
所示的晶體結構。所提供的雜質只能填滿三個共價鍵,而在第四個鍵上
留下了一個空位,也就是電洞。故三價雜質提供了電洞,電洞可以接受
電子造成傳導現象,因而此類的雜質稱為受體(acceptor)。一旦受體接受 一旦受體接受電子,則原
電子,則原為中性的三價原子立刻變為帶負電之離子,即 為中性的三價原子立刻變
為帶負電之離子。
128 第3章 半導體二極體

+4 +4
Si Si
電洞
傳導電子

+4 +5 +4 +4 +3 +4
Si P Si Si B Si

施體 受體
+4 +4
Si Si

(a) (b)

圖 3-5 (a)n 型矽摻雜施體(磷),(b)p 型矽摻雜受體(硼)。

N A + e − → N A− (3-8)
其中 N A 為受體原子濃度(acceptor atom concentration),而 N A− 代表受體離
子濃度(acceptor ion concentration)。

3.2.3 大量作用定律
在熱平衡(thermal equilibrium)時,經由半導體理論可以證明
np = ni2 (3-9)

在熱平衡狀態下,不論純 即電子電洞濃度之乘積為一與溫度有關之常數。換言之,只要在熱平衡
質或外質半導體,大量作 狀態下,不論純質或外質半導體,大量作用定律(mass-action law)恆成立。
用定律恆成立。

3.2.4 電荷中性
電荷中性條件
考慮在一半導體樣本中,正電荷總濃度是 N D+ + p ,負電荷之總濃度是
N A− + n ;其中, N D+ 代表施體離子濃度, N A− 代表受體離子濃度。
半導體呈電中性,故正電 由於半導體呈電中性,故正電荷之總濃度與負電荷之總濃度必須相
荷之總濃度與負電荷之總 等,即
濃度必須相等。
N D+ + p = N A− + n (3-10)
稱之為電荷中性(charge neutrality)。若 N D 代表施體原子濃度, N A 代表
受 體 原 子 濃 度 , 假 設 完 全 游 離 (complete ionization) , 即 N D+ = N D ,
N A− = N A ,則
3.3 載體遷移過程 129

ND + p = NA + n (3-11)

平衡時的載體濃度
若一半導體樣本( ni 已知)摻雜一部份施體( N D 已知),再摻雜一部份受體
( N A 已知),則在熱平衡狀態下,電子及電洞濃度各為多少?因為不論如
何摻雜,在熱平衡時,大量作用定律與電荷中性條件必須同時成立,即
滿足 np = ni2 和 N D + p = N A + n 。由此二式合併為
n 2 + ( N A − N D )n − ni2 = 0 (3-12)
解得
( N D − N A ) + ( N A − N D ) 2 + 4ni2
n= (3-13)
2
( N A − N D ) + ( N A − N D ) 2 + 4ni2
p= (3-14)
2
對 n 型半導體而言, N A = 0 且 n >> p ,因此
n ≅ ND (3-15)
ni2
p≅ (3-16)
ND
對 p 型半導體而言, N D = 0 且 p >> n ,因此
p ≅ NA (3-17)
ni2
n≅ (3-18)
NA

■例題 1
有一矽樣本,其施體原子濃度為 N D = 2 × 1014 cm 3 ,且受體原子濃度為
N A = 3 × 1014 cm 3 ,試求在 300K 時的傳導電子濃度。

解: 由大量作用定律 np = ni2 和電荷中性 p + N D = n + N A 二式聯立,代


值後可得 np = (1.45 × 1010 ) 2 和 p + 2 × 1014 = n + 3 × 1014 ,故聯立解得
p ≅ 1014 cm 3 和 n ≅ 2.1 × 10 6 cm 3 。

3.3 載體遷移過程 f 選擇性閱讀 e

在半導體內外加一電場,則帶正電或負電的載體會受到庫倫作用力的影
響而產生電流,這種電流稱為遷移電流(drift current)。若半導體內部載
體濃度分佈不均,則載體將由濃度高向濃度低的地方移動,此種傳導引
130 第3章 半導體二極體

起之電流稱為擴散電流(diffusion current)。

3.3.1 遷移速度與遷移率
在無外加電場時,電子作隨意熱運動,如圖 3-6(a)。至於每個單獨電子
的熱運動可視為經由晶格原子一連串碰撞或散射所引發之行為,而這種
電子的熱運動經歷一段足夠長的時間之後,其電子的淨位移為零。
若在晶體中加一電場,則電子會在二次碰撞期間沿受力方向加速,如
圖 3-6(b)所示,因此產生了一額外的速度分量,此分量疊加在原有的熱
速度上,而此一額外的速度分量即被稱為遷移速度(drift velocity)。故電
子的遷移速度 v n 可表示為
vn = μ n ε (3-19)
其中 ε 代表電場強度的大小,而 μ n 則是電子遷移率(electron mobility)。
遷移率是描述載體傳導時一個非常重要的物理量,代表一粒子受外加
電場影響的程度。同理
v p = μ pε (3-20)

其 中 μ p 代 表 電 洞 遷 移 率 。 對 室 溫 矽 而 言 , μ n ≅ 1300 cm 2 V -sec ,
μ p ≅ 500 cm 2 V -sec。此外,需注意 vn 之方向與電場相反,而 v p 之方向
則與電場相同。
參考圖 3-7(a),顯示在不同施體濃度下,矽的電子遷移率對溫度之關

外加電場 ε

淨位移向右

(a) (b)

圖 3-6 在一半導體內電子運動路徑示意圖:(a)隨意熱運動,(b)由於隨
意熱運動及外加電場共同產生的合成運動。
3.3 載體遷移過程 131

係。圖中顯示,在室溫附近,溫度上升,由於晶格振盪劇烈,使得遷移 溫度上升,由於晶格振盪
率下降。此外,當溫度固定時,施體濃度增加,則遷移率下降。 劇烈,使得遷移率下降。

考慮矽的遷移速度對電場之關係,如圖 3-7(b)所示。低電場時,遷移
率幾乎為常數,使得遷移速度與電場成正比關係。但是在高電場區時,
電場再上升,由於遷移率下降,導致載體運動速度達到飽和,稱為高電
場速度飽和效應。在矽中電子飽和速度(saturation velocity)約為 10 7
(cm/sec)。

3.3.2 電阻係數
考慮一半導體樣本,如圖 3-8 所示。電子遷移電流密度(current density) J n
In
Jn = = nqμ n ε (3-21)
A
電洞遷移電流密度 J p
Ip
Jp = = pqμ p ε (3-22)
A
全部電流密度 J
J = J n + J p = (nqμ n + pqμ p )ε (3-23)

μ n (cm 2 /V − sec) vn ,vp


104 (cm/sec) vn = μ n ε vn
107
ND = 1016 cm−3

103 v p = μ pε
1017
1018 vp

102 1019

50
100 200 300 500 1000 T(K) 4×10 4 ε (V/cm)
(a) (b)

圖 3-7 (a)在不同施體濃度下,矽之電子遷移率對溫度之關係(本數據取自參考書目 4),(b)矽之遷


移速度對電場關係圖。
132 第3章 半導體二極體

I = In + Ip
ε

面積 = A

圖 3-8 在一均勻半導體樣本中之電流傳導,其中 ε 代表電場,而 In 和


Ip 分別為電子和電洞之遷移電流。

括號內之量定義為導電率(conductivity) σ ,
σ = nqμ n + pqμ p (3-24)

一半導體所對應之電阻係數 ρ 則定義為 σ 的倒數

1 1
ρ =Δ = (3-25)
σ q (nμ n + pμ p )

對於 n 型半導體而言,
1
ρ≅ (3-26)
nqμ n

對於 p 型半導體而言,
1
ρ≅ (3-27)
pqμ p

■例題 2
利用本節中電阻係數的公式評估下列兩種半導體材料其電阻係數之溫
度效應
(a)外質半導體。
(b)純質半導體。

解:
(a) 考慮一個摻雜 N D 完全游離的 n-型半導體,則 n ≅ N D 。因此,電
阻係數之表示式如下:
1 1
ρ≅ ≅
nqμ n N D qμ n
3.4 載體擴散過程 133

上式顯示在室溫附近,溫度 T 上升, μ n 下降,於是 ρ 增加。


(b) 將(3-4)代入(3-25)式可得
1
ρ=
ni q ( μ n + μ p )
雖然 T 上升, ( μ n + μ p ) 下降,但是 ni 卻強烈增加( ni 為溫度之強
烈函數),導致 ρ 下降。

3.4 載體擴散過程 f 選擇性閱讀 e

3.4.1 擴散電流密度
在半導體材料中,若載體濃度在空間上有變化,如圖 3-9,則會產生另
一種電流分量,也就是說,載體會由高濃度區向低濃度區移動,此分量 載體會由高濃度區向低濃
稱為擴散電流。經由半導體理論可推導出電子擴散電流密度 J n , 度區移動,此分量稱為擴
dn 散電流。
J n = qDn (3-28)
dx
其中 Dn 代表電子擴散率(diffusivity)。同理,電洞擴散電流密度 J p ,
dp
J p = − qD p
(3-29)
dx
其中 D p 代表電洞擴散率。何謂擴散?我們說所謂擴散即是在濃度不均
的情況下載體作隨意熱運動的結果。至於擴散電流的大小正比於載體濃 擴散電流的大小正比於載
度之空間微分。讀者通常對(3-28)和(3-29)二式中的正負號會有疑問,這 體濃度之空間微分。

n (x) Jn p (x) Jp

電子 電洞

x x
(a) (b)

圖 3-9 半導體材料其載體濃度在空間上有變化將產生擴散電流:(a)電
子濃度分佈,(b)電洞濃度分佈。
134 第3章 半導體二極體

點可以參考圖 3-9 的解釋。首先由圖 3-9(a)可看出,電子向 − x 方向擴散,


於是電子流向 − x 方向,但電子擴散電流密度 J n 則向 + x 方向。而本圖
n(x) 之微分為正值,故將 J n 和 dn dx 代入(3-28)式,在等號左右兩側的
極性是相符的。同理,讀者亦可嘗試以圖 3-9(b)解釋(3-29)式電洞擴散電
流密度公式中之負號。

3.4.2 愛因斯坦關係式
愛因斯坦推導出
Dn Dp kT
= = (3-30)
μn μp q

其中 q 為基本電荷, T 為絕對溫度(K),而 k 則是波茲曼常數(Boltzmann


constant),
k = 1.38 × 10 −23 J K (3-31)
習慣上,吾人可將 kT q 定義為熱電壓(thermal voltage) VT ,即溫度等
效對應之電壓值,
kT
VT = (3-32)
q
愛因斯坦關係式描述分別 在室溫附近, VT 值約為 25mV。而(3-30)式則是愛因斯坦關係式,注意
代表擴散及遷移之二重要
此式描述分別代表擴散及遷移之二重要參數──擴散率與遷移率間的
參數──擴散率與遷移率
間的關係。
關係。

3.4.3 電流密度方程式
在一個濃度變化的半導體中,如果又有電場出現時,則擴散及遷移電流
將同時產生,此時淨電子電流密度 J n 為(3-21)式遷移電流與(3-28)式擴散
電流的合成,
dn
J n = nqμ n ε + qDn (3-33)
dx
同理,淨電洞電流密度 J p 為

dp
J p = pqμ p ε − qD p (3-34)
dx
故全部傳導電流密度(conduction current density) J
J = Jn + J p (3-35)
3.4 載體擴散過程 135

3.4.4 霍爾效應
欲直接測量載體濃度最直接的方法就是霍爾效應,如圖 3-10 所示。而且 欲直接測量載體濃度最直
霍 爾 測 量 (Hall measurement) 亦 是 證 明 電 洞 確 實 可 視 為 一 荷 電 載 體 接的方法就是霍爾效應。

(charge carrier)最令人信服的一種實驗方法。
因為在穩定狀態下, y 方向不可能有電流,也就是 y 方向電力 qε y 與
磁力 qv x B z 必須平衡,即
qε y = qv x B z (3-36)
其中 v x 為電荷在 x 方向的速度, B z 為 z 方向的磁通密度(magnetic flux
density),而 y 方向建立之電場 ε y 稱為霍爾電場(Hall field),
ε y = v x Bz (3-37)
而端電壓(terminal voltage)則稱為霍爾電壓(Hall voltage) V H 。由於 VH 為
電場 ε y 沿 y 方向的定積分,於是
VH = ε y d (3-38)

另外,假設樣本為 p 型半導體
⎛ Jp ⎞
ε y = v x Bz = ⎜ ⎟ B z = RH J p Bz (3-39)
⎝ pq ⎠
也就是霍爾電場正比於電流密度與磁通密度之乘積,其正比係數定義為
霍爾係數(Hall coefficient) R H ,

面積 = A
I

d
x
Bz
V
z

圖 3-10 利用霍爾效應測量載體濃度的基本構裝,其中 Bz 為外加的磁


通密度。
136 第3章 半導體二極體

1
RH = (3-40)
pq

至於載體濃度 p 則由(3-38)及(3-39)
1 I
VH = ε y d = J p Bz d = Bz d (3-41)
pq pqA
得載體濃度 p 為
IB z d
p= (3-42)
qV H A

■例題 3
在一個一度空間半導體樣本中的電洞濃度分佈如圖 3-11 所示。
(a) 令此樣本的淨電流為零並計算所對應之內建電場(built-in electric
field)。
(b)若 p (0) p o = 10 4 ,計算在 x = 0 和 x = w 閘之內建電位差 Vbi 。

解:
(a) 由(3-34)式,
dp
J p ( net ) = pqμ p ε − qD p =0
dx
解得內建電場,
1 kT dp VT dp
ε= =
p q dx p dx
代入圖中的斜率值,
⎧ aVT ,0< x<w
⎪⎪ p (0) + ax
ε ( x) = ⎨

⎪⎩ 0 ,x≥w

p (x)
p (0) 斜率 = a

po

0 w x

圖 3-11 例題 3:電洞濃度分佈圖。
3.5 pn 接面的物理特性 137

ε (x)
w
0 x
aVT
p ( 0)

aVT
p0

圖 3-12 例題 3:(a)之電場分佈圖。

可繪出電場分佈如圖 3-12 所示。


x=w w aVT
(b) Vbi = − ∫ ε ( x)dx = − ∫ 0 dx
x =0 p(0) + ax
p0
= −VT ln = 230mV
p ( 0)

3.5 pn 接面的物理特性 f 選擇性閱讀 e

3.5.1 熱平衡狀態與能位障的觀念
首先考慮將 p 型半導體與 n 型半導體接觸形成 pn 接面(junction)。此時
載體開始流動並產生擴散電流,只要載體一動,即出現空間電荷(space
charge),於是內建電場產生,遷移電流開始建立,直到所建立之遷移電
流與原始擴散電流相等,系統即達平衡。而 pn 平衡時由內建電場所建 pn 平衡時由內建電場所
立之電位差稱為內建電位(built-in potential) Vbi 。平衡時之靜電位分佈 建立之電位差稱為內建電
位。
V (x) 、電洞的位能分佈 qV (x) 及電子的位能分佈 − qV (x) 見圖 3-13。
pn 接面於平衡時在接面的左右兩側(見圖 3-13(a))分別出現帶負電與帶
正電的空間電荷區(space charge region),此區間代表元件在走向平衡的 元件在走向平衡的過程中
過程中曾經流失大量載體,造成此區間載體濃度極低,吾人稱之為空乏 曾經流失大量載體,造成
此區間載體濃度極低,稱
區(depletion region)。此外,讀者須注意空乏區左側的電荷主要是受體離
之為空乏區。
子,其密度為 N A− ,而空乏區右側電荷主要是施體離子,其密度為 N D+ 。
至於圖 3-13(c)和(d)中的位能分佈則顯示,在熱平衡狀態達成時,p 型
138 第 3 章 半導體二極體

空乏區 qV(x)

− +
p n 電洞
− + qVbi

電場 ε −xp xn x
(a) (c)
V (x) −qV(x)

電子 x
Vbi qVbi

−xp xn x
(b) (d)

圖 3-13 (a)pn 接面,圖中顯示空乏區,(b)靜電位分佈 V(x),(c)電洞的位能+qV(x)分佈,(d)電子


的位能分佈 −qV(x)。

半導體的大量多數載體(majority carrier)電洞多半位於 “山谷”,其往右看


到一個能位障,而被能位障擋住了。同理,n 型半導體中則有大量的多
數載體電子位於圖 3-13(d)之 “山谷” 區,往左亦看到一能位障。這就是
pn 接面在熱平衡狀態的行為。

3.5.2 內建電位
平衡時,橫越 pn 接面空乏區兩端之靜電位之差定義為內建電位 Vbi 。平
衡時,令淨電流為零,
dp
J p ( net ) = pqμ p ε − qD p =0 (3-43)
dx
則內建電場 ε 為
dV 1 dp
ε =− = VT (3-44)
dx p dx
依照內建電位 Vbi 之定義(參考圖 3-13)
xn
Vbi =Δ V ( x = xn ) − V ( x = − x p ) = ∫ − x dV
p
3.5 pn 接面的物理特性 139

xn dp p( x = − x p )
= −VT ∫ − x = VT ln
p p p ( x = xn )
p po
= VT ln (3-45)
p no

ni2
因為 p po ≅ N A , p no ≅ ,故
ND
NAND
Vbi = VT ln (3-46)
ni2
在(3-45)式中, p po 代表熱平衡時 p 型半導體之多數載體濃度,而 p no 則 ppo 代表熱平衡時 p 型半導
為熱平衡時 n 型半導體之少數載體濃度。由此,讀者亦可了解 nno 及 n po 體之多數載體濃度,而 pno
則為熱平衡時 n 型半導體
之意義。 之少數載體濃度。

3.5.3 空乏區的電性分析
柏桑方程式
欲了解空乏區之特性,必須解柏桑方程式(Poisson’s equation):
d 2V dε q
=− = − ( N D+ − N A− + p − n) (3-47)
dx 2
dx ∈S
其中 ∈S 代表半導體的介電係數(permittivity),對矽而言, ∈S = 1.05 ×
10 −12 F cm 。若假設所有的施體與受體均游離,則柏桑方程式變為
d 2V dε q
=− = − ( N D − N A + p − n) (3-48)
dx 2
dx ∈S

整體空間電荷中性
再假設 pn 接面屬於突變接面(abrupt junction),即雜質分佈在接面左側為
定值 N A 且接面右側為定值 N D ,見圖 3-14(a)。因為半導體的整體空間電
荷中性(overall space charge neutrality)必須維持,在 p 側每單位面積之全 p 側每單位面積之全部負
部負空間電荷數必精確等於在 n 側每單位面積之全部正空間電荷數,即 空間電荷數必精確等於在
n 側每單位面積之全部正
N A x p = N D xn (3-49)
空間電荷數。
而全部空乏區寬度(depletion layer width) Wd 為
Wd = x n + x p (3-50)

由(3-49)及(3-50)得
NA
xn = Wd (3-51)
NA + ND
140 第 3 章 半導體二極體

p 空乏區 n p+ 空乏區 n
ND − N A ND − NA

ND ND
−xp + + + +

xn x
− − 0 xn ≅ Wd x


−NA −
(a) −NA
(d)

ε (x) ε (x)
0 Wd
x x

面積 = V bi
−εmax 面積 = Vbi −εmax
(b) (e)
V (x) V (x)

Vbi Vbi

x 0 Wd x
Wd

(c) (f)

圖 3-14 (a)在熱平衡時空乏區中之空間電荷分佈,(b)電場分佈,面積代表內建電位,而εmax 代表
空乏層內之最大電場,(c)靜電位分佈,(d)(e)(f)單側突變接面(NA>>ND)之電荷、電場及電
+
位分佈,其中 p 代表 p 型區為重摻雜。

ND
xp = Wd (3-52)
NA + ND

空乏層寬度計算
由柏桑方程式,
d 2V qN A
= − xp ≤ x < 0 (3-53)
dx 2 ∈S
3.5 pn 接面的物理特性 141

d 2V qN
=− D 0 < x ≤ xn (3-54)
dx 2
∈S
對(3-53)及(3-54)二式積分並置入邊界條件 ε ( x = − x p ) = 0 及 ε ( x = x n )
= 0 ,得
qN A
ε ( x) = − (x + xp ) − xp ≤ x < 0 (3-55)
∈S
qN D
ε ( x) = ( x − xn ) 0 < x ≤ xn (3-56)
∈S
而空乏區中之最大電場 ε max
qN D xn qN A x p
ε max = = (3-57)
∈S ∈S
將(3-55)及(3-56)二式對整個空乏區積分即可得內建電位 Vbi :
xn
Vbi = − ∫ ε ( x)dx
−xp

0 xn
= −∫ ε ( x)dx − ∫ ε ( x)dx
−xp 0 n側
p側

qN A x 2p qN D x n2 1
= + = ε maxWd
2 ∈S 2 ∈S 2
1 qN A x p q ⎛ NAND ⎞ 2
= Wd = ⎜ ⎟ Wd (3-58)
2 ∈s 2 ∈s ⎝ NA + ND ⎠
可解出熱平衡狀態或零偏壓時的空乏層寬度
2 ∈S ⎛ 1 1 ⎞
Wd = ⎜ + ⎟ Vbi (3-59)
q ⎝ NA ND ⎠

其中
NAND
Vbi = VT ln (3-60)
ni2

單側突變接面
在突變接面的一側其雜質濃度遠較另一側為高時,即稱為單側(one-sided)
突變接面。現在考慮 N A >> N D 之情況,見圖 3-14(d)(e)(f)三圖。而(3-59)
式變為
2 ∈S Vbi
Wd ≅ (3-61)
qN D
142 第3章 半導體二極體

Wd Wd

− + − − − + + +
p n p n
− + − − − + + +

VF VR
(a) (b)

圖 3-15 一 pn 接面在不同偏壓情況下空乏區寬度:(a)順向偏壓,(b)逆向偏壓。

摻雜濃度愈高,則空乏層 結論是,摻雜濃度愈高,則空乏層寬度愈窄。此外,亦發現空乏層寬度
寬度愈窄。空乏層寬度與 與橫越接面電位差之開根號成正比。至於此電位差則會受到外加偏壓的
橫越接面電位差之開根號
影響而發生變化。
成正比。
外加偏壓的 pn 接面
若 在 p 側 相 對 n 側 加 以 正 電 壓 VF , 則 pn 接 面 變 為 順 向 偏 壓
(forward-biased),如圖 3-15(a)所示。此時橫越接面之全部靜電位(total
順偏將使空乏區寬度縮 electrostatic potential)變為 Vbi − VF ;因此,順偏將使空乏區寬度縮小,其
小。 值依據下式決定:
2 ∈S
Wd ≅ (Vbi − VF ) (3-62)
qN D
若 在 n 側 相 對 p 側 加 以 正 電 壓 VR , 則 pn 接 面 變 為 逆 向 偏 壓
(reverse-biased),如圖 3-15(b)所示。此時橫越接面之全部靜電位變為
逆偏將使空乏區寬度擴 Vbi + VR ;因此,逆偏將使空乏區寬度擴張,其值依據下式決定:
張。
2 ∈S
Wd ≅ (Vbi + VR ) (3-63)
qN D

若合併以上二式,可將 Wd 改寫為
2 ∈S
Wd ≅ (Vbi − V ) (3-64)
qN B

其中 N B 代表輕摻雜一側的濃度,V 則代表外加偏壓。而順偏時,V = VF ;
逆偏時,V = −VR 。假設 N A >> N D ,則 N B 即為 N D ;假設 N D >> N A,則 N B
即為 N A 。結論是,外加偏壓與摻雜濃度為決定空乏層寬度之兩重要因素。
3.5 pn 接面的物理特性 143

3.5.4 少數載體分佈
接面定律
根據半導體理論推導可得
pn ( xn ) = pno eV VT (3-65)
n p (− x p ) = n po eV VT (3-66)

此二式稱為接面定律(law of the junction),主要是描述空乏區邊界之少數 接面定律主要是描述空乏


載體濃度與外加電壓之關係。 區邊界之少數載體濃度與
外加電壓之關係。
順向偏壓
pn 接面於順向偏壓時,平衡狀態破壞,產生少數載體入射。入射的少數
載體在離開邊界後即與多數載體復合,其分佈呈指數衰減。此分佈係
1949 年由蕭特萊(Shockley)利用半導體連續方程式解出
− ( x − xn ) L p
p n ( x) = p no + p no (e qV kT
− 1)e (3-67)

見圖 3-16(a)。其中
p n ( xn ) = p no e qV kT
(3-68)
p n (∞) = p no (3-69)

上式中 L p = D pτ p ,且 L p 代表電洞在 n 型半導體內的少數載體擴散長


度 (diffusion length) , τ p 為 電 洞 在 n 型 半 導 體 內 的 少 數 載 體 生 命 期
(lifetime)。其中 L p 的物理意義為入射電洞與背景電子發生復合之前所行 Lp 的物理意義為入射電洞
與背景電子發生復合之前
經的平均距離,而 τ p 則代表入射電洞與背景電子發生復合之前所經歷的
所行經的平均距離,而 τp
平均時間。另一方面,由此圖可看出順偏時將有超額的少數載體分別儲 則代表入射電洞與背景電
存於 p -型和 n -型半導體內,此現象稱為少數載體儲存效應,見圖中 p 子發生復合之前所經歷的
型中的電荷 Qn 和 n 型中的 Q p 。同理,在 p 型半導體內 平均時間。
( x + x p ) Ln
n p ( x) = n po + n po (e qV kT
− 1)e (3-70)

其中 Ln = Dnτ n 。
逆向偏壓
在逆偏時,一般 V >> VT ,故少數載體分佈可近似為
− ( x − xn ) L p
p n ( x) = pno [1 − e ] (3-71)

( x + x p ) Ln
n p ( x) = n po [1 − e ] (3-72)
144 第3章 半導體二極體

− +
p n
− +
VF
空乏區

濃度 pn(xn)

n p (− x p ) 面積 = Qp

面積 = Qn pn(x)

np(x)
pno
Lp
npo Ln
0
−xp xn x

(a)

− − + +
p n
− − + +
VR

空乏區
濃度

pno

npo

pn ( x )
n p (x)
0
−xp xn x

(b)

圖 3-16 (a)順向偏壓時的少數載體分佈,(b)逆向偏壓時的少數載體分
佈。
3.5 pn 接面的物理特性 145

其分佈曲線顯示於圖 3-16(b)。本圖隱藏的意義為在逆偏狀態下將產生少 在逆偏狀態下將產生少數


數載體的擴散電流,此即反向飽和電流的物理成因。 載體的擴散電流,此即反
向飽和電流的物理成因。
電荷控制方程式與儲存時間
根據半導體物理可導出 pn 接面於順偏時的傳導電流 I 與 n-型半導體內
的儲存電荷 Q p (假設 N A >> N D ,於是 Q p >> Qn )間之關係為
Qp
I= (3-73)
τp
此即二極體之電荷控制方程式(charge control equation),其中 τ p 為電洞
在 n 型半導體內的少數載體生命期。(3-73)式陳述儲存電荷之量為電流 儲存電荷之量為電流和少
和少數載體生命期之乘積。其物理意義如下:若電洞之生命期愈長,則 數載體生命期之乘積。

注入 n 型區之電洞在與電子發生復合之前可擴散得愈遠;因此,儲存的
電洞就愈多。
此外,值得一提的是,二極體順偏時,即有少數載體入射,因而產生
少數載體儲存效應。當二極體由順偏變至逆偏時,儲存的少數載體必須 當二極體由順偏變至逆偏
被移去,移去儲存少數載體所需之時間,稱為儲存時間(storage time)。 時,儲存的少數載體必須
被移去,移去儲存少數載
■例題 4 體所需之時間,稱為儲存
在推導 pn 接面內建電位 Vbi 時,曾令電洞的淨電流密度為零。請參考 時間。
(3-33)式,若令電子的淨電流密度為零,試問結果為何?是否與(3-46)
式的結果一致?

解:
由(3-33)式,令 J n ( net ) = 0 ,則
dn
J n ( net ) = nqμ n ε + qDn =0
dx
解得電場為
dV − 1 dn
ε =− = VT
dx n dx

dn
dV = VT
n
於是
xn xn dn n( x = x n ) n
Vbi = ∫ dV = VT ∫ = VT ln = VT ln no
−xp −xp n n( x = − x p ) n po
代入 nno ≅ N D , n po ≅ ni2 N A ,可得
146 第3章 半導體二極體

NAND
Vbi = VT ln
ni2

■例題 5
考 慮 一 矽 製 單 側 突 變 接 面 , 其 摻 雜 濃 度 分 別 為 N A = 1019 cm 3 和
N D = 1016 cm 3 ,計算在 300K 零偏壓時的空乏層寬度。

解:
內建電位 Vbi 為
1019 × 1016
Vbi = 0.025 ln = 0.86V
(1.45 × 1010 ) 2
空乏層寬度 Wd 為
2 ∈S Vbi
Wd ≅ = 3.37 × 10 −5 cm = 0.337 μ m = 3370 Å
qN D
上式中 ∈S = ∈r ∈o ,其中 ∈r 為介電常數(dielectric constant),而 ∈o 則
為真空的介電係數。對矽而言, ∈r = 11.7 ,故
1
∈S = 11.7 × × 10 −9 × 10 −2 = 1.05 × 10 −12 F cm
36π

■例題 6
現有一矽製突變 pn 接面: N A = 5 × 1015 cm 3 , N D = 5 × 1017 cm 3 。試畫
出中性區以及空乏區之載體分佈。

解:

n,p (1/cm3)
p 空乏區 n
1020 nno
ppo
1015

1010

105
npo
100 pno

−xp xn x

圖 3-17 例題 6:載體分佈圖。
3.6 pn 接面的電流電壓特性 147

ni2
p po ≅ N A = 5 × 1015 cm 3 , n po ≅ = 4.2 × 10 4 cm 3
NA
ni2
nno ≅ N D = 5 × 1017 cm 3 , p no ≅ = 4.2 × 10 2 cm 3
ND
由圖 3-17,讀者得知一旦進入空乏區之後,載體濃度將急速下降,
但絕非為零。

3.6 pn 接面的電流電壓特性 f 選擇性閱讀 e

3.6.1 理想二極體方程式
1949 年 蕭 特 萊 (Shockley) 在 發 明 雙 載 子 接 面 電 晶 體 (bipolar junction
transistor)的同時亦推導出 pn 接面二極體(junction diode)的電流電壓特
性。
蕭特萊在推導 pn 接面之理想電流電壓特性的時候,首先假設空乏區
與中性區有突變邊界。第二,電壓全部降在空乏區,意即只考慮擴散電
流,不考慮遷移電流。第三,在空乏區邊界之載體濃度由橫越接面之靜
電位差決定。第四,順偏時,載體滿足低注入條件(low-injection condition)。
最後,不考慮空乏區內之產生及復合電流。先前提過蕭特萊由半導體連
續方程式解出
− ( x − xn ) L p
p n ( x) = p no + p no (e qV kT
− 1)e (3-74)


( x + x p ) Ln
n p ( x) = n po + n po (e qV kT
− 1)e (3-75)

在 x = x n 和 x = − x p 處,

dp n ( x) qD p p no
J p ( xn ) = − qD p = (e qV kT
− 1) (3-76)
dx x = xn Lp
dn p ( x) qDn n po
J n (− x p ) = qDn = (e qV kT
− 1) (3-77)
dx x =− x p
Ln

全部電流 J 為
J = J p ( xn ) + J n ( xn ) = J p ( xn ) + J n ( − x p )
(3-78)
= J S (e qV kT
− 1)
其中飽和電流密度 J S 為
148 第3章 半導體二極體

I
陽極(p側)
I
+
IS
V

0 V
陰極(n側)

(a) (b)

圖 3-18 理想二極體的電路符號與電流電壓特性曲線。

qD p p no qDn n po ⎛ Dp Dn ⎞ 2
JS = + = q⎜⎜ + ⎟ ni
⎟ (3-79)
Lp Ln ⎝ L p N D Ln N A ⎠
或是
I = I S (e qV kT
− 1) (3-80)

其中
⎛ Dp Dn ⎞⎟
I S = Aqni2 ⎜ + (3-81)
⎜L N ⎟
⎝ p D Ln N A ⎠
(3-80)式中 I 代表二極體由 p 側流至 n 側之電流,V 為 p 側相對於 n 側之
電壓,q 為電子電荷,k 為波茲曼常數,T 代表絕對溫度。電流 I 與電壓
V 的關係畫在圖 3-18(b)。可看出順向偏壓( V > 0 )時,電流急劇上升;而
逆向偏壓時,電流與電壓幾乎無關且 I − ~ − I S ,於是 I S 稱為反向飽和電
流(reverse saturation current)。一般低功率二極體, I S 為一數值極小的電
流,其值約為 10 −15 A。(3-81)式反向飽和電流的公式顯示 I S 主要與接面
面積(junction area)A 和純質載體濃度的平方 ni2 成正比。
定義一與溫度有關的參數 VT ,稱為熱電壓(thermal voltage):

kT
VT =Δ (3-82)
q

室溫時, VT 約為 0.025V 或 25mV。於是(3-80)式可表示成

I = I S (eV VT − 1) (3-83)

稱為理想二極體方程式(ideal diode equation)。


3.6 pn 接面的電流電壓特性 149

I I
Ge Si

T2 T1

T2 > T1
0 0
T1
T2 V Si V
Ge
(a) (b)

圖 3-19 (a)二極體特性之溫度效應,其中 T2 > T1,(b)矽與鍺製半導體二極體其特性之比較。

3.6.2 溫度與材料對特性的影響
由半導體理論可推導出反向飽和電流 I S 為溫度的強烈函數。而實驗上發
現溫度每上升約 10°C,飽和電流 I S 將會加倍。於是
I S (T2 ) ≅ I S (T1 ) ⋅ 2 (T2 −T1 ) 10 (3-84)

再者,二極體順偏時的溫度效應見圖 3-19(a)。實驗上亦發現,溫度每上
升 1°C,二極體的順偏電壓將下降大約 2mV。
dV
≅ −2 mV °C (3-85)
dT dI = 0

另一方面,由(3-5)和(3-81)二式可得知當 E g 愈小,ni2 愈大,於是 I S 愈大,


即打開電壓 VD (on ) 愈低。就鍺製( E g = 0.66eV)和矽製( E g = 1.12eV)二極體
二者比較而言,由於鍺的帶溝值 E g 較小,於是具有較大的飽和電流與較 鍺的帶溝值 Eg 較小,於是
具有較大的飽和電流與較
低的打開電壓,如圖 3-19(b)所示。
低的打開電壓。

3.6.3 理想因子
實驗上發現(3-83)式往往無法滿足一真實二極體的特性,應重新修正為
I = I S (eV ηVT − 1) (3-86)
其中 η 稱為理想因子(ideality factor)。對於矽製元件而言, η 介於 1 與 2
之間。附帶一提,η 亦也可用 n 表示,稱為放射係數(emission coefficient)。
150 第3章 半導體二極體

lnI

1
斜率 =
η VT
lnIS

圖 3-20 pn 二極體在半對數座標下的電流電壓特性。

此外,當順向偏壓時,令 V >> VT ,故

I ≅ I S eV ηVT (3-87)

此式取對數得
1
ln I ≅ ln I S + V (3-88)
ηVT

將 ln I 與 V 作圖可得圖 3-20。故在半對數座標上, ln I 與 V 之關係為一


直線,其斜率為 1 ηVT 。故在實驗上量測此斜率值後,乘以 VT 再取倒數
即可獲得理想因子 η 值。

■例題 7
一 pn 接面二極體,其飽和電流為 I S = 10 −14 A ,經測量發現此二極體在
1mA 的操作電流下其導通電壓恰好為 0.7V,試計算其理想因子 η 。

解:
在順向偏壓下,
I ≅ I S eV ηVT


V
η=
⎛ I ⎞
VT ln⎜⎜ ⎟⎟
⎝ IS ⎠
代值後可得 η = 1.07 。
3.7 pn 接面的寄生電容 151

3.7 pn 接面的寄生電容 f 選擇性閱讀 e

3.7.1 空乏電容
首先考慮一單側突變接面( N A >> N D ),見圖 3-21。其中空乏區右側的總
電量 Q 為
Q = qN D+ x n A = qN D x n A
≅ qN DWd A (3-89)
2 ∈S
= qN D A (Vbi − V )
qN D

基於 Q 與 V 間之非線性關係,故定義空乏電容(depletion capacitance) C j

dQ
C j =Δ (3-90)
dV

將(3-89)式微分可得
A
C j =∈S (3-91)
Wd

或單位面積的空乏電容 C ′j

−Q +Q

− +
− +

− + A
p+ n
− +

xp xn
Wd

圖 3-21 空乏電容即敘述空乏區內部的電荷儲存效應。
152 第3章 半導體二極體

∈S
C ′j = (3-92)
Wd

空乏電容與空乏層寬度成 上式陳述空乏電容與空乏層寬度成反比。且與半導體介電係數
反比,至於空乏層寬度則 (permittivity)和接面面積成正比。至於空乏層寬度則是外加偏壓的函數,
是外加偏壓的函數。
可參考(3-64)式
另一方面,代入(3-64)式可得
∈S A
Cj = (3-93)
2 ∈S
(Vbi − V )
qN D
定義 C j 0 為零偏壓時的空乏電容,
∈S A
C j0 = (3-94)
2 ∈S
Vbi
qN D

故(3-93)式可改寫為
C j0
Cj = 12
(3-95)
⎛ V ⎞
⎜⎜1 − ⎟⎟
⎝ Vbi ⎠
或是適用範圍更廣的通式:
C j0
Cj = m
(3-96)
⎛ V ⎞
⎜⎜1 − ⎟⎟
⎝ Vbi ⎠
其中 m 為一與製程相關的參數,一般稱為梯度係數(grading coefficient),
常見值為 1/2 或 1/3。

3.7.2 擴散電容
在順向偏壓下,由於有少數載體入射,於是出現少數載體儲存效應,而
儲存的少數載體可被外加電壓調變,於是在接面附近出現另一種電容,
稱為擴散電容(diffusion capacitance) C d ,定義
dQ p
C d =Δ (3-97)
dV
其中 Q p 為一 p + n 接面在 n 型半導體中的少數載體儲存電荷,可參考圖
3-16(a)。根據順偏時的電荷控制方程式,
3.7 pn 接面的寄生電容 153

Q p = Iτ p ≅ τ p I S eV ηVT (3-98)

代入(3-97)式可得
1
Cd = τ p I S eV ηVT (3-99)
ηVT

可改寫為
τ pI
Cd = (3-100)
ηVT

上式陳述擴散電容主要與少數載體生命期及順偏電流成正比。 擴散電容主要與少數載體
生命期及順偏電流成正
■例題 8 比。
有一矽製 n + − p 接面二極體,其中 N D = 2 × 1017 cm 3 , N A = 1015 cm 3 ,
∈S = 1.05 × 10 −12 F cm , ni = 1.45 × 1010 cm 3 , 少 數 載 體 生 命 期 分 別 為
τ p = 10ns 和 τ n = 2 μs ,理想因子 η 為 1,計算
(a) 零偏壓的空乏層寬度。
(b)單位面積的空乏電容。
(c) 空乏層內的最大電場 ε max 。

解:
(a) 內建電位 Vbi 為

NAND
Vbi = VT ln = 0.69V
ni2

故空乏層寬度 Wd 為

2 ∈S 2 × 1.05 × 10 −12
Wd = Vbi = × 0.69
qN A 1.6 × 10 −19 × 1015

= 0.93 × 10 − 4 cm = 0.93μm

(b) 單位面積之空乏電容 C ′j 為

∈S 1.05 × 10 −12
C ′j = = −4
= 1.1× 10 −8 F cm 2
Wd 0.93 × 10

(c)根據(3-57)式,
qN A x p qN AWd
ε max = ≅ = 1.5 × 10 4 V cm
∈S ∈S
154 第3章 半導體二極體

+ V − + V − + V −

I I I
理想 理想 VB 理想 VB rD

I I I

1
斜率 =
rD
0 V 0 VB V 0 VB V

(a) (b) (c)

圖 3-22 (a)理想二極體模型,(b)定電壓模型,(c)電池加電阻模型。

3.8 二極體電路分析

3.8.1 二極體的近似模型
為了簡化未來的二極體電路分析過程,在此我們介紹常見的三種近似模
型,見圖 3-22。第一種為理想模型(ideal model),即順偏視為完美短路,
短路的定義為電壓為零而 而逆偏則視為完美斷路。所謂短路的定義為電壓為零而電流為任意值;
電流任意,而斷路則是電 斷路則是電流為零而電壓為任意值,見圖 3-22(a)。
流為零而電壓任意。
第二種是定電壓降模型(constant-voltage-drop model)。即順偏時,電壓
固定在 VB 而電流為任意值;當端電壓不足 VB 時,一律視為逆偏且傳導電
流為零,見圖 3-22(b)。此外,一般對矽製二極體而言, VB 之典型值約為
0.7V。
最後一種稱為電池加電阻模型(battery-plus-resistance model),又名片斷線
性模型(piecewise linear model)。即 V 超過 VB 時,二極體順偏,且其特性類
似一電阻 rD ,而 V 低於 VB 時,二極體逆偏,電流為零,見圖 3-22(c)。

3.8.2 電路分析
考慮一二極體電路,如圖 3-23(a)所示。二極體電流 I 與電壓 V 需同時滿
足二極體順偏特性
3.8 二極體電路分析 155

VDD
R I R
操作點
Q
+
ID
VDD D V 1
斜率 = −
− R
0
VD VDD V

(a) (b)

圖 3-23 (a)一簡單的二極體電路,(b)圖解法。

I ≅ I S eV ηVT (3-101)

和 KVL 迴路方程式
V = VDD − RI (3-102)

由於此二式聯立後的解析解並不存在,故我們可以利用下述的方法作近
似分析。
圖解法
在 I − V 平面上畫出(3-101)和(3-102)二式,如圖 3-23(b)。而電路之解即
由圖中交點 Q 所對應之座標決定。(3-101)式代表二極體方程式,而(3-102)
式為一直線,稱為負載線(load line)。而元件特性曲線與網路負載線的交 元件特性曲線與網路負載
點 Q 則稱為操作點(operating point)。 線的交點 Q 則稱為操作
點。
理想二極體模型
若代入圖 3-22(a)之理想二極體模型,可解出 VD = 0 和
VDD
ID = (3-103)
R
定電壓降模型
若代入圖 3-22(b)之定電壓降模型,可解出 VD = VB 和二極體電流 I D 為
VDD − VB
ID = (3-104)
R
電池加電阻模型
若將圖 3-22(c)之電池加電阻模型代入圖 3-23(a)之電路,可解出二極體電
流為
156 第3章 半導體二極體

VDD − VB
ID = (3-105)
R + rD
和二極體端電壓 VD 為
VD = VB + I D rD (3-106)

疊代法
疊代法(iteration method)是上述各種方法中較為精確但也較費時的一種
方法,為一種有規則性的嘗試錯誤法。現假設有一二極體在 I D = 1mA
時, VD 恰好為 0.7V。於是二極體的順偏方程式可改寫
⎛I ⎞ ⎛ 1 mA ⎞
VD = ηVT ln⎜⎜ D ⎟⎟ = ηVT ln⎜⎜ ⎟⎟ + ηVT ln I D
⎝ IS ⎠ ⎝ IS ⎠
= 0.7 + ηVT ln I D (3-107)
注意上式中的 I D 為拆除 mA 單位後剩餘的數字,或說以 mA 為單位的數
字。再與迴路方程式進行規則性的嘗試錯誤即可求出相當準確的解,現
以例題 9 說明。

■例題 9
假設圖 3-24 中的二極體只有在 I D = 1mA 時, VD 才剛好等於 0.7V,且
η = 2 。利用疊代法求解 I D 和 VD 。

解:
將二極體特性方程式改寫成
VD = 0.7 + ηVT ln I D = 0.7 + 0.05 ln I D ...................... 

而迴路方程式為
5 = I D × 1 + VD ......................................... 

第 1 次疊代:
令 VD = 0.7V 代入  得 I D = 4.3mA ,將 I D = 4.3mA 代回  得
VD = 0.7729V。

R=1kΩ ID

+
VDD = 5V D VD

圖 3-24 例題 9:二極體電路圖。
3.8 二極體電路分析 157

第 2 次疊代:
令 VD = 0.7729V 代入得 I D = 4.227mA,將 I D = 4.227mA 代回
得 VD = 0.772V。
顯 然 已 不 需 要 再 反 覆 下 去 ; 於 是 我 們 就 取 I D = 4.23mA 和
VD = 0.772V 作為與兩式之近似聯立解。

■例題 10
利用二極體的定電壓降 (0.7V) 模型分析此雙端截波器 (double-ended
clipper)電路,見圖 3-25(a),並繪出其電壓轉換特性,也就是輸出電壓
與輸入電壓之關係。

解:

參考圖 3-25(a)並考慮以下兩種情況:
(a) v I = 0 , D1 、 D2 、 D3 、 D4 導通,故 v1 = 0.7V 和 vO = 0V。此外,
10 − 0.7
I2 = = 0.93mA
10
− 0.7 + 10
I3 = = 0.93mA
10
I4 = 0

由於
I1 + I 2 = I 3 + I 4
故 I1 = 0 。

(b) v I = 3V, D1 、 D2 、 D3 、 D4 導通,故 v1 = 3.7V 和 vO = 3V。此



10 − 3.7
I2 = = 0.63mA
10
2.3 + 10
I3 = = 1.23mA,
10
I 4 = 0.3mA

故 I1 = 0.9mA。
由(a)(b)知,流經 D2 之電流 I D 2 必須大於 I 4 ,否則 D4 將為截止狀態,
但 v I 上升, v1 上升, I 2 下降,但 I 4 上升,當 I 2 下降至恰好足以供
應 I 4 時,v I 即達到一臨界值 Vcr,此時 D1 和 D4 正好截止,而 D2 和 D3
維持導通,見圖 13-25(b)。故可求得 Vcr 為
158 第3章 半導體二極體

+10V

I2 10kΩ
v1
D1 D2
I1 I4
vI vO

10kΩ
D3 D4
v2
I3
10kΩ

−10V
(a)

+10V

I2 10kΩ vO

D2 4.65V
I1
− 4.65V
vI > 4.65V vO = Vcr
4.65V vI
I4
10kΩ
D3 − 4.65V

I3 10kΩ

−10V
(b) (c)

圖 3-25 例題 10:(a)電路圖,(b)折斷點與飽和電壓的計算,(c)(a)電路之轉換特性。

10 − 0.7 ⎞
vO ≡ Vcr = ⎛⎜ ⎟ × 10 = 4.65V
⎝ 10 + 10 ⎠

綜合以上所述得
3.9 小訊號模型 159

0 ≤ v I ≤ 4.65V : vO = v I
v I > 4.65V : vO = 4.65V

同理
− 4.65V ≤ v I ≤ 0 : vO = v I
v I < − 4.65V : vO = − 4.65V

故此電路之轉換特性如圖 3-25(c)所示。

3.9 小訊號模型

3.9.1 小訊號電阻
考慮一觀念性電路及所對應之訊號關係,如圖 3-26。在無外加訊號 vd (t )
時,二極體直流電壓為 VDQ ,代入(3-87)式可求得所對應之二極體直流電
流 I DQ 為
VDQ ηVT
I DQ = I S e (3-108)

當訊號加入時,全部瞬間二極體電壓(total instantaneous diode voltage)


v D (t ) 將為
v D (t ) = VDQ + vd (t ) (3-109)
所對應之全部瞬間二極體電流 iD (t ) 為
(VDQ + vd ) ηVT
i D (t ) = I S e vD ηVT = I S e
VDQ ηVT ηVT
= ISe e vd = I DQ e vd ηVT (3-110)

現在假設訊號 vd (t ) 之振幅足夠小,使得
vd
<< 1 (3-111)
ηVT
根據泰勒級數(Taylor series)展開並將二階以上之高階項忽略,則(3-110)
式可簡化為
⎛ v ⎞
iD (t ) ≅ I DQ ⎜1 + d ⎟ (3-112)
⎝ ηVT ⎠
其中(3-111)式稱為小訊號近似(small-signal approximation)。一般而言,
對於小於 10mV 之訊號振幅而言,小訊號近似是合理的。
由(3-112)式,
160 第3章 半導體二極體

iD
斜率 = 1 / rd

iD (t) Q
t
+
vd (t) +
− IDQ id (t)
vD (t)
VDQ
− vD
VDQ
v D (t ) = V DQ + vd (t )
vd (t)
i D (t ) = I DQ + id (t )
t

(a) (b)

圖 3-26 二極體小訊號電阻之圖解說明。

I DQ
iD (t ) = I DQ + vd (3-113)
ηVT
我們得到一訊號成份疊加在直流成份 I DQ 之上,且此訊號電流正比於訊
號電壓 vd ,也就是,

iD = I DQ + id (3-114)

其中,
I DQ
id = vd (3-115)
ηVT

上式顯示在小訊號近似成立的前提下,交流二極體電流 id 與交流二極體
電 壓 v d 成 正 比 , 此 正 比 係 數 之 倒 數 定 義 為 小 訊 號 電 阻 (small-signal
resistance)或變量電阻(incremental resistance)。此外,亦可稱為動態電阻
(dynamic resistance) 、 微 分 電 阻 (differential resistance) 或 交 流 電 阻 (ac
resistance)。根據(3-115)式,小訊號電阻 rd 可表示為
3.9 小訊號模型 161

rd Cd Cj rd

(a) (b)

圖 3-27 (a)pn 接面順偏的小訊號模型,(b)順偏時的低頻簡化模型。

ηVT
rd = (3-116)
I DQ
注意 rd 之值與偏壓電流(bias current) I DQ 成反比。換言之,交流電阻 rd 之 rd 之值與偏壓電流 IDQ 成
數值應由直流成份 I DQ 決定。讀者亦可利用下式求得小訊號電阻 rd ,即 反比。
−1
⎛ ∂i ⎞
rd = ⎜ D ⎟ = ηVT (3-117)
⎜ ∂v D ⎟ I DQ
⎝ iD = I DQ ⎠

如圖 3-26(b)所示,若 v d (t ) 為一小訊號,則二極體的操作將被侷限在操作點
Q 附近一個範圍極小且近似線性的線段上,於是將產生一幾乎無失真的訊
號電流 id (t ) 。而 id (t ) 與 v d (t ) 之關係即被 Q 點的微分所決定,且此微分的
倒數即為小訊號電阻 rd 。這就是(3-117)式在電子電路上的意義。

3.9.2 小訊號模型
綜合前面幾節所述,我們可以得到 pn 接面順偏時的小訊號模型,其中
包括了二極體電阻 rd ,並聯空乏電容 C j 以及擴散電容 C d ,見圖 3-27(a)。
其中空乏電容 C j 為
A ∈S A
C j =∈S ≅ (3-118)
Wd 2 ∈S
(Vbi − VDQ )
qN B
和擴散電容 C d 為
τ p I DQ τ p I S VDQ ηVT
Cd = = e (3-119)
ηVT ηVT 在低頻操作時,電容 Cd
和 Cj 之阻抗值將相當大,
因為電容阻抗 Z C = 1 jω C ,故在低頻操作時,電容 C d 和 C j 之阻抗值將 故與 r 之並聯效應可以忽
d
相當大,故與 rd 之並聯效應可以忽略,所獲得的低頻模型見圖 3-27(b)。 略。
162 第3章 半導體二極體

f 選擇性閱讀 e 3.10 蕭基二極體

3.10.1 金屬與半導體接面
若吾人在半導體表面鍍上一層金屬,即形成所謂金屬半導體接面(metal-
semiconductor junction),見圖 3-28(a)。其電流電壓特性可分為兩大類,
即歐姆接點(ohmic contact)與蕭基接點(Schottky contact)。
歐姆接點
因 為 這 種 接 點 其 介 面 電 阻 (contact resistance) 遠 小 於 內 部 電 阻 (bulk
resistance),外加電壓將落在內部,所表現出來的就是內部的電阻特性,
見圖 3-28(b)。簡單來說,其端點之電流電壓特性為一通過原點之直線,
元件上是否產生電流傳導與所加電壓極性無關,為一非整流性接點
(nonrectifying contact)。
蕭基接點
因為這種接點其介面電阻遠大於內部電阻,外加電壓將落在介面,表現
出來的就是介面蕭基位障之整流特性,見圖 3-28(c)。經半導體理論推導
可得
I = I S (eV VT − 1) (3-120)

其中 I S 為飽和電流。此種具有整流特性之接點所製成之二極體稱為蕭基
二極體(Schottky diode),其電路符號見圖 3-28(d)。

I 金屬 I I
I +
+

V V
半導體 V V
− −
IS

(a) (b) (c) (d)

圖 3-28 (a)金屬與半導體接面,(b)歐姆接點的電流電壓特性,(c)蕭基接點的電流電壓特性,(d)蕭
基二極體的電路符號。
3.11 齊納二極體 163

3.10.2 蕭基二極體的特點
速度
蕭基二極體不論在金屬或 n 型半導體中,所有的載體傳導行為幾乎完全
由電子來完成,於是只有多數載體對電流傳導有貢獻,因此稱之為多數 蕭基二極體為多數載體元
載體元件(majority carrier device),其最大優點就是沒有少數載體儲存效 件,其最大優點就是沒有
少數載體儲存效應。
應,故為一高速元件。
電流電壓特性
若將蕭基二極體之 I-V 特性與 pn 二極體比較,蕭基二極體具有較大的反
向飽和電流以及較低的打開電壓(turn-on voltage),約 0.4V。利用較低的
打開電壓之性質可製成一電晶體,稱為蕭基電晶體(Schottky transistor),
主要用於高速數位電路,我們將在 14 章介紹。

3.11 齊納二極體

3.11.1 電流電壓特性
包括崩潰區(breakdown region)在內的半導體二極體的特性曲線,被重新
畫在圖 3-29(b)中。這些二極體是專門被設計在崩潰區內操作的,且具有

崩潰區 截止區 順偏區


陰極
−VB −VZK
IZ
+ − −VZ −IZK V
VZ K
V
− +
1
I 斜率 =
rZ
陽極
Q
−IZ

(a) (b)

圖 3-29 (a)齊納二極體的電路符號,(b)齊納二極體完整的特性曲線。
164 第3章 半導體二極體

I 陰極
IZ −
+
VB
−VB
VZ V
V rZ
1 − +
斜率 =
rZ I
陽極
(a) (b)

圖 3-30 (a)齊納二極體在截止區與崩潰區的近似特性,(b)描述(a)中近
似特性所建立的電池加電阻模型。

良好的功率散逸裝置,可以當作電壓參考或穩壓元件,這種二極體稱為
齊納二極體(zener diode)或崩潰二極體。若利用此二極體作為電壓調節
器,將使負載電壓保持在 VZ 附近且幾乎為一定值,不受負載電流或電源
上電壓變動之影響。
圖 3-29(a)為齊納二極體的電路符號,其中參考電流 I 的方向定義為陽
極流向陰極,注意 I = − I Z 和 V = −VZ 。因此,若 I < 0 和 V < 0 ,則 I Z > 0
和 VZ > 0 。
圖 3-29(b)為完整的電流電壓特性曲線,圖中顯示三種操作區間:順偏
區、截止區和崩潰區。其中 K 代表截止區和崩潰區的臨界點,即俗稱膝
蓋點(knee);K 點所對應的逆向電壓和逆向電流分別表示為 VZK 和 I ZK 。
一般而言,在 K 點附近為一平滑曲線,但在進入崩潰區後即趨近一直線,
其斜率為 1 rZ , rZ 稱為齊納電阻(zener resistance)。一般而言, rZ 之值大
約在 20Ω 附近。
針對上述崩潰區的近似直線取其背向延伸線可交負橫軸於一點,此點
電壓表示為 − VB ,此值與 − VZK 相當接近。於是將 VB 與先前電阻 rZ 合併
即可獲得齊納二極體的電池加電阻模型(battery-plus-resistance model),
見圖 3-30。圖 3-30(b)中逆向電流 I Z 與逆向電壓 VZ 間之關係式為
VZ = VB + I Z rZ (3-121)
在此請讀者特別注意上式中的變數為 I Z 和 VZ ,並非圖 3-30(b)中的 I 和
V。這是因為 I 和 V 均為負值,在齊納二極體進行電路分析時容易引起
困擾。反觀, I Z 和 VZ 均為正值,電路分析時較為方便,我們將在下一
單元中詳細說明。
3.11 齊納二極體 165

3.11.2 齊納並聯調節器
圖 3-31(a)為一齊納並聯調節器(zener shunt regulator),其作用為即使在 VI 即使在 VI 產生漣波或負載
產生漣波或負載電流 I L 變動時亦能提供一變動極小幾乎為常數的輸出 電流 IL 變動時亦能提供一
變動極小幾乎為常數的輸
電壓 VO 。如欲測量此電路的表現可使用以下二參數:負載調節量(load
出電壓 VO。
regulation) LOR 或線調節量(line regulation) LIR。其中負載調節量的定義
為 I L 上出現 1mA 變動時所產生的 VO 變量,即
Δ ΔVO
LOR = (3-122)
ΔI L
常用單位為 mV mA 。而線調節量的定義為 VI 上出現 1V 的變動時所造
成的 VO 變量,即
ΔVO
LIR =Δ (3-123)
ΔVI

接下來我們將原始的調節器電路以齊納二極體的等效電池加電阻模型
取代,見圖 3-31(b)。經分析此模型可得
(rZ RL ) ( R RL )
VO = VI + VB (3-124)
R + (rZ RL ) rZ + ( R RL )

但是,不幸的是,要由上式計算 LOR 和 LIR 並不容易。故我們重新改


寫 VO ,並將圖 3-31(b)視為兩電壓源 VI 和 VB 以及一電流源 I L 的三重貢
獻,再利用重疊原理可得
rZ R
VO = − I L (rZ R) + VI + VB (3-125)
R + rZ R + rZ

I IL I IL

+ R + + R +
IZ VB
VI RL VO VI RL VO
rZ IZ
− − − −

(a) (b)

圖 3-31 (a)齊納並聯調節器電路,(b)齊納二極體以其電池加電阻模型
取代,其中 rZ 稱為齊納電阻。
166 第3章 半導體二極體

由上式可看出,如欲獲得一穩定的 VO ,則吾人希望 VO 由右式的第三項


決定,或說 VO 受第一項 I L 和第二項 VI 的影響愈小愈好。故
ΔVO
LOR =Δ = −(rZ R ) (3-126)
ΔI L

ΔVO r
LIR =Δ = Z (3-127)
ΔVI R + rZ

此外,值得一提的是,在設計此並聯調節器時,吾人必須確定二極體
維持在崩潰區,尤其要注意反向齊納電流 I Z 是否超過最小齊納電流
I Z (min) 。由圖 3-31(a)可看出當 VI 最小同時 I L 最大時將出現最小齊納電流
I Z (min) 。於是,我們由圖 3-31(b)中令 VI = VI (min) , I L = I L (max) , I Z = I Z (min)
即可得出 R 之適當值:
VI (min) − VB − I Z (min) rZ
R= (3-128)
I Z (min) + I L (max)

f 選擇性閱讀 e 3.11.3 崩潰機構


二極體的崩潰機構有兩種,分別是齊納崩潰(zener breakdown)與纍增崩
潰(avalanche breakdown),以下分別討論:
物理機構
考慮在反向區操作時,逆向偏壓愈大,空乏區內之電場愈強,當電場強
至將內層價電子拉出,形成大量電子電洞對時,即造成崩潰,此時反向
電流急速上升。這種現象稱為齊納崩潰。在半導體理論中,一般是以價
電帶中的價電子以穿隧(tunnel)帶溝的傳導方式來解釋此一現象。
在強大逆偏之下,空乏區中某處由於熱產生一電子電洞時,此時電子
即受加速,當電子加速獲得動能足夠高時,極有可能撞擊晶格原子打斷
共價鍵,產生電子電洞對,此現象稱為撞擊游離化(impact ionization);
因撞擊而產生的電子電洞在空乏區中可以繼續被加速,而發生下一次的
撞擊游離化,產生新一批的電子電洞對,此連續過程稱之為纍增過程
(avalanche process)。
摻雜的效應
齊納崩潰只有在高摻雜的 根據半導體理論與實驗可得知,齊納崩潰只有在高摻雜的接面才容易發
接面才容易發生。 生。根據(3-57)式得知空乏層的最大電場為
qN A x p qN D x n
ε max = = (3-129)
∈S ∈S
3.11 齊納二極體 167

考慮一 p + − n 接面,即 N A >> N D ,故 x p << x n ≅ Wd ,故

qN DWd 2qN D
ε max ≅ = (Vbi + VR ) (3-130)
∈S ∈S
現令崩潰電場為 ε BD ,並假設當 ε max = ε BD 時,崩潰發生,即
2qN D
ε max ≅ VZ = ε BD (3-131)
∈S
可解得崩潰電壓
∈S
VZ ≅ ε BD
2
(3-132)
2qN D
結論是,摻雜濃度 N D 愈高,則崩潰電壓 VZ 愈低。 摻雜濃度 ND 愈高,則崩潰
崩潰電壓 電壓 VZ 愈低。

合併以上兩重要敘述即可得知,齊納崩潰的崩潰電壓較低,一般在 5V 齊納崩潰的崩潰電壓較
以下;而纍增崩潰的崩潰電壓較高,一般在 7V 以上。至於 VZK 介於 5V 低,纍增崩潰的崩潰電壓
較高。
和 7V 間者則可能為齊納,或是纍增,亦可能是兩崩潰機構之合成。
溫度效應
另一方面,對於一穩壓裝置,了解其溫度效應是非常重要的。一般來說,
對於齊納崩潰而言,崩潰電壓之溫度係數是負的,即溫度上升,崩潰電
壓下降;反觀對於纍增崩潰而言,崩潰電壓之溫度係數則是正的,即溫
度上升,崩潰電壓增加。

■例題 11
如圖 3-32 所示為一雙端截波電路,假設 Z1 和 Z 2 匹配,且具有相同的參
數 VB 和 rD 。請於順偏時代入電池加電阻模型和逆偏時代入定電壓降模
型並畫出其電壓轉換特性。

解:

+
Z1
vI + vO

Z2

圖 3-32 例題 11:電路圖。
168 第3章 半導體二極體

vO
R

VB + VZ rD
VB + 斜率 =
R + rD
−(VB + VZ )
vI + rD
− vO V B + VZ vI

−(VB + VZ )
VZ − r
斜率 = D
R + rD
(a) (b)

圖 3-33 例題 11:(a)等效電路圖,(b)電壓轉換特性。

若 v I > VB + VZ , Z1 順向導通, Z 2 崩潰,此時等效電路如圖 3-33(a)


所示:
rD
vO = V B + V Z + ( v I − V B − V Z )
R + rD

rD R
⇒ vO = v I + (VB + VZ )
R + rD R + rD

同理可推得 v I < −(VB + VZ ) 的情形,於是電壓轉換特性為圖 3-33(b)。

3.12 整流器電路

3.12.1 半波整流器
圖 3-34(a)為一簡單的半波整流器電路(half-wave rectifier)。對於理想二極
體而言,若 v I > 0 ,二極體導通,於是

vO = v I (3-133)

若 v I < 0 ,二極體關閉,於是

vO = 0 (3-134)

由此可得出半波整流的功能。但是對於實際二極體而言,若 v I > VD ( VD
為二極體的導通電壓),二極體才導通,此時
3.12 整流器電路 169

VD
電壓
D Vˆ
Vˆ − VD
+ + +
交流 vO
vI RL vO
輸入
− −
t

vI

− Vˆ

(a) (b)

圖 3-34 (a)半波整流器電路,(b)輸入和輸出波形。

vO = v I − V D (3-135)
也就是 vO 並沒有完全跟隨 v I ,於是產生了失真現象。而對 v I < VD ,二極
體關閉,此時
vO = 0 (3-136)

若 我 們 輸 入 一 振 幅 為 Vˆ 的 正 弦 波 , 所 得 的 整 流 輸 出 波 形 顯 示 於 圖
3-34(b)。
對於整流器的設計而言,在二極體的選擇上有兩個重要的參數必須指
定:第一為持有電流能力,此值是由二極體上預期會出現的最大電流來
決定。另一為峰值逆向電壓(peak inverse voltage,簡稱 PIV) 此值是由
二極體上預期會出現的最大反向電壓值來決定。
就圖 3-34(a)之電路而言,其 PIV 值即為 v I 之峰值 Vˆ

PIV = Vˆ (3-137)

一般而言,吾人所選擇的二極體其逆向崩潰電壓必須超過 PIV 的兩倍。

3.12.2 全波整流器
全波整流器將同時利用到輸入訊號的正半週和負半週,其中的一種電路
顯示於圖 3-35(a)。在此電路中,變壓器的次級線圈(secondary coil)被中
心抽頭(center-tapped)以使橫跨次級線圈的兩個半線圈上均能提供相同
170 第3章 半導體二極體

的電壓 v I ,其極性則如圖中所示。注意當送至主級線圈(primary winding)


上的輸入電壓為正值時,電壓 v I 亦為正值。
在輸入訊號正半週時, D1 導通和 D2 截止且其行為與前面所述的半波
整流電路一致。而在輸入訊號處於負半週時, D1 截止和 D2 導通,此時
vO = − v I − V D (3-138)
於是可得到如圖 3-35(b)所示的轉換特性。若我們輸入一振幅 Vˆ 為之正
弦波,所得到整流輸出波形見圖 3-35(c)。此外,由圖 3-35(c)中可以很容
易計算出其峰值逆向電壓為
PIV = 2Vˆ − VD (3-139)

D1
vO
+ + 斜率 = −1 斜率 = +1
+
vI
交流 − RL
vO
輸入
+
vI
− −

−VD 0 VD vI
D2
(a) (b)

VD
電壓

Vˆ − VD

vO

t
vI

− Vˆ

(c)

圖 3-35 (a)全波整流器電路,(b)轉換特性,(c)輸入和輸出波形。
3.12 整流器電路 171

3.12.3 橋式整流器
圖 3-36(a)為一橋式整流電路,操作如下:當 v I 處於正半週時,D1 和 D2 導 當 vI 處於正半週時,D1 和
通且傳導電流流經 D1 , RL ,和 D2 的串聯組合,其間 D3 和 D4 是截止, D2 導通且傳導電流流經
D1,RL,和 D2 的串聯組
於是 合,其間 D3 和 D4 是截止。
vO = v I − 2VD (3-140)
接下來,當 v I 處於負半週時, D3 和 D4 導通且傳導電流流經 D3 , RL 和
D4 的串聯組合,其間 D1 和 D2 是截止,於是
vO = −v I − 2VD (3-141)
為了計算此電路的峰值逆向電壓,考慮在正半週時出現在 D3 上的逆向
電壓為

D4 D1
+ +

交流
vI vO
輸入
RL
− − D2 D3

(a)

2VD
電壓

Vˆ − 2VD

vO
t

vI

− Vˆ

(b)

圖 3-36 (a)一橋式整流電路,(b)輸入和輸出波形。
172 第3章 半導體二極體

D3 上的逆向電壓 = vO + D2 上的順向電壓 (3-142)


因此, D3 上逆向電壓的極大值是出現在 vO 的峰值 vO (max) 時,於是

PIV = vO (max) + VD = (Vˆ − 2VD ) + VD (3-143)

或是
PIV = Vˆ − VD (3-144)
最後,輸入和輸出波形見圖 3-36(b)。

■例題 12
考慮圖 3-37 所示的半波整流器。令 v I 為一峰值 10V 的正弦波且
R = 1 kΩ 和 VD = 0.7V。
(a) 畫出轉換特性。
(b)畫出 vO 的波形。
(c) 計算 vO 的平均值。
(d)計算二極體上的峰值電流。
(e) 計算二極體的 PIV 值。

解:
(a) 轉換特性繪於圖 3-38。
(b) 輸出波形繪於圖 3-39。
(c) 由圖 3-39 中之波形可解得
0.7
θ = sin −1 = 4.5°
10
故 vO 的平均值為
1 180 −θ
vO ( AV ) = − ∫ [10 sin φ − 0.7] dφ
2π θ

1
=−

[− 10 cos φ 4.5° − 0.7(π − 2 × 0.079)]
175.5°

+
D
vI + R vO

圖 3-37 例題 12:電路圖。
3.12 整流器電路 173

vO

− 0.7V
vI

斜率 = 1

(a)

圖 3-38 例題 12:(a)的轉換特性。

電壓(V)

10

vI
θ θ
0
0.7V ω t(rad)
vO

− 9.3
− 10

(b)

圖 3-39 例題 12:(b)的波形圖。

1
=− [2 × 10 cos(4.5°) − 0.7 × (π − 2 × 0.079)] = −2.843V

(d) 峰值電流 Iˆ 為

10 − 0.7 10 − 0.7
Iˆ = = = 9.3mA
R 1
(e) PIV = 10V
174 第3章 半導體二極體

3.13 峰值整流器

3.13.1 理想的半波峰值整流器
圖 3-40(a) 為一具有濾波電容的整流器,一般稱為峰值檢測器 (peak
detector)或是峰值整流器(peak rectifier)。令 v I (t ) = Vˆ sin ω t ,則當 v I 走向
正值,二極體導通且對電容充電,即 vO = v I 。這種操作現象會一直持續
下去,直到 v 到達其峰值 Vˆ 。越過峰值之後, v 開始下降,於是二極體
I I

變為逆偏且輸出電壓維持常數,其值即先前之峰值 Vˆ ,見圖 3-40(b)。因


產生了其值為輸入正弦峰 此,這個電路產生了其值為輸入正弦峰值的直流輸出電壓。
值的直流輸出電壓。

3.13.2 實際的半波峰值整流器
接下來考慮較實際的情況,即連接一負載電阻 RL ,見圖 3-41(a)。假設
電容充電至輸入正弦的峰 二極體是理想且 v (t ) = Vˆ sin ω t ,則如圖先前敘述一般,電容充電至輸入
I

值 Vˆ ,緊接著二極體關閉 正弦的峰值 Vˆ ,緊接著二極體關閉且電容經由負載電阻 RL 放電。此放電


且電容經由負載電阻 RL
過程會一直持續下去,直到輸入正弦之值超過電容電壓,於是二極體再
放電。此放電過程會一直
持續下去,直到輸入正弦 度導通,造成電容充電至 v I 的峰值 Vˆ ,接下來就不斷重複這種週期性的
之值超過電容電壓,於是 操作。
二極體再度導通。

電壓
vO

+
D vI
vI + C vO

− t

(a) (b)

圖 3-40 (a)半波峰值整流器電路,(b)(a)中電路的操作波形。
3.13 峰值整流器 175

在電容放電期間,為了避免輸出電壓衰減太快,吾人通常選擇 C 值使
之 R L C 時間常數遠大於放電區間,於是在 R L C >> T 的假設下所得出穩
態輸出和輸入波形顯示於圖 3-41(b)。其中 T 代表輸入正弦的週期
1 2π
T= = (3-145)
f ω

此外,圖 3-41(b)中的 t ON 代表二極體開始導通的時間, t OFF 則代表二極


體開始關閉的時間,於是 Δt = t OFF − t ON 代表導通區間。至於輸出波形中
的 Vrip 則代表漣波電壓。因為在放電區間中,

vO (t ) = Vˆe −t RLC = Vˆe −t τ (3-146)

其中 τ =Δ RL C 。在放電區間結束時,
vO (t = T − Δt ) = Vˆ − Vrip

= Vˆe −(T − Δt ) τ ≅ Vˆe −T τ (3-147)

+
D
vI + C RL vO

(a)

Vrip
電壓
vO

Vˆ − Vrip

0 tON tOFF T 2T
t
Δt vI Δt Δt

− Vˆ

(b)

圖 3-41 (a)實際的半波峰值整流器電路,(b)(a)中電路的操作波形。
176 第3章 半導體二極體

因為 RL C >> T ,於是
T
e −T τ ≅ 1 − (3-148)
τ
代入上式可得漣波電壓為
T
Vrip ≅ Vˆ (3-149)
τ
或可以用輸入正弦波之頻率表示為

Vrip = (3-150)

(3-149)式顯示如欲獲得非常小的漣波電壓,則必須選擇一電容 C 使得
τ =Δ RL C >> T 。
另一方面,我們亦可由以下推導求出導通區間 Δt ,由於
Vˆ cos(ω Δt ) = Vˆ − V rip (3-151)
由於 Δt << T ,於是 ω Δt 為一小角度,在此條件下,
1
cos(ω Δt ) ≅ 1 − (ω Δt ) 2 (3-152)
2
代入上式可得

Vrip ≅ (ω Δt ) 2 (3-153)
2
因此
2Vrip
ω Δt ≅ (3-154)

由上式我們可明顯看出,當 Vrip << Vˆ 時, ω Δt 會很小,正如同我們先前
所假設的。

§ 練習題 3.1 一矽製 n 型半導體,施體濃度 N D = 1016 cm 3 ,受體濃度 N A = 0 ,


室溫時 ni = 1.45 × 1010 cm 3 ,計算熱平衡時的電子與電洞濃度。

3.2 一摻雜砷的 n 型矽晶體,其施體濃度 N D = 1016 cm 3 ,計算其室溫


時的電阻係數。( μ n = 1300cm 2 V - sec )

3.3 一 5mm 長 的 矩 形 矽 樣 本 , 截 面 積 為 5000 μm 2 , 施 體 濃 度


N D = 1016 cm 3 。現在矽棒兩端加上 1V 電壓並假設電場強度為
練習題 177

2 V cm 且均勻分佈。矽的電子遷移率為 μ n = 1500 cm 2 V - sec。計算:


(a) 此矽棒之導電率 σ 。
(b) 矽棒上的遷移電流。

3.4 現有 A、B、C、D、E 五個矽樣本:


A: T = 300K ,施體濃度為 1017 cm 3
B: T = 350K ,施體濃度為 1017 cm 3
C: T = 350K ,受體濃度為 1017 cm 3
D: T = 300K ,純質半導體
E: T = 350K ,純質半導體
請依序排出導電率的大小關係。
3.5 考 慮 一 pn 接 面 二 極 體 , 假 設 摻 雜 濃 度 為 N A = 2 × 1014 cm 3 ,
N D = 1016 cm 3 。矽的介電常數(dielectric constant) ∈r 為 11.7,故矽
1
的介電係數 ∈s = ∈r ∈o = 11.7 × × 10 −9 × 10 − 2 = 1.05 × 10 −12 F cm ,
36π
ni = 1.45 × 1010 cm 3 。計算:
(a) 內建電位 Vbi 。
(b) x p xn 。
(c) 逆偏 30V 時的空乏層寬度 Wd ,以及此時 p 側空乏層寬度 x p 與 n
側空乏層寬度 xn 之值分別為何?

3.6 現有一 pn 二極體,在室溫時測量其電流電壓特性獲得兩組數據


(0.6V, 1mA)和(0.8V, 50mA),試計算:
(a) 理想因子 η 。
(b) 飽和電流 I S 。

3.7 一 p + n 接面二極體,操作電流為 50 μA ,少數載體生命期 τ p 為


0.1μs ,理想因子 η 為 1,VT = kT q = 25mV ,試計算此二極體的擴
散電容。

3.8 利用理想二極體模型分析附圖(a)之雙端截波電路,並繪出電壓轉換
特性如附圖(b)所示,試標示轉換特性上折斷點 X 和 Y 的數值以及斜
率 a 之數值。提示:令流經 D1 和 D2 的順向電流分別為 i1 和 i2 ,則由
兩迴路方程式聯立可解得
i1 = (15v I − 112.5) 275
i2 = ( −10v I + 212.5) 275
令 i1 ≥ 0 ( D1 導通),對應 v I ≥ VIX ;令 i2 ≥ 0 ( D2 導通),對應 v I ≤ VIY 。
178 第3章 半導體二極體

15kΩ D1 D2 vO

+ + Y
VOY
10kΩ 5kΩ
vI vO VOX
X
2.5V 10V 斜率 = a
− −

VIX VIY vI

(a) (b)

習題 3.8 附圖:(a)電路圖,(b)電壓轉換特性。

3.9 一齊納並聯調節器電路,如圖 3-31(a)所示,其中 R = 0.5kΩ 。令齊


納 二 極 體 在 I Z = 5mA 時 , VZ 恰 好 為 6.8V , 且 I ZK = 0.2mA 和
rZ = 20Ω 。假設圖中 VI 為一正常值 10V 且具有 ± 1V 變動之電壓訊
號。
(a) 令 RL = ∞ ,計算 VI 維持在 10V 時的輸出電壓 VO 。
(b) 令 RL = ∞ ,計算由 ± 1V 的 VI 所導致 VO 的變量 ΔVO 。
(c) 計算欲使二極體維持在崩潰區所能容忍 RL 的極小值 RL (min) 。

VI

11V
正常值 = 10V
9V

習題 3.9 附圖。

3.10 考慮附圖所示的電壓調節器電路,齊納二極體 Z 在 I Z > 0.2mA 時可


表現一定值電壓 VZ = 6V,即其齊納電阻非常小以致可以忽略。試
計算:
(a) 如欲維持正常調節操作所需之最低 RL 值。
(b) 假設最低可允許的齊納電流為 I ZK 之 5 倍,且希望 RL 最低值為
500Ω ,重新選擇一適合之 R 值。
參考書目 179

VDD =10V

I R = 1kΩ
IL
VO

Z IZ RL

習題 3.10 附圖。

3.11 參考例題 8 的數據,並假設當空乏層最大電場 ε max 正好等於崩潰電


場 ε BD 時,崩潰發生。令 ε BD = 3 × 10 5 V cm ,試計算本二極體的崩
潰電壓 VZ 。

參考書目
1.R. T. Howe and C. G. Sodini, “Microelectronics: 6. D. A. Neamen, “Semiconductor Physics and
An Integrated Approach,” Prentice-Hall Devices: Basic Principles,” 3rd ed.
International, Inc., 1996. McGraw-Hill, 2002.
2. Y. Taur and T. H. Ning, “Fundamentals of 7. B. G. Streetman, “Solid State Electronic
Modern VLSI Devices,” 2nd ed. Cambridge Devices,” 4th ed. Englewood Cliffs, NJ;
University Press, 2009. Prentice-Hall, Inc., 1995.
3. W. Shockley, “The Theory of p-n Junctions 8. R. S. Muller and T. I. Kamins. “Device
in Semiconductors and p-n Junction Electronics for Integrated Circuits,” John
Transistors,” Bell Systems Tech. J., vol. 28, Wiley & Sons, New York, 1977.
pp. 435-489, July 1949.
9. W. Shockley, “Electrons and Holes in
4. S. M. Sze and M. K. Lee, “Semiconductor Semiconductors,” D. Van Nostrand,
Devices, Physics and Technology,” 3rd ed. Princeton, N. J. (reprinted), 1963.
New York: Wiley, 2012.
10. J. Millman, and A. Grabel. “Microelectronics,”
5. S. M. Sze and K. K. Ng, “Physics of 3rd ed. New York: McGraw-Hill Book Co.,
Semiconductor Devices,” 3rd ed., John Wiley 1999.
& Sons, New York, 2006.
180 第3章 半導體二極體

11. J. Millman and C. C. Halkias. “Integrated 2000.


Electronics,” McGraw-Hill Book Company, 13. S. K. Ghandi, “VLSI Fabrication Principles,”
New York, 1972. John Wiley and Sons, New York, 1983.
12. J. D. Plummer, M. D. Deal and P. B. Griffin, 14. A. S. Sedra and K. C. Smith, “Microelectronic
“Silicon VLSI Technology, Fundamentals, Circuits,” 6th ed. Oxford University Press,
Practice and Modeling”, Prentice Hall, Inc., 2009.
雙載子接面電晶體

雙載子接面電晶體(以下簡稱 BJT)為電子工業中第一
個固態放大裝置,與金氧半場效電晶體並列為兩大重
要半導體元件。本章將介紹 BJT 工作原理、電流電壓
特性曲線、主動區與飽和區的行為等重要單元。讀者
可透過本章先熟悉 BJT 直流電路的分析技巧,再銜接
至交流小訊號模型並了解小訊號參數所代表的意義,
最後再進入共射、共集、共基等各類放大器的分析。

4.1 工作原理
4.2 電流增益
4.3 依柏斯─莫爾模型
4.4 靜態特性
4.5 實際的考慮
4.6 電晶體電路的直流分析
4.7 分立電路的偏壓設計
4.8 小訊號模型
4.9 共射放大器
4.10 射極追隨器
4.11 共基放大器
4.1 工作原理 183

4.1 工作原理

4.1.1 電晶體結構


簡單的雙載子接面電晶體(bipolar junction transistor,簡稱 BJT),
如圖 4-1(a)所示,為一具有定橫截面,一定摻雜濃度的分佈之 pnp
結構,這種電晶體稱為標準電晶體,是 1949 年由 Shockley 所提出。在
圖 4-1(a)中左側的 p 區稱為射極(emitter),狹長的中間區域稱為基極
(base),而右側的 p 區稱為集極(collector)。但在理想結構中,我們假設
在每個區域的摻雜濃度都是均勻的。
在圖 4-1(a)中出現兩個 pn 接面,左側的稱為射基接面(emitter-base
junction,簡稱 EBJ),右側的稱為集基接面(collector-base junction,CBJ)。
兩接面間之距離定義了電晶體上最重要的一尺寸 WB ,稱為基極寬度
(base width)。對於一般商用電晶體而言, WB 之典型值約為 2,000Å。至
於圖 4-1(b)為 pnp 電晶體的電路符號。在此符號中,習慣上箭頭的方向
為陽極指向陰極,即 p 型指向 n 型;而箭頭的位置置於射極一方,集極
側則不再加箭頭。
另一種電晶體,即與 pnp 對偶的元件,我們稱為 npn 電晶體,見圖 4-2。
由於 npn 電晶體在速度表現或是頻率響應上均優於 pnp,故目前不論數

金屬
射極 基極 集極

WB
E p n p C E C

EBJ CBJ
歐姆接點 B
B

(a) (b)

圖 4-1 (a)一標準的 pnp 雙載子電晶體結構,(b)pnp 電晶體的電路符號。


184 第4章 雙載子接面電晶體

射極 基極 集極

E n p n C E C

EBJ CBJ
歐姆接點 B
B

(a) (b)

圖 4-2 (a)一標準的 npn 雙載子電晶體結構,(b)npn 電晶體的電路符號。

表 4-1 BJT 四種操作模式。

EBJ CBJ 操作模式


順偏 順偏 飽和
逆偏 逆偏 截止
順偏 逆偏 順向主動
逆偏 順偏 逆向主動

位電路或類比電路,npn 出現的機會均遠較 pnp 為高。附帶一提,在此


電晶體結構中,兩個接面 EBJ 和 CBJ 必須靠得很近,否則兩接面將不會
產生電晶體作用,只是兩個背對背的 pn 二極體串聯而已。
根據兩接面所加電壓的極性可定義出 BJT 四種操作模式,其中 EBJ
順偏和 CBJ 順偏為飽和(saturation),EBJ 逆偏和 CBJ 逆偏為截止(cutoff),
EBJ 順偏和 CBJ 逆偏為順向主動(forward active)或簡稱主動,而 EBJ 逆
偏和 CBJ 順偏則稱為逆向主動(reverse active)。以上四種操作模式整理在
表 4-1 中。

f 選擇性閱讀 e 4.1.2 工作原理


熱平衡狀態
以一 pnp 電晶體為例,考慮在熱平衡之靜電位圖(electrostatic potential
diagram) V (x) ,並以靜電位圖解釋其工作原理。首先考慮熱平衡狀態下
4.1 工作原理 185

V(x) qV(x) − qV(x)

電洞 電洞
Vbi qVbi p n p

x x 電子 x
p Wd(EBJ) n Wd(CBJ) p p n p qVbi

(a) (b) (c)

圖 4-3 pnp 電晶體的熱平衡狀態:(a)靜電位分佈 V(x),(b)電洞的位能分佈 qV(x),顯示兩側電洞


向基極看到一位障,(c)電子的位能分佈−qV(x),顯示基極中的電子落在一位能井中。

之靜電位分佈,如圖 4-3(a)所示。這裡假設射極和集極摻雜濃度一致,
故兩接面之內建電位相等,均為 Vbi 。此外,Wd ( EBJ ) 代表射基接面空乏層
寬度, Wd (CBJ ) 代表集基接面空乏層寬度。根據上一章所介紹的觀念,將
V (x) 乘以 + q 和 − q 即可分別得到電洞的位能分佈 qV ( x) 及電子的位能
分佈 − qV ( x) ,見圖 4-3(b)和 4-3(c)。觀念是在電晶體尚未平衡時,兩側
電洞向基極擴散進來,而基極中之電子向兩側擴散出去;但在平衡狀態
達成時,兩側電洞向基極均看到了一位障,如圖 4-3(b),而基極中的電
子則落在一位能井中,見圖 4-3(c)。

V(x)
vBC V bi − v EB
vEB
+ − + −

iB
iC x
p n p Vbi + v BC
iE 熱平衡
x
EBJ CBJ
空乏區 空乏區
主動偏壓
(a) (b)

圖 4-4 (a)pnp 電晶體的主動偏壓,(b)pnp 電晶體在主動偏壓的靜電位分佈,並與熱平衡狀態比較。


186 第4章 雙載子接面電晶體

主動偏壓
現在射基接面加一順向偏壓 v EB ,而在集基接面加一逆向偏壓 v BC ,見圖
4-4(a),這種操作模式定義為主動模式(active mode)。根據上一章所述,
在此狀態下,射基接面之靜電位差為 Vbi − v EB ,而集基接面之靜電位之
差為 Vbi + v BC ,見圖 4-4(b)。觀念是在主動偏壓下,射基接面位障下降,
射極電洞注入基極,注入電洞在 n 型基極區中擴散並與電子復合。但是
大部份的注入電洞可以幾 如果中間這一層基極寬度 WB 很小時,則大部份的注入電洞可以幾乎不
乎不與電子發生復合而直
與電子發生復合而直接擴散至集基接面空乏區邊緣,只要一進入此空乏
接擴散至集基接面空乏區
區,電洞即受電場加速被掃入集極,造成大量集極電流 iC ;另一方面,
邊緣。
剛才電洞在基極擴散過程中,有少量與電子發生復合,造成了微小的基
極電流 i B 。此時, iC 遠大於 i B ,故小量的 i B 即可造成大量的 iC ;也就是
說,此電晶體具有放大作用。

4.2 電流增益

4.2.1 主動偏壓的電流增益
考慮一個 pnp 電晶體在主動偏壓下之電流,見圖 4-5。為簡單起見,我
們以不同的電流分量來表示端電流(terminal currents)如下:
i E = i Ep + i En (4-1)
iC = iCp (4-2)
i B = i E − iC = i En + i Ep − iCp (4-3)
其中 i Ep 為射極電洞入射電流, i En 為基極電子入射電流, iCp 為由射極入
射穿越基極而到達集極之電洞電流,而 i Ep − iCp 代表基極復合電流。圖中
顯示 i Ep 遠大於 i En ,這是因為假設射極摻雜濃度遠高於基極摻雜濃度,
故射極入射電洞電流將遠大於基極入射電子電流。
在描述雙載子電晶體特性時的一重要參數為共基電流增益(common-
base current gain) α ,定義為
iCp
α =Δ (4-4)
iE
將(4-1)代入(4-4)可得
iCp ⎛ i Ep ⎞ ⎛ iCp ⎞
α =Δ = ⎜⎜ ⎟⎜
⎟⎜i

⎟ (4-5)
i Ep + i En ⎝ i Ep + i En ⎠ ⎝ Ep ⎠
4.2 電流增益 187

p+ n p

iCp
iEp
iE iC

E iEp − iCp C

iEn

iB
vEB vBC
B

電洞流

電子流

圖 4-5 pnp 電晶體在主動偏壓下之電流傳導。

右式第一項稱為注入效率(injection efficiency) γ ,它代表入射電洞電流與


全部射極電流之比:
i Ep i Ep
γ =Δ = (4-6)
iE i Ep + i En

第二項稱為基極傳輸因子(base transport factor) α T ,代表到達集極的電洞


電流與射極入射電洞電流之比:
i
α T =Δ Cp (4-7)
i Ep

因此,
α = γα T (4-8)

對於一個設計良好的電晶體而言,γ 和 α T 均趨近於 1 而使得共基電流增


益 α 非常接近 1。
188 第4章 雙載子接面電晶體

4.2.2 共基組態和共射組態
由(4-2)和(4-4)二式合併可得共基組態(common-base configuration)下,見
圖 4-6(a),輸出電流 iC 與輸入電流 i E 之關係式,

iC = α i E (4-9)

對於共射組態(common-emitter configuration)而言,見圖 4-6(b),上式可


改寫為
iC = α (i B + iC ) (4-10)

α
iC = i B =Δ β i B (4-11)
1−α
其中 β 稱為共射電流增益(common-emitter current gain),

α
β= (4-12)
1−α

β
α= (4-13)
1+ β
若射極摻雜 NE 遠超過基 以上給了我們一重要觀念──第一,若射極摻雜 N E 遠超過基極摻雜
極摻雜 NB 且基極寬度 WB N B ,則注入效率 γ 可接近於 1。第二,若基極寬度 WB 遠小於少數載體
遠小於少數載體擴散長度
擴散長度 LP ,則傳輸因子 α T 可接近於 1。若以上二條件同時滿足,則
LP,將導致共射電流增益
β 相當大。 共基電流增益 α 可趨近於 1,導致共射電流增益 β 相當大。

iE iC iC
E C C
iB
B

B B E E

(a) (b)

圖 4-6 (a)共基組態,(b)共射組態。
4.3 依柏斯-莫爾模型 189

4.3 依柏斯-莫爾模型 f 選擇性閱讀 e

4.3.1 依柏斯-莫爾方程式
如圖 4-7 所示,為 1954 年由 Ebers 和 Moll 二人所提出電晶體之大訊號
模型。首先,我們寫出二極電流 i DE 和 i DC 之方程式:
i DE = I ES (e vBE VT − 1) (4-14)
i DC = I CS (e vBC VT − 1) (4-15)
其中 I ES 和 I CS 分別代表在射基和集基接面之飽和電流。再由圖 4-7(b),
i E = i DE − α R i DC (4-16)
iC = α F i DE − i DC (4-17)

將(4-14)和(4-15)代入(4-16)和(4-17)即得依柏斯-莫爾方程式:
i E = I ES (e vBE VT − 1) − α R I CS (e vBC VT − 1) (4-18)
iC = α F I ES (e v BE VT
− 1) − I CS (e v BC VT
− 1) (4-19)

同理,pnp 電晶體所對應之依柏斯-莫爾方程式如下:
i E = I ES (e vEB VT − 1) − α R I CS (e vCB VT − 1) (4-20)

C
iC
C
iC −
− vBC
iDC α F iDE
vBC
+
+
B B
+ +
vBE iE iDE αRiDC
vBE


E
iE
E
(a) (b)

圖 4-7 (a)npn 電晶體電路符號,(b)npn 電晶體之依柏斯-莫爾模型。


190 第4章 雙載子接面電晶體

iC = α F I ES (e vEB VT − 1) − I CS (e vCB VT − 1) (4-21)

依柏斯-莫爾方程式主要描述四個變數 i E 、 iC 、 v BE 和 v BC 與四個電晶體
參數 I ES , I CS 、 α F 和 α R 之關係,此四個參數主要由摻雜和幾何結構所
決定,但由半導體理論可證明
α F I ES = α R I CS (4-22)

稱 為 倒 置 理 論 (reciprocity theorem) 。 標 準 元 件 參 數 約 為 α F ≅ 0.99 ,


I ES ≅ 10 −15 A , α R ≅ 0.66 , I CS ≅ 10 −15 A 。

4.3.2 主動模式
考慮一 npn 電晶體若操作在主動模式,則將 v BE > 0 且 v BE >> VT 以及
v BC < 0 且 v BC >> VT 代入(4-19)式可得

iC = α F I ES e vBE VT + I CS (4-23)

一 npn 電晶體在順向主動 忽略 I CS 可得一 npn 電晶體在順向主動區的一重要方程式,


區存在一重要的方程式。
iC = I S e vBE VT (4-24)

其中 I S ≅ α F I ES 。
另一方面,將 v BE > 0 和 v BC < 0 代入圖 4-7(b)後,由於集基接面逆偏,
故集極二極體關閉,即 i DC = 0 ,於是 α R i DC = 0 。換言之,將圖 4-7(b)中
之集基接面二極體以及 α R i DC 之電流源拆除後即可獲得圖 4-8 之順向主

C C

αF iDE I S e v BE VT

B B
+
DE iDE vBE DE

E E

(a) (b)

圖 4-8 一 npn 電晶體在順向主動區的大訊號模型:(a)以電流控制電流


源表示,(b)以電壓控制電流源表示。
4.3 依柏斯-莫爾模型 191

動區之大訊號模型。其中圖 4-8(a)係以電流控制電流源表達,而圖 4-8(b)


則是依據(4-24)式以電壓控制電流源來表示。

■例題 1
利用依柏斯-莫爾方程式分別分析一 npn BJT 各種操作模式的特性:
(a)順向主動模式。
(b)逆向主動模式。
(c)截止模式。
(d)飽和模式。

解:
(a) 順向主動模式 (forward active mode) : v BE > 0 , v BC < 0 ,假設
v BE > 4VT 且 v BC ≤ −4VT ,則由(4-19)式,
iC = α F I ES (e vBE VT − 1) − I CS (e vBC VT − 1)
(4-25)
= α F I ES e vBE VT + I CS

由(4-18)式,
i E = I ES (e vBE VT − 1) − α R I CS (e vBC VT − 1)
(4-26)
= I ES e vBE VT + α R I CS

將(4-26)式代入(4-25)式得
iC = α F (iE − α R I CS ) + I CS = α F iE + (1 − α F α R ) I CS (4-27)

上式可改寫為
iC = α F i E + I CBO (4-28)

其中
I CBO = (1 − α F α R ) I CS (4-29)
I CBO 代表射極開路時( i E = 0 ),介於集極與基極間之漏電流。令
i E = i B + iC 代入(4-28)式可得
1 − α Fα R
iC = β F i B + I CS (4-30)
1−αF

其中
αF
βF = (4-31)
1−αF

(4-30)式可改寫為
iC = β F i B + I CEO (4-32)
192 第4章 雙載子接面電晶體

其中
1 − α Fα R
I CEO = I CS (4-33)
1−αF
I CEO 代表在基極開路時( i B = 0 ),介於集極與射極間的漏電流。
上式亦可改寫為
I CBO
I CEO = = (1 + β F ) I CBO (4-34)
1−αF
(b) 逆向主動模式(reverse active mode): v BE < 0 , v BC > 0
由(4-18)和(4-19)式,
i E = −α R I CS e vBC VT − I ES (4-35)
iC = −α F I ES − I CS e vBC VT (4-36)

二式合併得
i E = α R (iC + α F I ES ) − I ES = α R iC + (α Rα F − 1) I ES (4-37)


α Rα F − 1
iE = − β R iB + I ES (4-38)
1−αR
其中反向電流增益(reverse current gain) β R 為
αR
βR = (4-39)
1−αR
代表意義為當電晶體倒過來使用,其電流增益 β R 通常遠小於
β F ,主要因為集極摻雜遠低於基極摻雜,注入效率很差之緣故。
(c) 截止模式(cutoff mode): v BE < 0 , v BC < 0

iC = −α F I ES + I CS = −α R I CS + I CS = (1 − α R ) I CS (4-40)

(d) 飽和模式(saturation mode): v BE > 0 , v BC > 0


由(4-18)和(4-19)式,
i E = I ES e vBE VT − α R I CS e vBC VT (4-41)

iC = α F I ES e vBE VT − I CS e vBC VT (4-42)

(4-41)式代入(4-42)式得
iC = α F iE + (α Fα R − 1) I CS e vBC VT (4-43)


4.4 靜態特性 193

αF 1 − α Fα R
iC = iB − I CS e vBC VT (4-44)
1−αF 1−αF

(4-42)式代入(4-41)式得
i E = I ES e vBE VT + α R iC − α Rα F I ES e vBE VT
= α R iC + (1 − α F α R ) I ES e vBE VT
−αR 1 − α Fα R
= iB + I ES e vBE VT
1−αR 1−αR
1 − α Fα R
= − β R iB + I ES e vBE VT (4-45)
1−αR

將(4-44)和(4-45)式合併
ic + (1 + β R )iB 1 − α F I ES vCE VT
=− e (4-46)
iC − β F iB 1 − α R I CS
將所有參數以 α F 和 α R 表示,最後整理得
⎡ ⎤
⎢ 1 + iC (1 − α ) ⎥
⎢ iB
R

VCE ( sat ) = VT ln ⎢ ⎥ (4-47)
⎢ ⎡ iC ⎛ 1 − α F ⎞ ⎤ ⎥
⎢α R ⎢1 − i ⎜⎜ α ⎟⎟⎥ ⎥
⎣ ⎣⎢ B ⎝ F ⎠⎦⎥ ⎦
VCE ( sat ) 為電晶體在飽和時最重要的參數。上式中,令 iC = 0 時所
得之 vCE 值定義為偏移電壓(offset voltage) ΔVCE
⎛ 1 ⎞
ΔVCE = VT ln⎜⎜ ⎟⎟ (4-48)
⎝αR ⎠

4.4 靜態特性 f 選擇性閱讀 e

4.4.1 主動偏壓的少數載體分佈
圖 4-9 為一 npn 電晶體在主動偏壓下,不同區域內之少數載體分佈。其
中虛線內的區域分別代表射基接面空乏區和集基接面空乏區。另外,圖
中所示符號的意義整理如下: pE (x) 為射極區少數載體電洞濃度之分
佈, n p (x) 為基極區少數載體電子濃度之分佈, pC (x) 是集極區少數載體
電洞濃度之分佈, QB 代表有效基極區內的少數載體儲存電荷, pEo 為熱
平衡時射極內的少數載體濃度, n po 為熱平衡時基極內的少數載體濃
度, pCo 是熱平衡時集極內的少數載體濃度。
194 第4章 雙載子接面電晶體

EBJ CBJ
濃度 空乏區 空乏區
(1/cm3)
射極 基極 集極
n+ nP(0) p n−

np(x)

QB
pCo
pE(x)
npo pC(x)
pEo
−xE 0 W xC x

圖 4-9 一 npn 電晶體在主動偏壓下,不同區域內之少數載體分佈。

圖中顯示由於射基接面順偏,集基接面逆偏,故 pE (x) 和 pC (x) 即與


二極體的情況類似。但是,有效基極區內的分佈 n p (x) 則非常複雜(需由
在基極寬度很小的條件 半導體理論中之連續方程式求解)。不過,在基極寬度很小的條件下,即
下,即忽略基極內的電子 忽略基極內的電子電洞復合效應, n p (x) 可以一直線近似,見圖 4-9。即
電洞復合效應,np(x)可以 x
一直線近似。 n p ( x) ≅ n p (0)⎛⎜1 − ⎞⎟ (4-49)
⎝ W⎠
其中 W 亦可表為 WB ( eff ) ,代表有效基極寬度(effective base width),又名
中性基極寬度(neutral base width)。根據接面定律,
n p (0) = n po e vBE VT (4-50)


n p (W ) = n po e vBC VT (4-51)

因 vBC < 0 且假設 vBC >> VT ,故 n p (W ) ≅ 0 。


積體電路電晶體
如圖 4-10(a)所示為一積體電路式電晶體,通常為平面型。底部有一長條
n + 層稱為埋藏層(buried layer),以降低集極之電阻。其上方低摻雜的磊
晶層(epitaxial layer)作為集極區。在磊晶層內利用二次擴散技術分別做出
p-基極和 n + -射極。兩側的 SiO 2 區為隔絕島(isolation island),作為元件
隔絕之用,又名場氧化層(field oxide)。請注意左側的 n + -區為射極區,
而右側的 n + -區則是為了與集極接點金屬間形成歐姆接觸。
4.4 靜態特性 195

以目前較先進的製程技術,射極接點均以 n + 多晶矽(polysilicon)形成,
且利用離子佈植(ion implantation)可製成 n + 淺接面,即射極很薄, WE 一
般約 250nm。在此條件下,射極的少數載體分佈 pE (x) 可以一直線近似,
見圖 4-10(b)。
儲存電荷
基極內部儲存的超額電荷 QB
1
QB = AE q ⎡⎢ Wn p (0)⎤⎥ (4-52)
⎣2 ⎦
其中 AE 代表射基接面的橫截面積。代入(4-50)式
1
QB = AE qWn po e vBE VT (4-53)
2
集極電流
令共基電流增益 α 和注入效率 γ 均趨近於 1,則
dn p ( x)
iC ≅ i E ≅ i En = AE ⋅ J n (0) = AE qDn
dx x =0

AE qDn n p (0)
= (4-54)
W
(4-50)代入(4-54)式可得
AE qDn n po
iC = e vBE VT (4-55)
W
定義 I S 為飽和電流或電流尺寸因子(current scale factor),

射極 基極
n+多晶矽接點 E B 金屬 C 金屬 n+ n+ p
場氧化層 WE SiO2 多晶矽
接點 pE(−xE) np(x)
n+ p n+
pE(x)
WB n 磊晶層 pEo
n+埋藏層
−xE 0 x
p −基板
WE
(a) (b)

圖 4-10 (a)積體電路式電晶體之橫截面圖,(b)對 IC 電晶體而言,pE(x)亦可以用直線來近似。


196 第4章 雙載子接面電晶體

AE qDn n po AE qDn ni2


IS = = (4-56)
W WN B
其中 N B 代表基極摻雜濃度,則(4-55)式可改寫為
iC = I S e vBE VT (4-57)

與(4-24)式一致。再次強調,上式僅適用於主動模式。
基極穿越時間
將(4-52)式除以(4-54)式可得一時間 τ B
QB W 2
= =τB (4-58)
iC 2 Dn
τB 代表少數載體橫越基極 經詳細推導可證明出此時間 τ B 即少數載體橫越基極所經歷的時間,稱為
所經歷的時間,稱為基極
基極穿越時間(base transit time)。改寫上式可得出主動偏壓下的電荷控制
穿越時間。
方程式,
QB
iC = (4-59)
τB

共射電流增益
參考圖 4-10(b)可得出基極電流 iB ,

dp E ( x)
i B = AE J p (− x E ) = AE − qD p
dx x = − xE

AE qD p p E (− x E ) AE qD p p Eo
= = e vBE VT (4-60)
WE WE
將(4-55)式除以(4-60)式可得出共射電流增益 β ,
Dn n po
iC
β= = W (4-61)
iB D p p Eo
WE
代入 n po = ni2 N B 和 p Eo = ni2 N E 可得

Dn N EWE
β= (4-62)
D p N BW

若忽略 EBJ 和 CBJ 之空乏層寬度,則有效基極寬度 W 可以用實際寬度


WB 近似,則
Dn N EWE
β≅ (4-63)
D p N BWB
4.4 靜態特性 197

iC (mA)
主動區
飽和區
4 iE = 4mA
iE iC
E C
3 3mA
− +

vBE vCB 2 2mA

+ −
1 1mA
B B
iE = 0mA
0 vCB
−0.5V至 − 0.6V

(a) (b)

圖 4-11 (a)共基組態下的一 npn 電晶體,(b)共基輸出特性曲線。

如同 4.2 節最後的結論──若射極摻雜 N E 遠超過基極摻雜 N B 且基極寬 若射極摻雜 NE 遠超過基


度愈薄,則共射電流增益 β 愈大。 極摻雜 NB 且基極寬度愈
薄,則共射電流增益 β 愈
大。
4.4.2 共基輸出特性
如圖 4-11(a)所示,為一共基組態。在共基組態下,輸出電流 iC 與輸出電
壓 vCB 之關係稱為共基輸出特性曲線,見圖 4-11(b)。
在圖中主動區部份,注意 vCB 大於−0.5V 或−0.6V 時,CBJ 處於逆偏狀
態,此時 BJT 位於主動區。基於 iC = αiE ,顯示在主動區 iC 與 vCB 無關,
故為一水平線,且其值由 iE 決定。本圖中, α 假設為 1。
當 vCB 到達−0.6V 附近時,CBJ 開始順偏,此時 vCB 只要再降一點,即
CBJ 順偏再增一點,則 CBJ 將產生一顯著的順偏電流,且其方向與 EBJ CBJ 順 偏 再 增 一 點 , 則
順偏傳輸至集極的電流相反,故 iC 急轉直下,見圖 4-11(b)。 CBJ 將產生一顯著的順偏
電流,且其方向與 EBJ 順
偏傳輸至集極的電流相
4.4.3 共射輸出特性 反,故 iC 急轉直下。
如圖 4-12(a)所示,為一共射組態。在共射組態下,輸出電流 iC 與輸出電
壓 vCE 之關係稱為共射輸出特性曲線,見圖 4-12(b)。
198 第4章 雙載子接面電晶體

iC (mA)
主動區
飽和區
iC
iB = 40μA
4
C
30μA
iB + 3
B
+ vCE 20μA
2
vBE

− 10μA
E E 1
i B = 0 μA
0
vCE
VCE(sat)
(a) (b)

圖 4-12 (a)共射組態下的一 npn 電晶體,(b)共射輸出特性曲線,其中 VCE(sat)約在 0.1V 至 0.2V。

在圖中主動區部份,注意 vCE 大於 0.1V 或 0.2V 時 ( 此值一般寫為


VCE ( sat ) ,即集極與射極間之飽和電壓)時,CBJ 處於逆偏狀態,此時 BJT
位於主動區。基於 iC = β iB ,顯示在主動區 iC 與 vCE 無關,故為一水平線,
且其值由 iB 決定。本圖中, β 假設為 100。
當 vCE 到達 0.2V 附近時,CBJ 開始順偏,此時 vCE 只要再降一點,即
CBJ 順偏再增一點,則 CBJ 將產生一顯著的順偏電流,且其方向與 EBJ
順偏傳輸至集極的電流相反,故 iC 急轉直下,見圖 4-12(b)。因此,在飽
和區中, iC = β iB 必然不成立。基於在飽和區 iC 值下降,故(4-11)式需改
寫為
iB ⋅ β > iC (4-64)

iC
β> = β ( sat ) (4-65)
iB sat

其中 β ( sat ) 代表在飽和區中 iC 與 iB 之比,稱為飽和電流增益 (saturation

current gain)。
4.4 靜態特性 199

結論是,在電流電壓特性曲線中,定電流區為主動區,而電流急轉直 在 BJT 特性曲線中,定電


下區為飽和區。對於共射特性曲線而言,在進入飽和區後 vCE 將是一很 流區為主動區,而電流急
低且不易變化的數值,約在 0.1V 至 0.2V 之間,一般以 VCE ( sat ) 表示。 轉直下區為飽和區。對於
共射特性曲線而言,在進
■例題 2 入飽和區後 vCE 將是一很
低且不易變化的數值,約
考慮圖 4-10(a)之 IC npn 電晶體,假設此電晶體工作於主動區,令射基
在 0.1V 至 0.2V 之間,一
接面截面積 AE 為 25μm 2 和集基接面截面積 AC 為 100 μm 2 。 WB = 300nm
般以 VCE(sat)表示。
和 WE = 250 nm 。 此 外 , 各 區 摻 雜 濃 度 為 N E = 7.5 × 1018 cm 3 , N B =
1017 cm 3 , 和 N C = 1.5 × 1016 cm 3 。 此 外 , 電 子 在 基 極 中 的 擴 散 率
Dn = 10 cm 2 sec 和電洞在射極中的擴散率 D p = 5 cm 2 sec 。試計算:
(a) 飽和電流 I S 。
(b) iC = 100 μA 時所對應的 vBE 值。
(c) 共射電流增益 β 。
(d)若希望此電晶體的基極穿越時間 τ B 為 7.5ps,問所需的基極寬度為
何?

解:
(a) 由(4-56)式,
AE qDn n po AE qDn ni2
IS = ≅
W WB N B
25 × 10 −8 × 1.6 × 10 −19 × 10 × (1.45 × 1010 ) 2
=
300 × 10 −7 × 1017
= 2.8 × 10 −17 A
−4
iC ⎛ 10 ⎞
(b) vBE = VT ln = 0.025 ln⎜ −17 ⎟
= 0.72V
IS ⎝ 2. 8 × 10 ⎠
(c) 由(4-63)式,
Dn N EWE 10 × 7.5 × 1018 × 250
β= = = 125
D p N BW B 5 × 1017 × 300

(d) 由(4-58)式,
W2 W2
τB = ≅ B
2 Dn 2 Dn


WB = 2 Dnτ B = 122.5nm
200 第4章 雙載子接面電晶體

4.5 實際的考慮

4.5.1 基極寬度調變
根據圖 4-11 與 4-12,電晶體在主動區域之集極電流 iC 似乎與集基極電壓
在主動區內,電晶體其 iC vCB 或 vCE 無關。但事實上,在主動區內,任何電晶體其 iC 均隨 vCE 之增
均隨 vCE 之增加而增加。 加而增加,見圖 4-13(a)。這將影響電晶體作為放大器之表現,這現象在

iC (mA)
主動區
飽和區 iB = 40μA

4 30μA

3
20μA
2
10μA
1
i B = 0 μA
0
vCE
(a)

EBJ WB(eff ) Wd(CBJ) CBJ


空乏區 空乏區

n p n
C
E iEniEn iiCn
Cn iC

iEn − iCn

vBE B vCB

(b)

圖 4-13 (a)一 npn 電晶體實際的共射輸出特性,注意,當 iB 一定時, iC


隨 vCE 之增加而增加,(b)解釋基極寬度調變效應之 npn 電晶體
結構。
4.5 實際的考慮 201

1952 年首先由 J. Early 所提出,稱為歐萊效應(Early effect),又名基極寬


度調變效應(base-width modulation effect)。
對元件的影響
現考慮一 npn 電晶體在主動偏壓之下,見圖 4-13(b),若增加 vCE ,即 vCB
增加,其中 vCE = vCB + vBE ,代表增加集基極逆向偏壓,則集基接面空乏 增加集基極逆向偏壓,則
區寬度 Wd ( CBJ ) 增加,有效基極區寬度 WB ( eff ) 相對縮小,於是入射電子在 集基接面空乏區寬度增
加,有效基極區寬度 WB(eff)
基極區內與電洞復合的機會降低,即( iEn − iCn )下降,造成集極電子電流
相對縮小。
iCn 增加,即 iC 增加。也就是說,集極電流 iC 將隨集基接面逆偏之增加而
增加。
擊穿效應
若所加集基極逆偏太大而使有效基極寬度縮減至零時,也就是集基接面
空乏區碰到射基接面空乏區,此時好像射極與集極產生 “有效短路”,造
成 iC 大量增加,此現象稱為擊穿(punch-through)。
歐萊電壓
考慮 npn 電晶體之共射輸出特性,見圖 4-14。在圖中主動與飽和區的邊
界處作其切線,則此切線與 vCE 軸之交點定義一電壓稱為歐萊電壓(Early
voltage),以 VA 表示。 VA 值的大小主要代表基極寬度調變效應的嚴重程
度。對於 IC npn 電晶體而言, VA 的合理值約為 100V。
假設在主動區內 iC 對 vCE 之關係為線性,則考慮歐萊效應後,(4-57)式
應修正為
⎛ v ⎞
iC = I S e vBE VT ⎜1 + CE ⎟ (4-66)
⎝ VA ⎠

iC

−VA vCE

圖 4-14 歐萊電壓 VA 的定義。


202 第4章 雙載子接面電晶體

f 選擇性閱讀 e 4.5.2 其他非理想特性


飽和電流
根據例題 1,我們曾解出兩種飽和電流,分別是 I CBO 和 I CEO ,見圖 4-15(a)
和(b)。其中 I CBO 為射極開路時介於集基接面的漏電流,又名共基組態漏
電流,而 I CEO 為基極開路時介於集射接面的漏電流,又名共射組態漏電
流。根據例題 1 的推導,兩者的關係為
I CEO = (1 + β ) I CBO (4-67)
電壓崩潰
參考圖 4-15(c)中右側插圖,當 v 增加時,代表集基接面的逆偏電壓增加,
當此電壓值過大時,將發生電晶體崩潰,電流 i 急轉直上。此時的轉角
電壓為 BVCBO ,代表射極開路時介於集基接面的崩潰電壓,又名共基崩
潰電壓。同理,參考圖 4-15(c)中左側插圖,當 v 增加時,亦代表集基接
面的逆偏電壓增加,當此電壓值過大時,將發生電晶體崩潰,電流 i 急
轉直上。此時的轉角電壓為 BVCEO ,代表基極開路時介於集基接面的崩

B
iE i i
E C n p n E n p n
− v + − v +
ICBO
i
B
vCB
(a)

C ICEO
ICBO
ICEO
B vCE
iB BVCEO BVCBO v

(b) (c)

圖 4-15 (a)共基組態的漏電流 ICBO,(b)共射組態的漏電流 ICEO,(c)飽和電流和崩潰電壓分別在共


基組態和共射組態之比較。
4.5 實際的考慮 203

潰電壓,又名共射崩潰電壓。對於一般電晶體而言, BVCEO 通常遠小於


BVCBO 。
以上造成電晶體崩潰的機構主要有二:一為集基接面的纍增崩潰,二 電晶體崩潰的機構主要有
為擊穿效應。 二:一為集基接面的纍增
崩潰,二為擊穿效應。
電流增益
圖 4-16(a)為一實驗數據,顯示一電晶體之集極電流 iC 和基極電流 iB 與射
基偏壓 vBE 之關係,基於在主動偏壓下,
iC = I S e vBE VT (4-68)

iC IS
iB = = e vBE VT (4-69)
β β
針對以上二式左右兩側取對數後分別可得
vBE
ln iC = ln I S + (4-70)
VT


IS vBE
ln iB = ln + (4-71)
β VT

i (A)
10−2
β
10−4

10−6 iC 103

10−8 iB

10−10 102

10−12

10−14 10
0 0.2 0.4 0.6 0.8 1.0 vBE (V) 10−10 10−8 10−6 10−4 10 iC(A)
(a) (b)

圖 4-16 (a)集極電流和基極電流與射基電壓之關係,(b)共射電流增益與集極電流之關係。(本圖取
自 S. M. Sze and M. K. Lee, “Semiconductor Devices, Physics and Technology,” 3rd ed.
New York: Wiley, 2012.)
204 第4章 雙載子接面電晶體

以上二式顯示在半對數座標中,對數電流 ln iC 或 ln iB 與線性電壓 vBE 之關


係為一直線。圖 4-16(a)結果顯示當 iC 在 10 −7 A 至 10 −4 A 間 iC 與 iB 之比值
幾乎固定,但在高電流區 iC 之斜率開始下降,顯示 β 在高電流衰退。在
低電流區 iB 之斜率開始下降,顯示 i B 比預期的值高,也就是 β 在低電流
在低電流區和高電流區電 亦衰退,見圖 4-16(b)。結論是,在低電流區和高電流區電晶體之共射電
晶體之共射電流增益均下 流增益均下降。
降。

4.6 電晶體電路的直流分析
考慮圖 4-17(a)之 BJT 電路,將此電路簡化直流電源 VBB 和 VCC 後可表示
為圖 4-17(b)。假設 VBB 、VCC 、 RB 和 RC 為已知電源和電阻,以及 BJT 的
特性參數(如 β 和 I S 等)或特性曲線亦已知。現在欲求出操作電壓 VBEQ 和
VCEQ 以及工作電流 I BQ 和 I CQ 。本節將介紹兩種方法求解本電路。

4.6.1 圖解法
考慮輸入端的射基接面二極體,其電流 iB 與電壓 vBE 間之關係為類似二
極體之指數曲線。讀者可參考先前在(4-69)式所提及的方程式,即主動
區時
IS
iB = e vBE VT (4-72)
β

VCC

VCC iC=ICQ
RC
RC
RB RB +
VBB
+ vCE=VCEQ
iB=IBQ
VBB vBE=VBEQ

(a) (b)

圖 4-17 (a)一簡單的電晶體電路,(b)(a)中電路之簡化電源 VBB 和 VCC 後之表示法。


4.6 電晶體電路的直流分析 205

又 iB 與 vBE 必須滿足 KVL 迴路方程式


VBB = iB RB + vBE (4-73)
將此二曲線繪於 iB − vBE 平面上可解出輸入端操作點 (operating point)
QIN ,對應解分別為 I BQ 和 VBEQ ,見圖 4-18(a)。
另一方面,引用圖 4-12(b)之共射輸出特性,再寫下一 KVL 負載線方
程式
VCC = iC RC + vCE (4-74)
見圖 4-18(b)。假設先前在圖 4-18(a) 中之 I BQ 為 20 μA ,將此值代入圖
4-18(b)即可解出輸出端操作點 QOUT ,對應座標值即為 I CQ 和 VCEQ 。

4.6.2 定電壓降模型
這裡的作法是先假設電晶體在某個模式下工作,然後利用元件在此模式
下的特性加以計算,最後由計算出來的數據再回頭去驗證原先之假設。
若成立,則這些數據即為一自我一致的解(self-consistent solution);若不
成立,則必須重新假設,直到找出一組自我一致的解為止,這種作法即
稱為自我一致近似。假設在主動區,VBE 可取 0.7V。若在飽和區,VBE 仍
取 0.7V,而 VCE ( sat ) 可取 0.1V 至 0.3V。為了進一步了解此一方法,讀者
可參考以下例題。

iB iC (mA)
1
VBB 1 VCC 斜率 = −
斜率 = − RC iB = 40 μA
RB RB RC
4
iB = 30 μA
3
QOUT iB = 20 μA(IBQ)
ICQ 2
IBQ QIN
iB = 10 μA
1
iB = 0
0
VBEQ VBB vBE VCEQ VCC vCE

(a) (b)

圖 4-18 圖解法求解圖 4-17 電路:(a)由輸入射基二極體之特性曲線和(4-72)式聯立解出輸入端操


作點,(b)由共射輸出特性曲線決定集極電流和集射電壓。
206 第4章 雙載子接面電晶體

+10V
+10V
3 0.99 ×1 = 0.99mA
+4V +4V 4kΩ
RC = 4kΩ
5 10 − 0 .99 × 4 ≅ 6V

4 1.00 − 0.99 = 0.01mA 1 4 − 0.7 = 3.3V


RE = 3.3kΩ 3.3
3.3kΩ 2 = 1mA
3.3

(a) (b)

圖 4-19 例題 3:(a)電路圖,(b)分析過程。

■例題 3
圖 4-19(a)為一電晶體電子電路,習慣上可將直流電源,以附圖的方法
簡易表示。令 β = 100 ,
(a) 分析此電路並計算所有節點電壓與分支電流。
(b)將(a)中的 4 kΩ 電阻改為 13 kΩ ,重做(a)。
(c)將(a)中的+4V 直流電源改為+0V,重做(a)。

解:

+10V +10V
4 0.5mA 0mA
13kΩ 4kΩ
+4V
+3.5V +10V
3 0mA

5 0.5mA 1
+3.3V 0V
2 1mA 3.3kΩ 0mA 3.3kΩ

(a) (b)

圖 4-20 例題 3:(a)(b)的分析過程,(b)(c)的分析過程。
4.6 電晶體電路的直流分析 207

(a) 假設主動操作模式,則
VE = 4 − VBE ≅ 4 − 0.7 = 3.3V
3.3
IE = = 1mA
3.3
β
I C = αI E = I E = 0.99mA
1+ β

VC = VCC − I C RC = 10 − 0.99 × 4 ~
− 6V
IE
IB = ≅ 0.01mA
1+ β

所有節點電壓和分支電流見圖 4-19(b)。此外,
VCB = VC − VB = 6 − 4 = 2V

集基接面被逆偏 2V,故電晶體確實是在主動區操作。
(b) 參考圖 4-20(a),假設電晶體在飽和區操作且 VCE ( sat ) = 0.2V

4 − 0.7
IE = = 1mA
3.3
10 − 3.3 − 0.2
IC = = 0.5mA
13
I B = I E − I C = 0.5mA

因此,
I C 0.5
β ( sat ) = = =1
I B 0.5
滿足 β ( sat ) < β ,故電晶體確實在飽和區操作。
(c) 此題若假設射基接面順偏,必然會發現有矛盾存在,故電晶體
只有在截止區操作。
I E = I B = I C = 0 mA
VE = 0V
VC = 10V

計算結果見圖 4-20(b)。

■例題 4
如圖 4-21 所示,當 vI 由−10V 變遷至+15V 時 vO 之變化量,即計算 vO
(vI = +15V) − vO ( vI = −10V )。假設 β = 100 。
208 第4章 雙載子接面電晶體

+15V

QN
42kΩ
vI vO

QP 1kΩ

−15V

圖 4-21 例題 4:電路圖。

解:
vI = +15V:參考圖 4-22(a)得 QN 主動和 QP 截止,由 KVL 得
15 = I B1 × 42 + 0.7 + (1 + β ) I B1 × 1
解得 I B1 = 0.1mA。因此
vO = (1 + β ) I B1 × 1 = 10.1V
vI = −10V:參考圖 4-22(b)得 QN 截止和 QP 主動,由 KVL 得
10 − 0.7 = (1 + β ) I B 2 × 1 + 42 I B 2

+15V +15V

IB1
0
QN QN
IB1 + IB2
(1 + β ) I B1
0.7V 0

+15V vO −10V vO
0 +
42kΩ 42kΩ 0.7V (1 + β ) IB 2
QP 1kΩ − QP 1kΩ
0 IB2

−15V −15V
(a) (b)

圖 4-22 例題 4 的分析:(a)當 vI = 15V,(b)當 vI = −10V。


4.7 分立電路的偏壓設計 209

解得 I B 2 = 0.065mA。因此
vO = 0 − 101× 0.065 × 1 = −6.57 V
於是 vO 之變化量 ΔvO 為
ΔvO = vO (vI = +15V) − vO (vI = −10V)
= 10.1 − ( −6.57) = 16.67 V

4.7 分立電路的偏壓設計
偏壓 (bias)問題主要是討論如何在電晶體的集極 ( 或射極 ) 建立一定值直 偏壓問題主要是討論如何
流電流。此電流必須是可以計算、預測,以及對溫度的變化和 β 的變化 在電晶體的集極或射極建
立一定值直流電流。
要不敏感。本節特別介紹 BJT 的熱不穩性以及兩種常見分立電路(discrete
circuit)的偏壓技術。

4.7.1 熱不穩性
電晶體偏壓不穩定的一重要原因就是溫度的變化。由(4-32)和(4-34)二式
知,
I C = β I B + (1 + β ) I CBO (4-75)
若溫度上升導致 I CBO 上升,此時電晶體作為電路元件應用時,可能會引
起相當大的困難。譬如說,集極電流 I C 會使集基接面溫度昇高,因而引
起 I CBO 增加。由於 I CBO 的增加, I C 又會變大,這會使接面溫度昇得更高,
因此 I CBO 變得更大。這樣循環下去,集極電流會超過電晶體的額定值
(ratings),因而將電晶體燒掉,這種現象被稱為熱破壞(thermal runaway)。

4.7.2 雙電源偏壓
若有兩個電源供應器 VCC 和 − VEE ,則可利用圖 4-23 的偏壓電路。寫下一
迴路方程式得
VEE = I BQ RB + VBE + I EQ RE (4-76)

代入
I EQ
I BQ = (4-77)
1+ β
則可解出射極偏壓電流 I EQ 為
210 第4章 雙載子接面電晶體

VCC
ICQ

RC
IBQ

IEQ
RB
RE

−VEE

圖 4-23 利用雙電源供應器之偏壓。

VEE − VBE
I EQ = (4-78)
R
RE + B
β +1
因為 VBE 是溫度的函數,而 β 則是溫度與偏壓電流的複雜函數。一般而
溫度上升,共射電流增益 言,溫度上升,共射電流增益 β 亦增加。至於 β 與偏壓電流的關係則需
β 亦增加。
回顧圖 4-16(b)。
於是欲獲得偏壓穩定之設計條件為
VEE >> VBE (4-79)


RB
RE >> (4-80)
1+ β

4.7.3 單電源偏壓
若只有一電源供應器是現成的,則圖 4-24(a)之電路為一電晶體放大器最
常用的一種偏壓安排,又稱為自偏電路(self-biasing circuit)。圖 4-24(b)
為一以戴維寧等效電路取代圖 4-24(a)中之分壓網路。由圖 4-24(a)中得,
VCC − VB VB VCC ⎛ 1 1 ⎞
I BQ = − = − VB ⎜⎜ + ⎟⎟ (4-81)
R1 R2 R1 ⎝ R1 R2 ⎠

而由戴維寧等效電路可得
4.7 分立電路的偏壓設計 211

VCC VCC
ICQ ICQ
R1 RC VTH RC
IBQ IBQ
B B
VB RTH VB
IEQ IEQ
R2 RE RE

(a) (b)

圖 4-24 (a)利用一個電源供應器之古典偏壓電路,(b)輸入偏壓電路以
戴維寧等效表示。

VTH − VB VTH VB
I BQ = = − (4-82)
RTH RTH RTH

比較以上二式發現,只要令二式中右式的第二項相等即可解出等效戴維
寧內阻 RTH 為
−1
⎛ 1 1 ⎞
RTH = ⎜⎜ + ⎟⎟ = R1 R2 (4-83)
⎝ R1 R2 ⎠
代回(4-82)式,再令(4-81)和(4-82)式中右式的第一項相等即可解出等效
戴維寧電源 VTH
R2
VTH = VCC (4-84)
R1 + R2
由圖 4-24(b)可寫下一迴路方程式,並代入 I BQ = I EQ ( β + 1) ,即可決定電
流 I EQ

VTH − VBE
I EQ = (4-85)
R
RE + TH
β +1
欲造成 I EQ 對溫度和 β 的變化不敏感,我們將設計此電路使之滿足下列
二條件:
VTH >> VBE (4-86)
212 第4章 雙載子接面電晶體


RTH
RE >> (4-87)
β +1

■例題 5
參考圖 4-24(a),令 β = 200,R1 = R2 = 50kΩ,RC = RE = 2kΩ,VCC = 20V。
分析此電路,解出各節點電壓與分支電流,並確認 BJT 是否工作於順
向主動區。

解:
根據(4-83)和(4-84)二式,
R2 50
VTH = VCC = 20 × = 10V
R1 + R2 50 + 50


RTH = 50 50 = 25kΩ

代入(4-85)式得
VTH − VBE 10 − 0.7
I EQ = = = 4.4mA
RTH 25
RE + 2+
1+ β 201
集極電流 I CQ

200
I CQ = αI EQ = × 4.4 = 4.38mA
201
基極電流 I BQ
I EQ 4.4
I BQ = = = 0.02mA
1+ β 201

射極電壓為
VEQ = I EQ RE = 4.4 × 2 = 8.8V

集極電壓為
VCQ = VCC − I CQ RC = 20 − 4.38 × 2 = 11.2V

基極電壓為
VBQ = VBE + VEQ = 0.7 + 8.8 = 9.5V

由於 VCB = VCQ − VBQ = 1.7V,代表 CBJ 逆偏,故 BJT 工作於順向主


動區。
4.8 小訊號模型 213

4.8 小訊號模型
欲當作一放大器使用,則電晶體必須在主動區操作。而偏壓問題就是在 欲當作一放大器使用,則
電晶體的集極(或射極)建立一定值直流電流,此電流必須要可以預測, 電晶體必須在主動區操
且對溫度變化和 β 值的變化不敏感等。各種不同的偏壓技巧已在上一節 作。

中討論。
考慮一觀念性的電路,見圖 4-25。假設 BJT 偏壓在主動區且偏壓電流
I CQ 是被一直流電壓 VBEQ (電池)所建立,因此在 vbe = 0 的條件下,
VBEQ VT
I CQ = I S e (4-88)

4.8.1 一階模型
轉導
當訊號 vbe 加上去後,見圖 4-25,則全部瞬時基射電壓 vBE 變為
vBE = VBEQ + vbe (4-89)

所對應的集極電流變為
(VBEQ + vbe ) VT
iC = I S e vBE VT = I S e

VBEQ VT
= ISe e vbe VT = I CQ e vbe VT (4-90)
假設 vbe << VT ,則可將(4-90)式近似成

VCC
i C = I CQ + i c

RC
v C = VCQ + vc

+
vbe +

vBE
VBEQ

圖 4-25 電晶體當作一放大器的操作。
214 第4章 雙載子接面電晶體

⎛ v ⎞
iC ≅ I CQ ⎜1 + be ⎟ (4-91)
⎝ VT ⎠

I CQ
iC = I CQ + vbe = I CQ + ic (4-92)
VT
上式只對 vbe 大約小於 10mV 成立,稱為小訊號近似。因此,集極電流包
含一直流偏壓 I CQ 和一訊號成份 ic ,其中 ic 為
I CQ
ic = vbe (4-93)
VT

此方程式說明了集極訊號電流和基射訊號電壓間之線性關係,我們將它
改寫成
ic = g m vbe (4-94)
其中 g m 稱為轉導(transconductance),由(4-93)式得
I CQ
gm = (4-95)
VT
轉導直接正比於集極偏壓 可看出雙載子電晶體的轉導直接正比於集極偏壓電流 I CQ ,其值與元件
電流 ICQ,其值與元件的尺 的尺寸無關,完全由 I CQ 和 VT 決定。因此,如果希望得到一定值和可預
寸無關,完全由 ICQ 和 VT
測的 g m ,就必須要建立一定值和可預測的 I CQ 。
決定。

iC 斜率=gm

Q
t

ICQ ic

vBE
VBEQ
vbe

圖 4-26 在小訊號條件下電晶體的線性操作。
4.8 小訊號模型 215

轉導所代表的意義見圖 4-26,如圖所示, g m 等於 iC − vBE 特性曲線在


iC = I CQ 的斜率,即

∂iC 1 I CQ
gm = = I S e vBE VT = (4-96)
∂vBE iC = I CQ
VT iC = I CQ
VT

由圖 4-26 使我們獲得一重要觀念:小訊號近似將使得訊號振幅夠小, 小訊號近似將使得訊號振


以致於電晶體的操作被侷限在 iC − vBE 指數曲線上一範圍很小幾乎線性 幅夠小,以致於電晶體的
的線段上。但若增加訊號振幅,將導致集極電流額外產生與 vBE 成非線 操作被侷限在 iC − vBE 指
數曲線上一範圍很小幾乎
性關係的成份。 線性的線段上。
電壓增益
圖 4-25 中,全部集極電壓 vC 為
vC = VCC − iC RC = VCC − ( I CQ + ic ) RC
= (VCC − I CQ RC ) − ic RC = VCQ − ic RC (4-97)

VCQ 為在集極之直流電壓,而訊號電壓為

vc = −ic RC = − g m vbe RC (4-98)

因此放大器之電壓增益(voltage gain)為
vc
電壓增益 =Δ = − g m RC (4-99)
vbe
這裡我們再次注意,因為 g m 直接正比於集極偏壓電流,故增益是否穩定
將由集極偏壓電流之穩定性來決定。
另一方面,若將圖 4-25 中之直流成份(包括 VBEQ 、VCC 和 I CQ )移去後即
可獲得圖 4-27 之電路,此電路不再具有直流成份,故稱為交流等效電路
(ac equivalent circuit)。在圖 4-27 中,可直接計算交流輸出電壓 vc ,
vc = 0 − ic RC (4-100)

此即(4-98)式。最後請注意,圖 4-27 中 RC 上方與 vbe 下方的接地並非原


始電路(圖 4-25)的接地,亦非全部電壓的接地,這是一種移去直流成份 移去直流成份後導致的接
後導致的接地,稱為 ac 接地或訊號接地(signal ground)。 地,稱為 ac 接地。

基極電阻與混合−π模型
定義 ac 電流增益(ac current gain) β ac 為

ΔiC ic
β ac =Δ = (4-101)
ΔiB vCE =常數
ib vce =0

假設 β ac 與大訊號電流增益 β 相等,故訊號電流 ib 可直接表示成


216 第4章 雙載子接面電晶體

ic
RC

vc

vbe +

圖 4-27 將圖 4-25 電路中之直流成份移去後所得到的交流等效電路。

ic g m vbe
ib = = (4-102)
β β
介於基極和射極之間且由 所以介於基極和射極之間且由基極端看進去的小訊號輸入電阻 rπ 定義為
基極端看進去的小訊號輸
vbe β
入電阻為 rπ。 rπ =Δ = (4-103)
ib gm
因此 rπ 直接由 β 決定,且與偏壓電流 I CQ 成反比。或將(4-96)式代入(4-103)
式得
VT
rπ = (4-104)
I BQ
此外,對照(4-96)式對 g m 的解釋,可寫下 rπ 的另一種定義方式:
−1
⎛ ∂i ⎞
rπ = ⎜⎜ B ⎟
⎟ (4-105)
⎝ ∂ v BE 操作點Q ⎠

即圖 4-28 中操作點的斜率倒數。
對小訊號而言,雙載子接面電晶體(BJT)可以用圖 4-29(a)的等效電路
來表示,習慣上稱為混合- π 模型(hybrid- π model)。注意此等效電路適
用於某一個特定的偏壓點,因為其中的兩個參數 g m 和 rπ 均與 I CQ 的值有
關。另外,為了和文獻上一致,我們將 vbe 改寫為 vπ 。
因為輸出電流 g m vπ 可被寫成
g m vπ = β ib (4-106)
故等效電路亦可以圖 4-29(b)中之電流控制電流源 β ib 來表示。
4.8 小訊號模型 217

iB 1
斜率 =

Q
t

IBQ ib

vBE
VBEQ

vbe

圖 4-28 在小訊號條件下電晶體的線性操作與 rπ之幾何意義。

射極電阻與 T-等效模型
定義射極電阻(emitter resistance) re 為

vbe
re =Δ (4-107)
ie

代入 ie = ic α 後可得

α
re = (4-108)
gm

ib
B C B C
+
vπ rπ gmvπ rπ β ib

E E E E

(a) (b)

圖 4-29 BJT 的一階小訊號模型的兩種等效模式,此模型稱為混合-π模


型,且可適用於 npn 和 pnp 電晶體。
218 第4章 雙載子接面電晶體

ie α ie gmvπ
re re
E C E C

vπ +
B B

(a) (b)

圖 4-30 BJT 的另一種小訊號模型,又名 T-等效模型:(a)電流控制電流源表示法,(b)電壓控制電


流源表示法。

將 g m = I CQ VT 代入上式可得

VT
re = (4-109)
I EQ

re 之最佳解釋為介於基極 re 之最佳解釋為介於基極與射極之間由射極端看進去的電阻。請特別注
與射極之間由射極端看進 意 re 與 rπ 不同且其間關係為
去的電阻。
rπ = ( β + 1) re (4-110)

另一種利用 re 來表示的小訊號模型見圖 4-30,由於此模型外表看起來


近似一英文字母 T,故又名 T-等效模型。圖 4-30(a)是以電流控制電流源
α ie 表示,而圖 4-30(b)則是以電壓控制電流源 g m vπ 來表示。

f 選擇性閱讀 e 4.8.2 輸出電阻與寄生電容


輸出電阻
針對全部瞬時訊號可將(4-66)式改寫為
⎛ v ⎞
iC = I S e vBE VT ⎜1 + CE ⎟ (4-111)
⎝ VA ⎠

因為全部瞬時電壓 vCE 可表示為


vCE = VCEQ + vce (4-112)


(VBEQ + vbe ) VT ⎛ VCEQ + vce ⎞
iC = I S e ⎜1 + ⎟ (4-113)
⎝ VA ⎠
令 vbe 滿足小訊號近似,即 vbe << VT ,則經泰勒級數展開可近似為
4.8 小訊號模型 219

VBEQ VT ⎛ vbe ⎞ ⎛ VCEQ vce ⎞


iC ≅ I S e ⎜1 + ⎟ ⎜1 + + ⎟ (4-114)
⎝ VT ⎠ ⎝ VA VA ⎠

因為
VBEQ VT ⎛ VCEQ ⎞
I CQ = I S e ⎜1 + ⎟ (4-115)
⎝ VA ⎠

假設 VCEQ << VA ,則
VBEQ VT
I CQ ≅ I S e (4-116)

於是(4-114)式可改寫
I CQ I CQ I CQ
iC ≅ I CQ + vbe + vce + vbe vce
VT VA VT V A
I CQ I CQ
≅ I CQ + vbe + vce
VT VA
= I CQ + ic (4-117)

於是
1
ic = g m vbe + vce (4-118)
ro

在本書中交流成份亦可以相量(phasor)表示法,即
1
I c = g mVbe + Vce (4-119)
ro

其中 g m 與(4-95)式相同,而 ro 代表電晶體的輸出電阻(output resistance),

VA
ro = (4-120)
I CQ

其中 I CQ 為直流集極偏壓電流和 VA 為電晶體的歐萊電壓,標準值在 50V


至 100V 左右,故 ro 的標準值約在 100kΩ 附近。
ro 主要是為了描述電晶體在主動區操作時,集射電壓對集極電流的調 ro 主要是為了描述電晶體
在主動區操作時,集射電
變效應。在描述二階模型時,可將輸出電阻 ro 放入混合- π 模型內,如圖
壓對集極電流的調變效
4-31(a)。另一方面,讀者亦可由微分電阻的觀點推導 ro ,
應,即基極寬度調變效
−1
應。
⎛ ∂i ⎞ −1

ro =⎜ C
Δ ⎟ = ⎛⎜ 1 ⋅ I eVBEQ VT ⎞⎟
⎜ ∂ vCE ⎟ ⎝ VA
S

⎝ v BE =VBEQ ⎠
220 第4章 雙載子接面電晶體

−1
⎛ 1 I CQ ⎞ V
= ⎜⎜ ⋅ ⎟ ≅ A
⎟ (4-121)
⎝ V A 1 + VCEQ V A ⎠ I CQ

與(4-120)式的結果相同。
電晶體電容
射 基 接 面 電 容 以 Cπ 表 考慮電晶體電容和輸出電阻後的混合- π 模型見圖 4-31(b)。其中射基接
示,集基接面電容以 Cμ 面電容以 Cπ 表示,集基接面電容以 Cμ 表示。回顧 pn 接面理論,計有兩
表示。 種電容效應:第一種是空乏電容 C j ,即當橫越接面電壓變化時,造成空
乏區內空間電荷之變化,其模型以空乏電容 C j 表示。第二種為擴散電容
Cd ,代表當橫越接面電壓變化時,造成在中性 p 型和 n 型區內多出儲存
少數載體電荷之變化,其模型以擴散電容 Cd 表示。注意,擴散電容 Cd 正
比於流過接面之電流;而對逆偏接面而言, Cd 為零。
電晶體當作放大器必然在主動區操作,即射基接面順偏,和集基接面
逆偏,故電晶體電容 Cπ 和 Cμ 可分別表為
Cπ = C j ( EBJ ) + Cd ( EBJ ) (4-122)
Cμ = C j ( CBJ ) (4-123)
Cj(EBJ) 為射基接面之空乏 其中 C j ( EBJ ) 為射基接面之空乏電容, Cd ( EBJ ) 為射基接面之擴散電容(又名
電容,Cd(EBJ)為射基接面之 基極充電電容 Cb ),C j ( CBJ ) 代表集基接面之空乏電容。其中空乏電容部份
擴散電容 ( 又名基極充電
電容 Cb),Cj(CBJ)代表集基 可由上一章 pn 接面理論,
接面之空乏電容。 ∈S
C j ( EBJ ) = AEBJ (4-124)
Wd ( EBJ )

∈S
C j ( CBJ ) = ACBJ (4-125)
Wd ( CBJ )

ic
B C B C
+ + + Cμ
vπ rπ gmvπ ro vce rπ vπ Cπ gmvπ ro
− − −
E E E E

(a) (b)

圖 4-31 (a)考慮輸出電阻 ro 後所得之模型,(b)(a)中加入寄生電容 Cπ和 Cμ。


4.8 小訊號模型 221

其中 Wd ( EBJ ) 代表射基接面空乏層寬度, Wd ( CBJ ) 為集基接面空乏層寬度,


AEBJ 代表射基接面橫截面積, ACBJ 為集基接面橫截面積。
根據第 3 章對空乏電容的另一種表示法,以上二式可改寫為
C jo ( EBJ )
C j ( EBJ ) = me
(4-126)
⎛ V ⎞
⎜1 − BE ⎟
⎜ V ⎟
⎝ bi ( EBJ ) ⎠

C jo ( CBJ )
C j ( CBJ ) = mc
(4-127)
⎛ V ⎞
⎜1 + CB ⎟
⎜ V ⎟
⎝ bi ( CBJ ) ⎠
其中 me 與 mc 分別為 EBJ 與 CBJ 二接面之梯度係數。
至於基極充電電容(base charging capacitance) Cb (又可表示為 Cd ( EBJ ) )之
推導需要訴諸於半導體理論,由電荷控制方程式,即(4-59)式,
QB
iC = (4-128)
τB
其中 τ B 代表少數載體橫越基極所經歷的時間,稱為基極穿越時間。於是
∂QB ∂
Cb =Δ = (iCτ B ) = τ B gm (4-129)
∂v BE 操作點Q
∂v BE 操作點Q


τ B I CQ
Cb = (4-130)
VT
注意 Cb 與偏壓電流 I CQ 成正比。一般 Cπ 約在幾個微微法拉(picofarads)到 Cb 與偏壓電流 ICQ 成正比。
幾十微微法拉之間,而 Cμ 一般在 1 到 2 微微法拉之間。

4.8.3 二階小訊號模型
圖 4-32 顯示一完整的低頻混合- π 等效電路模型,除了原本的模型參數 rπ
和 g m 之外,此模型還包括了 ro 、 rμ 和 rb 。其中 rμ 主要描述逆偏集基接面 rμ主要描述逆偏集基接面
所產生的微小漏電流效
所產生的微小漏電流效應,其值通常遠大於 ro ,甚至可以將 rμ 寫成約等
應,其值通常遠大於 ro。
於 β ro 。在等效模型中放入 rμ 已破壞電晶體單方向傳送的特性,將使得
分析時變得較為複雜。幸運的是, rμ 之值非常大,以致在一般情況下,
rμ 可以忽略。
222 第4章 雙載子接面電晶體

rb B' rμ
B C
+
vπ rπ gmvπ ro

E E

圖 4-32 低頻混合-π模型。

rb B' Cμ
B C
+
vπ rπ Cπ gmvπ ro

E E

圖 4-33 高頻混合-π模型。

電阻 rb (習慣上亦可用 rx 或 rbb′ 表示 )用於描述基極金屬接點○


B 和內部
基極接點○
B' 間 的 電 阻 , 一 般 稱 為 基 極 散 佈 電 阻 (base spreading
resistance)。
說得具體些,以 npn 電晶體在主動區操作為例,射極電子越過射基接
rb 主要就是描述在此狹長 面後,少數電子將經過一狹長的區域才由金屬接點流至外界電路,而 rb
基極區域內之串聯電阻效 主要就是描述在此狹長基極區域內之串聯電阻效應,其標準值約在幾十
應。 歐姆附近,遠小於 rπ 。
圖 4-33 顯示一高頻混合- π 模型,包括了所有低頻模型中的電阻和兩
個電容。而電阻 rμ 省略的原因為在適當的頻率範圍內, Cμ 的電抗
(reactance)遠小於 rμ 。

f 選擇性閱讀 e 4.8.4 圖解法


在上一節曾經介紹利用圖解法作直流分析。仍然考慮圖 4-17 中的電路,
若負載線與對應 I BQ 的特性曲線之交點落在主動區,則電晶體在主動模
式下操作。這點我們已經在圖 4-18 說明過。
現有一輸入訊號 vb 疊加在 VBB 之上,見圖 4-34(a)與圖 4-34(b)中之標示

1 ,則將產生一對應的基極電流訊號 ib (標示○ 3 )和一基射電壓 vπ (標示

2 ) 。如圖 4-34(b) 所示,若 vb “ 足夠小 ” ,則瞬時操作點 (instantaneous
operation point)將在 iB − vBE 指數曲線上一範圍很小近似線性
4.8 小訊號模型 223

VCC iB

VBB
iC RC RB

RB +
4 ic
+ 3 ib
vb + iB vCE QM
− vBE IBQM
Q β
VBB − −
t t
IBQm
Qm
IBQ
iB = I BQ + ib
VBB
v BE = VBEQ + vπ 0
vBE
iC = I CQ + ic VBEQ
1
vCE = VCEQ + vce vb
t t
2 vπ
(a) (b)

iC
VCC
RC
iB=IBQM
4 ic
QM
ICQM
iB=IBQ
Q
ICQ
iB=IBQm t
Qm
ICQm

0
VCC vCE
VCEQ
vce 5

t
(c)

圖 4-34 一放大器電路及其圖解分析過程:(a)電路圖,(b)輸入特性,(c)輸出特性。
224 第4章 雙載子接面電晶體

的線段上來回移動。圖 4-34 中有五個交流訊號 vb 、 vπ 、 ib 、 ic 和 vce ,


彼此間之關係為

vπ = vb (4-131)
RB + rπ
vπ 在圖 4-34(b)的操作點 Q 附近振盪即產生訊號電流 ib
vπ vπ
ib = = I BQ (4-132)
rπ VT
ib 經 BJT 放大產生電流 ic (標示○
4 ),

ic = β ib (4-133)

將 ic 代入圖 4-34(c)中產生 vce (標示○


5)

vπ β RC r
vce = −ic RC = − β ib RC = − β RC =− ⋅ π vb (4-134)
rπ rπ RB + rπ

於是
vce rπ
電壓增益 = = − g m RC (4-135)
vb RB + rπ

讀者欲清楚了解此圖解過程,可按圖 4-34(b)和(c)所指示的順序○
1 至

5 觀察訊號的變化。

■例題 6

VCC = +10V +10V

RC = 2kΩ 2.3mA 2kΩ


+3V
vo +5.4V
RBB = 100kΩ 100kΩ

vi + 0.023mA
− 2.323mA
+0.7V
VBB=3V

(a) (b)

圖 4-35 例題 6:(a)電路圖,(b)直流分析。
4.8 小訊號模型 225

圖 4-35(a)所示為一電晶體放大器電路,令 β = 100 。
(a)決定直流操作點。
(b)計算小訊號參數。
(c)計算電壓增益。

解:
(a) 直流分析:首先必須求出靜態操作點。令 vi = 0 得基極電流 I B
VBB − VBE 3 − 0.7
IB = ≅ = 0.023mA
RBB 100
集極電流 I C 為
I C = β I B = 100 × 0.023 = 2.3mA
集極電壓 VC 為
VC = VCC − I C RC = 10 − 2.3 × 2 = 5.4V
因為 VB = 0.7V,代表 CBJ 逆偏 4.7V,所以元件將在主動區操作。
直流分析的結果顯示在圖 4-35(b)。
(b) 接下來就要決定小訊號模型參數:
VT 25mV
re = = = 10.8Ω
IE 2.3
mA
0.99
轉導為
I C 2.3mA
gm = = = 92mA/V
VT 25mV

基極電阻為
β 100
rπ = = = 1.09kΩ
gm 92

(c) 訊號分析:
小訊號等效電路見圖 4-36。注意,在此等效電路中沒有任何直
流量;且直流電源電壓 VCC 也被一短路所取代,也就在此端點之
訊號電壓為零。換言之,接到定值直流源的一電路端點可以被
視為訊號接地,此即在圖 4-27 中我們提過的觀念。因為
vo
= − g m RC


226 第4章 雙載子接面電晶體

RBB=100kΩ BJT
C
B + +
+ RC= v
vi − rπ vπ gmvπ o
2kΩ
− −
E E

圖 4-36 例題 6:小訊號等效電路。

vπ rπ
=
vi RBB + rπ

以上二式聯立可得
vo rπ 1.09
= − g m RC = −92 × 2 × ≅ −2 V V
vi RBB + rπ 100 + 1.09

4.9 共射放大器
圖 4-37 為一在共射(射極接地)組態之下古典 BJT 放大器之完整電路。此
電路使用第 4.7 節中之偏壓安排,圖 4-37(a)為單電源偏壓型式,圖 4-37(b)
則為雙電源偏壓型式。

4.9.1 耦合和旁路電容
耦合電容
如圖 4-37 所示,一具有電阻 Rs 的訊號源 vs 經由一電容 CC1 被耦合入電晶
體的基極。耦合電容(coupling capacitor) CC1 的值必須選得足夠大,以使
得在考慮的頻率範圍內,可視為交流短路。關於這點說明如下:假設 vs
為一頻率 f = 2kHz 之正弦電壓訊號,電容 CC1 = 10 μF ,則耦合電容阻抗
Z C 之大小為
1 1
ZC = = = 7.96Ω (4-136)
2π fCC1 2π × 2 × 103 × 10 × 10 −6
此值一般遠小於 R1 、 R2 和 rπ 等電阻,故可忽略。而在集極的輸出訊號
經由另一個足夠大的耦合電容 CC 2 被耦合至負載電阻 RL 。
4.9 共射放大器 227

VCC

R1 RC
CC 2 = ∞
vo
Rs CC1 = ∞
RL

vs +
− R2
Rin RE CE = ∞

(a)

+VCC

RC
CC 2 = ∞
vo
Rs CC1 = ∞
RL

vs +
− RB
Rin
RE CE = ∞

−VEE
(b)

圖 4-37 共射放大器:(a)單電源偏壓型式,(b)雙電源偏壓型式。

旁路電容
另外,射極偏壓電阻 RE 對放大器訊號表現的效應可藉由連接一橫越 RE
的大電容 C E 來消除掉。也就是說,在考慮的訊號頻率範圍內, C E 可視
為短路;即對訊號而言, RE 並沒有效應。因此,當有一 dc 電流流過電
阻 RE 時,訊號電流 ie 將繞過電阻 RE 而流過 C E 。所以,我們將 C E 稱為射
極 旁 路 電 容 (emitter bypass capacitor) , 而 此 電 路 即 稱 為 射 極 接 地
(grounded-emitter)或共射放大器。
228 第4章 雙載子接面電晶體

4.9.2 小訊號分析
在此,我們還需要特別注意圖 4-37 中的放大器為一 ac 放大器。由於在
真實電路中, CC1 、 CC 2 和 CCE 的電容值有限,導致其增益在低頻時將降
低。分析放大器頻率響應(frequency response)的部份將在第 7 章專門討
論。現在,我們將假設這些電容值為無窮大,然後將電晶體以混合- π 模
型取代,並將 dc 電源以短路取代,即可得圖 4-38 的小訊號等效電路。
就小訊號分析之觀點,圖 4-37(a) 和 (b) 兩電路其實是等效的,且圖
4-37(b)中之 RB 等於圖 4-37(a)之 ( R1 R2 ) ,即 RB = R1 R2 。由圖 4-38 之等
效電路分析如下:
vπ Rin
= (4-137)
vs Rin + Rs
其中放大器輸入電阻(input resistance) Rin 為
Rin = R1 R2 rπ .... 圖 4-37(a)
= RB rπ ......... 圖 4-37(b) (4-138)

由基極至集極的增益為
vo
= − g m ( ro RC RL ) (4-139)

通常, ro >> ( RC RL ),故 ro 可以忽略。合併(4-137)和(4-139)二式可得全
級電壓增益(overall voltage gain)為
vo Rin
Av = = − g m ( RC RL ro ) (4-140)
vs Rs + Rin

Rs BJT
B C
+
+
rπ vπ ro
+ v Rin R R2 gmvπ RC R L vo
− s 1

E −

圖 4-38 圖 4-37 中共射放大器的等效電路。


4.9 共射放大器 229

4.9.3 未旁路射極電阻的分析
現將圖 4-37 之共射放大器加入未旁路射極電阻 RE1 ,所得的電路顯示於
圖 4-39。根據先前的分析,直流偏壓電流為
VEE − VBE
I EQ = (4-141)
RB
RE 1 + RE 2 +
1+ β

而其小訊號分析可引入二種模型──混合 − π 模型以及 T-等效模型,茲


分別介紹如下:
混合−π模型
將圖 4-39 以一階混合− π 模型展開可得圖 4-40,分析如下:
vb Rin
= (4-142)
vs Rs + Rin
其中 Rin = RB Rib , Rin 可想像為站在 Rs 右側(圖 4-39)往右看進去的電阻。
而 Rib 之計算可由圖 4-40 寫下迴路方程式:

vb = ib rπ + (1 + β )ib RE1 (4-143)

+VCC

RC
CC 2 = ∞

Rs CC1 = ∞ ib +
RL
vo
+
vs + RB RE1 ie −
− Rin vb Rib


RE2 CE = ∞

−VEE

圖 4-39 留下未旁路射極電阻之共射放大器。
230 第4章 雙載子接面電晶體

BJT
Rs B C
ib vo
+
vs + vb R B rπ RC RL
− Rin β ib
Rib

E (1 + β )ib

RE1

圖 4-40 以一階混合−π模型代入圖 4-39 所得之小訊號等效電路。

vb
Rib =Δ = rπ + (1 + β ) RE1 (4-144)
ib

由圖 4-40,
vo β i (R R ) (R R ) ( RC RL )
= − b C L = −β C L = −β (4-145)
vb ib Rib Rib rπ + (1 + β ) RE1
故電壓增益 AV 為
Δ v o v o vb ( RC RL ) Rin
AV = = = −β (4-146)
v s vb v s rπ + (1 + β ) RE1 RS + Rin

T-等效模型
以圖 4-30 之 T-等效模型代入圖 4-39 可得圖 4-41,分析如下:

vb = ie re + ie RE1 = ie (re + RE1 ) = ib ( re + RE1 )(1 + β ) (4-147)

得到
Rib = (1 + β )(re + RE1 ) (4-148)
基極看進去的輸入電阻等 這是一個非常重要的結果:由一電晶體的基極看進去的輸入電阻等於射
於射極電路中的全部電阻 極中的電阻乘以 (1 + β ) 的因子,稱為電阻反射定律(resistance reflection
乘以(1 + β)的因子,稱為 rule,簡稱 R 3 )。這個因子的產生乃因為基極電流比射極電流要小 (1 + β )
電阻反射定律。
倍。此外,讀者是否懷疑 rπ 怎麼不見了?其實是 ( β + 1)re = rπ 。
現在計算在射極的訊號電流 ie 為
vb
ie = (4-149)
re + RE1
4.9 共射放大器 231

vo
C

α ie (RC || RL)
Rs ib
BJT
+ B
re ie
vs + RB vb

Rin Rib
− E

RE1

圖 4-41 以 T-等效模型分析圖 4-39 之電路。

又集極訊號電流 ic 為
α vb
ic = α ie = (4-150)
re + RE1
得輸出電壓 vo 為
α vb
vo = −ic ( RC RL ) = −α ie ( RC RL ) = − ( RC RL ) (4-151)
re + RE1

故介於基極與集極間之電壓增益為
vo (R R )
= −α C L (4-152)
vb re + RE1

注意,上式與(4-145)式一模一樣。一般而言, α ≅ 1 ,故上式代表集極 上式代表集極中的全部電


中的全部電阻與射極中的全部電阻之比,這是一個簡單且易記的規則。 阻與射極中的全部電阻之
至於全級電壓增益 AV 為 比,這是一個簡單且易記
的規則。
v o v o vb ( R R ) ⎛ Rin ⎞
AV = = = −α C L ⎜ ⎟ (4-153)
v s vb v s re + RE1 ⎝ Rs + Rin ⎠

■例題 7
圖 4-42 所示為一共射放大器,令 R1 = R2 = 50kΩ,VCC = 10V,RC = 1kΩ,
RE = 1kΩ , Rs = 1kΩ , RL = 2kΩ , β = 100 ,和 V A = 100V 。試計算其
電流增益 iout iin 。

解:
232 第4章 雙載子接面電晶體

VCC

R1 RC

iin
Rs ∞ +
iout R L vo

vs +
− R2
Rin RE ∞

圖 4-42 例題 7:電路圖。

直流分析:
⎛ R2 ⎞
VCC ⎜ ⎟ − VBE 10 × 50 − 0.7
⎝ R1 + R2 ⎠ 50 + 50
I EQ = = = 3.45mA
( R1 R2 ) (50 50)
RE + 1+
1+ β 101

相關小訊號參數值為
VT 25mV
re = = = 7.25Ω
I E 3.45mA
rπ = (1 + β )re = 0.73kΩ
I CQ
gm = = 138mA/V
VT
VA
ro = = 29kΩ
I CQ

交流分析如下:
首先計算輸入電阻 Rin ,
Rin = R1 R2 rπ = 50 50 0.73 = 0.709kΩ

電壓增益為
vo Rin
AV =Δ = − g m (ro RC RL )
vs Rs + Rin
0.709
= −138 × (29 1 2) × = −37.3 V V
1 + 0.709
4.9 共射放大器 233

電流增益為
− vo
iout RL R + Rin 1 + 0.709
AI =Δ = = − AV s = 37.3 × = 31.9 A A
iin vs RL 2
Rs + Rin

■例題 8
圖 4-43(a)所示電路為一使用定電流源 I 偏壓之電晶體放大器,電晶體
具有 β = 100 ,試計算電壓增益 vo vs 。其中 RF = 100kΩ , RE = 1kΩ ,
RL = 100kΩ , I = 1mA。

解:
I = I BQ + I CQ = (1 + β ) I BQ = 1mA = I EQ

於是,射極電阻為 re = 25Ω ,代入 T-等效模型後所得的小訊號等效


電路見圖 4-43(b)。由圖中分析得
⎛v −v ⎞ ⎛v −v α vs ⎞
v o = ⎜ s o − α ie ⎟ R L = ⎜ s o − ⎟ RL
⎝ RF ⎠ ⎝ RF re + RE ⎠
解得電壓增益 AV

v s − vo
− α ie
RF RL

vs − vo C
vo
RF
I RF α ie
RF ∞
vo BJT
B
∞ re

RL vs + vs
− E ie =
vs + RE + re
− RE RE

(a) (b)

圖 4-43 例題 8:(a)電路圖,(b)小訊號等效電路及分析。
234 第4章 雙載子接面電晶體

⎛ 1 α ⎞
⎜ − ⎟ RL 100 − 0.99 × 100
v ⎝ R r + R E ⎠
AV =Δ o = F e
= 100 0.025 + 1 = −47.8 V V
vs R 100
1+ L
1+
RF 100

4.10 射極追隨器
本節中將介紹一特別的放大器電路:射極追隨器(emitter follower),或稱
其特點為高輸入電阻與低 為共集組態(common-collector configuration)。其特點為高輸入電阻與低
輸出電阻,因此很適合作 輸出電阻,因此很適合作為一緩衝器(buffer),用以將一高電阻電源連接
為一緩衝器,用以將一高
至一低電阻負載。
電阻電源連接至一低電阻
負載。
如圖 4-44 所示,輸入訊號由基極加入,而輸出訊號由射極取出;因為
集 極 接 至 dc 電 源 , 對 訊 號 電 源 而 言 是 接 地 的 , 故 稱 為 集 極 接 地
(grounded-collector)或共集組態。

4.10.1 混合−π 模型
輸入電阻
如圖 4-45 為以混合 − π 模型展開之小訊號等效電路,欲求電阻 Rib ,可
利用上一節中提到的電阻反射定律;也就是說,將射極中之全部電阻乘

+VCC

RC
CC = ∞

Rs CC1 = ∞

CC 2 = ∞

vs + RB +
− Rin Rib R
E RL vo
Rout

−VEE

圖 4-44 射極追隨器電路。
4.10 射極追隨器 235

以 1 + β 即可得到 Rib :
Rib = rπ + (1 + β )( RE RL ) (4-154)
已知 Rib 後,可求得輸入電阻 Rin
Rin = RB Rib (4-155)

電壓增益
vb Rin
= (4-156)
vs Rin + Rs

參考圖 4-44,
vo (1 + β )ib ( RE RL ) (1 + β )( RE RL )
= =
vb ib Rib Rib
(1 + β )( RE RL )
= (4-157)
rπ + (1 + β )( RE RL )
由以上二式相乘可得增益 AV 為
vo Rin (1 + β )( RE RL )
AV =Δ = (4-158)
vs Rs + Rin rπ + (1 + β )( RE RL )

輸出電阻
欲計算一放大器的輸出電阻,首先必須令外來的獨立訊號源為零。由觀 欲計算一放大器的輸出電
察點得知並未包含負載 RL ,故移去負載 RL 。最後加入一測試電壓源 vt , 阻,首先必須令外來的獨
送入一電流 i ,則輸出電阻 Rout = vt i 。由圖 4-46 中的電路, 立訊號源為零。

BJT
Rs B C
ib
+

vs + RB vb
− rπ
Rin Rib β ib

E (1 + β ) ib
vo

RE RL

圖 4-45 射極追隨器代入混合−π模型後的小訊號等效電路。
236 第4章 雙載子接面電晶體

vt
i + (1 + β )ib = (4-159)
RE
將 ib 以 vt 代換,
vt
ib = − (4-160)
rπ + ( RB Rs )

代入(4-159)式得
vt (1 + β )vt
i= + (4-161)
RE rπ + ( RB Rs )

移項整理得
i 1 1
= + (4-162)
vt RE ( Rs RB ) + rπ
(1 + β )

由此可得輸出電阻為
−1
vt 1 1
Rout = = + (4-163)
i RE ( Rs RB ) + rπ
(1 + β )
因此 Rout 即為
⎡ ( R R ) + rπ ⎤
Rout = RE ⎢ s B ⎥ (4-164)
⎣ (1 + β ) ⎦

BJT
B ib C

Rs RB rπ
β ib

vt E (1 + β )ib
rπ + ( RS RB )
vt i
RE + v
RE − t
Rout

圖 4-46 計算射極追隨器輸出電阻的方法。
4.10 射極追隨器 237

由上面分析中我們發現一簡單的規則,可將最後的結果直接以直觀方 在基極端的所有電阻可在
式寫出,而此規則乃基於射極電流為基極電流的( 1 + β )倍這個事實。因 其值除以 (1+β) 後被反射
至射極。
此在基極端的所有電阻可在其值除以( 1 + β )後被反射至射極。現在把這
個觀念放在輸出電阻的計算中:介於射極與接地點間,我們看到一電阻
RE 與另一電阻並聯,而後者即為 rπ 與 ( RB Rs ) 串聯後再將其值除以
1 + β ,這樣就可以得到如(4-164)式的輸出電阻值。

4.10.2 T-等效模型
輸入電阻
將 T-等效模型代入圖 4-44 可得圖 4-47,分析如下:其中輸入電阻 Rin 為

Rin = RB Rib (4-165)


其中
Rib = (1 + β )[re + ( RE RL )] (4-166)

上式與(4-154)完全相同。
電壓增益
參考圖 4-47,利用分壓定律(voltage-divider rule)得
vo ( RE RL )
= (4-167)
vb ( RE RL ) + re

注意此式與(4-157)完全相同。合併(4-165)和(4-167)二式即求得電壓增益
vo Rin ( RE RL )
AV =Δ = (4-168)
vs Rin + Rs ( RE RL ) + re

由上式很容易可以觀察出電壓增益小於 1。但因 Rin 很大,而 re 非常小,


故增益往往接近於 1。

BJT
Rs ib re
B E

+ ie +
vs + RB vb α ie RE v
RL o

Rin Rib − Rout
C −

圖 4-47 以 T-等效模型分析射極追隨器。
238 第4章 雙載子接面電晶體

輸出電阻
根據上段所述之電阻反射定律可得
⎡ (R R ) ⎤
Rout = RE ⎢re + s B ⎥ (4-169)
⎣ (1 + β ) ⎦
請注意此式與 (4-164) 式完全相同,這是因為 rπ (1 + β ) = re 。不同在於
(4-164)式是以混合 − π 模型處理並以 rπ 表示,而(4-169)式則是以 T-等效
模型分析並以 re 表示,兩者之間必然等效。

■例題 9
圖 4-48(a)電路為一吊環追隨器(bootstrap follower)其主要優點為具有特
別高的輸入電阻。假設 β = 100 。
(a) 首先將吊環電容 C BS 移去,令其開路,試計算其輸入電阻 Rin1 與電壓
增益 A1 =Δ vo vs 。
(b)再將吊環電容 C BS 接上,同時令 C BS = ∞ ,試計算其輸入電阻 Rin 2 與
電壓增益 A2 =Δ vo vs 。

解:
取戴維寧等效電路可解出偏壓電流為
5 − 0.7
I EQ = = 0.39mA
(50 + 50)
10 +
100 + 1

VCC = +10V +10V

100kΩ
5kΩ ∞ 50kΩ 50kΩ
+5V
50kΩ
∞ IE
vs + vo 1+ β
− Rin IE
CBS = ∞ 10kΩ
100kΩ 10kΩ 10kΩ

(a) (b)

圖 4-48 例題 9:(a)電路圖,(b)直流分析。
4.10 射極追隨器 239

I CQ = α I E = 0.99 × 0.39 = 0.387mA

因此小訊號參數如下:
I CQ 0.387
gm = = = 15.5 mA V
VT 0.025
VT 25
re = = = 64.1Ω
I EQ 0.39
β 100
rπ = = = 6.45kΩ
gm 15.5
(a) 首先我們將吊環電容 C BS 移去 ( 令其開路 ) ,則等效電路如圖
4-49(a)所示且分析如下:
Rin1 = (50 + 50) [(rπ + (1 + β )(10 10)]
= 100 [6.45 + 101 × 5] = 83.6kΩ
v o v o vb ( RE RL ) Rin
A1 =Δ = = ×
vs vb vs re + ( RE RL ) Rs + Rin
(10 10) 83.6
= × = 0.93 V V
0.064 + (10 10) 5 + 83.6
(b) 將 C BS 接回並令 C BS = ∞ ,見圖 4-49(b),令
rπ′ = rπ 50kΩ = 5.71kΩ

BJT BJT
Rs B C Rs B C

is
+ + gmvπ + + gmvπ
vπ rπ vs + vπ rπ
Rin − 50kΩ
50kΩ − −
Rin
vb
vs +
− E
E vb
vo vo
50kΩ

− 10kΩ 10kΩ 50kΩ 10kΩ 10kΩ


(a) (b)

圖 4-49 例題 9 之小訊號等效電路:(a)CBS 移去,(b)CBS = ∞。


240 第4章 雙載子接面電晶體

RE′ = 50 10 10 = 4.55kΩ

則由圖 4-49(b)可得
⎛v ⎞
vo = ⎜ π + g m vπ ⎟ RE′
⎝ rπ′ ⎠
因此,
vo ⎛ 1
=⎜ + 15.5 ⎞⎟ × 4.55 = 71.3
vπ ⎝ 5.71 ⎠

因為
vb = vπ + vo = vπ + 71.3vπ = 72.3vπ
故可得輸入電阻 Rin 2 為
vb 72.3vπ
Rin 2 =Δ = = 72.3rπ′ = 72.3 × 5.71 = 412.8kΩ
is vπ
rπ′
電壓增益 A2 為
vo vo vb 71.3 412.8
A2 =Δ = = × = 0.97 V V
vs vb vs 72.3 5 + 412.8
與(a)(b)中之數值比較結果發現置入吊環電容 C BS 之後可使輸入
電阻大幅增加,進而使電壓增益更為接近 1。

4.11 共基放大器
圖 4-50 為一共基(common base)或基極接地(grounded-base)BJT 放大器。
在適當的頻率範圍,基極經由 C B 接地,而訊號源經由 CC1 耦合入射極,
而集極訊號再經由 CC 2 耦合至 RL 。

4.11.1 混合-π模型
以混合 − π 模型代入圖 4-50 之共基放大器,所得的小訊號等效電路,見
圖 4-51,分析如下:
輸入電阻
參考圖 4-51,由射極節點寫下一節點方程式可得
−v
i = π − g m vπ (4-170)

於是由射極端所看到的電阻值為
4.11 共基放大器 241

+VCC

RC
CC 2 = ∞

+
R L vo
Rout −
CC1 = ∞

CB RB Rs
+ v
RE − s
Rin
−VEE

圖 4-50 共基放大器。

BJT
Rs i
E C
vo
+ −
vπ gmvπ
+ ve rπ
vs − RE + RC RL
Rin − Rie Rout
B

圖 4-51 以混合−π模型分析共基放大器。

−1
v −v ⎛1 ⎞ r
Rie = e = π = ⎜⎜ + g m ⎟⎟ = π = re
Δ
(4-171)
i i ⎝ rπ ⎠ 1+ β

可得
Rin = RE Rie = RE re (4-172)

電壓增益
由圖 4-51 的輸出電路部份可寫下
vo = − g m vπ ( RC RL ) = g m ve ( RC RL ) (4-173)

於是電壓增益為
242 第4章 雙載子電晶體電路

BJT
it
E C

vπ gmvπ vt
rπ +
Rs RE RC RC vt
+ Rout −
B

圖 4-52 共基放大器輸出電阻之計算。

v o v o ve Rin
AV =Δ = ⋅ = g m ( RC RL ) (4-174)
v s ve v s Rs + Rin

輸出電阻
由上一節計算 Rout 的方法可得圖 4-52,於○
E 點寫下節點方程式:

vπ vπ v
g m vπ + + + π =0 (4-175)
rπ Rs RE
解得 vπ = 0 。再由○
C 點:
vt v
it = + g m vπ = t (4-176)
RC RC

可得出
vt
Rout =Δ = RC (4-177)
it

4.11.2 T-等效模型
假設 C B 、 CC1 和 CC 2 為短路,且將 BJT 部份以 T-等效模型取代得一等效
電路,見圖 4-53。注意,為簡單起見,我們忽略 ro 的效應。
輸入電阻
參考圖 4-53,輸入電阻 Rin 為
Rin = RE re (4-178)

電壓增益
輸出電壓 vo 可表為
ve
vo = −α ie ( RC RL ) = α ( RC RL )
re
4.11 共基放大器 243

BJT
Rs E − vπ + C
vo
+ re
ve α ie
vs + RE ie =gmvπ RC RL
− Rin Rout

B

(a)

BJT
Rs E C
vo
+ −
ve R vπ re
vs + gmvπ RC R RL
− Rin E
out
− +
B B

(b)

圖 4-53 (a)以 T-等效模型分析共基放大器,(b)另一種等效表示法。

α ( RC RL ) Rin
= vs
re Rs + Rin
Rin
= g m ( RC RL ) vs (4-179)
Rs + Rin

由此可得電壓增益 AV
vo Rin
AV =Δ = g m ( RC RL ) (4-180)
vs Rs + Rin

輸出電阻
輸出電阻 Rout 為

Rout = RC (4-181)

由(4-179)式即可看出全級電壓增益,且發現增益為正號,這表示共基組
態不會提供訊號反轉(signal inversion)。由(4-179)式,
vo α
= ( RC RL ) = g m ( RC RL ) (4-182)
ve re
244 第4章 雙載子電晶體電路

故由(4-180)和(4-182)式可看出,雖然由射極至集極的增益很大,但是
Rin 很小,一旦 Rs 很大,則全級增益就變得很小。因此共基放大器通常
共基放大器通常不會當作 不會當作一電壓放大器使用,反而常以電流緩衝器(current buffer)或電流
一電壓放大器使用,反而 追隨器(current follower)的型態出現。而 CB 組態最重要的特點就是不受
常以電流緩衝器或電流追
米勒電容乘積效應的影響,高頻響應非常好,這點將在頻率響應一章說
隨器的型態出現。
明。

§ 練習題 4.1 現有一 pnp 電晶體電路,如附圖所示,經測量其基極與射極電壓分


別為 VB = +1V 和 VE = +1.7 V 。試問:
(a) 此電晶體的工作模式。
(b) 此電晶體的電流增益 α 和 β 值。

VCC = +10V

RE = 5kΩ

VE = +1.7V
VB = +1V

RB = 100kΩ
RC = 5kΩ

−VEE = −10V

習題 4.1 附圖。

4.2 有一 npn 電晶體,其元件參數如下: WB = 2 μm ,射極摻雜濃度


N E = 1016 cm 3 ,基極摻雜濃度 N B = 4 × 1014 cm 3 ,集極摻雜濃度
N C = 1014 cm 3 。計算:
(a) 集基接面內建電位 Vbi (CBJ ) 。
(b) 若忽略射基接面空乏區寬度,試計算此電晶體到達擊穿崩潰前集
基接面所能承受之最大電壓 VR (max) 。

4.3 參考圖 4-19(a)電路,令 β = 100 。


(a) 將圖 4-19(a)中的 RC = 4kΩ 改為 RC = 8kΩ,計算節點電壓 VC 、VE
以及分支電流。
練習題 245

(b) 將圖 4-19(a)中的 RC 維持在 4kΩ ,再將 4V 電源提升至 6V,計算


節點電壓 VC 、 VE 以及分支電流。

4.4 參考附圖電路,假設 op amp 為理想,令 BJT 參數如下: β = ∞ 和


VCE ( sat ) = 0.2V,且假設 BJT 工作在順向主動區。電路組件值為 R1 =
100Ω , R2 = 1kΩ , RE = 10Ω , V1 = 1V 和 V2 = 1.2V。
(a) 計算 BJT 集極輸出電流 I O 。
(b) 欲使電晶體工作在主動區所能容忍 VO 的極小值 VO (min) 。

R2
IO
R1 VO
V1 −

V2 +
R1
R2 RE

習題 4.4 附圖。

4.5 參考圖 4-24(a),令 BJT 的 β 值為無限大,且 VCC = 15V, R1 = R2


= 100kΩ , RC = 4.3kΩ , RE = 6.8kΩ ,試計算基極、射極與集極電
壓。
4.6 考慮一特殊 BJT,假設其電流電壓關係式為 iC = f (v BE , vCE )
= (av BE
2
+ bv BE )(1 + cvCE ) = β i B ,試推導其小訊號參數 g m 、 rπ 和 ro ,
並繪出其小信號模型。
4.7 現有一 BJT,其各區摻雜如下: N E = 1019 cm 3 , N B = 1017 cm 3 ,
N C = 1015 cm 3 。令其基極穿越時間 τ B 為 8ns 和 β = 100 。電晶體的
其 他 參 數 如 下 : ni = 1.45 × 1010 cm 3 , 介 電 係 數 ∈S = 1.05 × 10 −12
F/cm ,射基接面 EBJ 面積 AEBJ = 100μm 2 ,集基接面 CBJ 面積
ACBJ = 10 4 μm 2 。現將此一電晶體放入一直流偏壓電路中,所獲得的
操作點數值如下:
VBEQ = 0.65V , VBCQ = −3.8V , I CQ = 0.17 mA 。

試計算:
246 第4章 雙載子電晶體電路

(a) 射基接面與集基接面之內建電位。
(b) 射基接面與集基接面之空乏層寬度。
(c) 射基接面與集基接面之空乏電容。
(d) 射基接面之擴散電容 Cb 。
(e) Cπ 和 C μ 。

4.8 參 考 圖 4-37(a) 所 示 之 共 射 放 大 器 , 令 VCC = 9V , R S = 10kΩ ,


RL = 2kΩ , R1 = 27 kΩ , R2 = 15kΩ , RC = 2.2kΩ 和 RE = 1.2kΩ 。
電晶體參數如下: β = 100 和 V A = 100V 。試計算:
(a) 射極偏壓電流 I E 。
(b) 電壓增益 vo v s 。
(c) 令 RL 上 的 電 流 為 io ( io = vo RL ) , 流 經 Rs 上 的 電 流 為 ii
[ ii = v s ( Rs + Rin ) ],計算電流增益 io ii 。
4.9 參考附圖,令 β = 100 和 V A = 100V。

+15V

RC

vo
RS = 1kΩ
RL = 5kΩ

vs +

RE ∞

−15V

習題 4.9 附圖。

(a) 選擇適當的 RE 使具有 1mA 之射極偏壓電流。


(b) 選擇適當的 RC 使具有+5V 之集極直流電壓。
(c) 計算小訊號參數 g m 、 rπ 和 re 。
(d) 計算全級電壓增益 vo v s 。

4.10 如附圖所示,為一 BJT 射極追隨器,令 β = 50 和 V A = ∞ 。試計算:


(a) 直流射極電流。
(b) 小訊號參數 re 、 g m 和 rπ 。
練習題 247

(c) 輸入電阻 Rin 。


(d) 輸出電阻 Rout 。
(e) 全級電壓增益 vo v s 。

+10V

50kΩ
1kΩ ∞ Rout


vo
vs +
− Rin
10kΩ 10kΩ

習題 4.10 附圖。

4.11 附圖所示為以電流 I 偏壓之射極追隨器,假設電晶體具有 V A = 100V


和 β = 100 。

+5V

1kΩ


vo
vs +
− Rin
2mA 2kΩ

習題 4.11 附圖。

(a) 試計算電壓增益 vo v s 和輸入電阻 Rin 。


(b) 倘若放大器的操作可允許基集接面順偏至多 0.3V,試問最大可
能的正輸出訊號為何?又最大可能的負輸出訊號為何?
4.12 參考附圖所示的共基放大器電路,令 BJT β = 100 。計算
(a) 集極偏壓電流 I CQ 。
248 第4章 雙載子電晶體電路

(b) 輸入電阻 Rin 。


(c) 電壓增益 vo v s 。

VCC = +5V

RC = 500Ω
RS=50Ω ∞ ∞
vo

vs + RE=
− Rin 1kΩ

−VEE = −5V

習題 4.12 附圖。

參考書目
1. W. Shockley, “The Theory of p-n Junctions in & Sons, New York, 2006.
Semiconductors and p-n Junction Transistors,” 6. D. A. Neamen, “Semiconductor Physics and
Bell Systems Tech. J., vol. 28, pp. 435-489, Devices: Basic Principles,” 3rd ed.
July 1949. McGraw-Hill, 2002.
2. J. J. Ebers and J. L. Moll. “Large-Signal 7. A. S. Sedra and K. C. Smith, “Microelectronic
Behavior of Junction Transistors,” Proc. IRE, Circuits,” 6th ed. Oxford University Press,
vol. 42, pp. 1761-1772, December 1954. 2009.
3. J. M. Early, “Effects of Space-Charge Layer 8.R. T. Howe and C. G. Sodini, “Microelectronics:
Widening in Junction Transistors,” Proc. IRE, An Integrated Approach,” Prentice-Hall
vol. 40, pp. 1401-1406, November 1952. International, Inc., 1996.
4. S. M. Sze and M. K. Lee, “Semiconductor 9. B. G. Streetman, “Solid State Electronic
Devices, Physics and Technology,” 3rd ed. Devices,” 4th ed. Englewood Cliffs, NJ;
New York: Wiley, 2012. Prentice-Hall, Inc., 1995.
5. S. M. Sze and K. K. Ng, “Physics of 10. R. S. Muller and T. I. Kamins. “Device
Semiconductor Devices,” 3rd ed., John Wiley Electronics for Integrated Circuits,” John
參考書目 249

Wiley & Sons, New York, 1977. “Silicon VLSI Technology, Fundamentals,
11. J. Millman, and A. Grabel. “Microelectronics,” Practice and Modeling”, Prentice Hall, Inc.,
3rd ed. New York: McGraw-Hill Book Co., 2000.
1999. 14. Y. Taur and T. H. Ning, “Fundamentals of
12. J. Millman and C. C. Halkias. “Integrated Modern VLSI Devices,” 2nd ed. Cambridge
Electronics,” McGraw-Hill Book Company, University Press, 2009.
New York, 1972. 15. 張文清,“SPICE 電子電路模擬”,四版,台
13. J. D. Plummer, M. D. Deal and P. B. Griffin, 北鼎茂圖書,2009。
場效電晶體
金氧半場效電晶體(以下簡稱 MOSFET)在 80 年代其重
要性已明顯超過 BJT,成為數位與類比積體電路中之
主要半導體元件。現代個人電腦與各類電子通訊產品
的記憶體與邏輯電路中,均使用大量的 MOSFET。讀
者必須先了解 MOSFET 工作原理、三極區與飽和區的
特性和電流電壓關係式。再進入 MOSFET 直流電路、
交流小訊號模型、與共源、共汲、共閘等放大器的分
析。

5.1 加強式金氧半場效電晶體
5.2 MOSFET 的電流電壓特性
5.3 空乏式金氧半場效電晶體
5.4 接面場效電晶體
5.5 分立電路偏壓
5.6 小訊號模型
5.7 分立電路放大器
5.8 傳輸閘
5.1 加強式金氧半場效電晶體 251

5.1 加強式金氧半場效電晶體
屬-氧化層-半導體(metal-oxide-semiconductor),或稱 MOS 二極體
金 (MOS diode)在半導體元件物理中佔有非常重要的地位。主要因為
此元件對於半導體表面的研究極為有用;另一方面,由 MOS 二極體所
形成的電晶體 MOSFET , 為 超 大 型 積 體 電 路 (very-large-scale
integration,簡稱 VLSI)中之最主要元件。金氧半場效電晶體(metal-oxide-
semiconductor field-effect transistor,簡稱 MOSFET),在超大型積體電路
中(例如微處理機和半導體記憶體)為主要的元件;另外,它也是一重要
的功率元件。
世界上第一個 MOSFET 是在 1960 年被製造出來,使用的是熱氧化矽
基板。當時這個元件的通道長度 L 是 20 μm ,而閘極氧化層厚度 tOX 超
過 1000Å。雖然目前的 MOSFET 在元件尺寸上已作了巨幅的縮小,但是
矽和熱成長二氧化矽(silicon dioxide)一直保持著最佳和最重要的組合。
半導體產業協會(Semiconductor Industry Association,簡稱 SIA)在 1994
年於美國 Colorado 制定規劃了半導體技術的藍圖,這個結果被稱為半導
體國家技術藍圖(National Technology Roadmap for Semiconductor,簡稱
NTRS)。在此藍圖中,矽技術未來的遠景將是──在 2012 年,其最小尺
寸可達 50nm (即 0.05 μm ),每個晶片(chip)上 DRAM(動態隨機存取記憶
體)的位元數可達 256G,DRAM 的晶片尺寸將是 1580 mm 2 。

5.1.1 元件結構
金 氧 半 場 效 電 晶 體 主 要 分 為 加 強 式 (enhancement-type) 和 空 乏 式
(depletion-type)兩種。由於目前在市場上的商用產品幾乎均為加強式
MOSFET,故本節先由加強式 MOSFET 切入介紹,其元件結構參考圖
5-1。
MOSFET 為一四端元件。包括了 p 型基板(substrate),或稱 p 型基體
(body),基板上有兩個 n + -區分別是源極(source)和汲極(drain),而氧化層
SiO 2 上的金屬接點稱為閘極(gate),自 1980 年代起,閘極金屬的材質均
以多晶矽(polysilicon)取代。在圖 5-1(a)的元件結構中,兩個 n + -區間之距
252 第5章 場效電晶體

離稱為通道長度(channel length) L,W 稱為通道寬度(channel width),閘


極金屬下方的氧化層厚度則以 tOX 表示,且這個尺寸決定了金屬與矽基
板 的 電 容 值 , 一 般 以 COX 表 示 閘 氧 化 層 單 位 面 積 的 電 容 (其 單 位 為
F cm 2 ),

S G
金屬或多晶矽
W

D SiO2
tOX

n+

L
n+

B
基體
(a)

閘極
源極 G 汲極
S D

n+ n+
L

B
基極
(b)

圖 5-1 (a)加強式 MOSFET 的元件結構透視圖,(b)MOSFET 的橫截面


圖。
5.1 加強式金氧半場效電晶體 253

D D
iD
+
iG=0
G B G vDS
+
vGS


S S
(a) (b)

圖 5-2 加強式 MOSFET 的電路符號:(a)四端表示法,(b)三端簡化表


示法。

∈OX
COX = (5-1)
tOX
其中 ∈OX 為二氧化矽的介電係數。
n-通道加強式 MOSFET 的電路符號見圖 5-2(a),亦可簡化為圖 5-2(b)
的表示法。其中圖 5-2(a)為四端元件的表示法,基於正常操作下基體端
並無電流傳導,習慣可以三端元件來表示,見圖 5-2(b)。

5.1.2 工作原理
以下我們對加強式 MOSFET 的工作原理作一定性的討論,在討論的過
程將源極接點當作電壓的參考點。當閘極上無外加電壓時,由源極到汲
極看到的是兩個 pn 接面背對背相接,由源極到汲極的唯一電流就是反
向飽和電流。若我們在閘極上外加一足夠大的正電壓,此電壓必須超過 在閘極上外加一足夠大的
臨界電壓(threshold voltage) Vt ,使得中心 MOS 結構被反轉,即造成在兩 正電壓,此電壓必須超過
臨界電壓 Vt。
個 n + 之 間 形 成 了 表 面 反 轉 層 (surface inversion layer) , 也 就 是 通 道
(channel)。此時,源極和汲極間即被一表面傳導 n-通道所連接,因此大
電流可經由此通道流過。至於通道本身的電導可經由變化閘極電壓而達
到調變。而對背面接點(基板接點或稱基體接點)而言,我們應給予一參
考電壓,此電壓通常是整個電路系統中最負的電壓(對 p 型基板而言)。
接著我們將討論 MOSFET 的電流電壓特性,請參考圖 5-3 與表 5-1。
壓控電阻
由表 5-1 中觀察○
A 、○
B 、○
C 三個工作點,即 vDS 固定於 0.1V,而後逐漸
變化 vGS ,由此三個工作點可看出在 vDS 很小時,具有壓控電阻(voltage-
254 第5章 場效電晶體

表 5-1 加強式 MOSFET 六個重要的工作點,令 Vt = 1V

工作點

C ○
B ○
A ○
D ○
E ○
F
電壓
vGS(V) 1 3 5 5 5 5
vDS(V) 0.1 0.1 0.1 2 4 6

變 數 vGS 改變 vDS 改變

controlled resistance)的特性。為了解釋這件事實,首先考慮在閘極上加
電壓,使得半導體表面產生感應的通道。此時若我們加一小的汲極電
壓,則電子經傳導通道由源極遷移至汲極,造成電流 iD 。由於汲極電壓
很小,因此通道的行為好像一電阻,見圖 5-3(a),造成 iD 與汲源電壓 vDS
幾乎成正比。
在維持 vDS 很小的條件下,若我們降低 vGS ,則通道深度變淺,見圖
5-3(b),因此通道電阻增加,即具有壓控電阻的特性。例如在由工作點○
A
變遷至工作點○
B 時, vDS 固定,而 vGS 由 5V 降至 3V,造成通道變淺,電
阻增加,即 rDSB 大於 rDSA ,見圖 5-4。注意圖 5-4 並非 MOSFET 的全面特
MOSFET 在 iD − vDS 特性 性,只是 iD − vDS 特性曲線在原點附近的放大圖,其目的為解釋 MOSFET
曲線之原點附近具有壓控 在 iD − vDS 特性曲線之原點附近具有壓控電阻的性質。若 vGS = Vt ,即工
電阻的性質。
作點○
C ,則通道處於導通與截止之臨界狀態,此時由於通道開始截止,
電流很小可以忽略,見圖 5-3(c)與圖 5-4 中之○
C 點。

vDS = 0.1V vDS = 0.1V

vGS=5V vGS=3V
S G D S G D

iD iD iD iD

n+ n+ n+ n+

通道 通道
空乏區 空乏區
(a) (b)
5.1 加強式金氧半場效電晶體 255

vDS=0.1V vDS=2V

vGS=1V vGS=5V
S G D S G D

iD ≅ 0 iD ≅ 0 iD iD

n+ n+ n+ n+

通道
空乏區 空乏區
(c) (d)

vDS = 4V vDS = 6V

vGS = 5V vGS = 5V
S G D S G D
iD iD iD iD

n+ n+ n+ n+

夾止點 夾止點
通道 通道
空乏區 空乏區
(e) (f)

圖 5-3 加強式 MOSFET 六個重要的工作點。

就 MOSFET iD − vDS 全面的特性曲線而言,主要分為兩個操作區間──


三極區(triode region)與飽和區(saturation region),為了解釋這兩個操作區
間的重要特性,我們選擇了表 5-1 中的○ D 、○ E 、○F 三個工作點,分別說
明如下:
三極區
D ,並對照圖 5-3(d)。在 vGS > Vt 的條件下,矽表面
觀察表 5-1 之工作點○
的反轉層已經形成。若我們增大汲源電壓 vDS ,將使得 n + 汲極至 p 基板
256 第5章 場效電晶體

iD vGS = 5V

斜率 =1/rDSA
A vGS =4V

vGS =3V

斜率 =1/rDSB
vGS =2V
B
C vGS =1V=Vt

vDS

圖 5-4 vDS 維持很小,變化 vGS 值,可獲得不同的通道電阻值,顯現壓


控電阻的特性。

之空乏區寬度增加,於是汲極附近的通道較窄,而源極附近的通道較
寬;也就是當 vDS 加大之後,整個通道上通道深度不再均勻,於是特性
曲線開始偏離線性區,此時滿足
vGD > Vt (5-2)
或可寫成
v DS < vGS − Vt (5-3)
一 MOSFET 滿足以上二式者我們稱為三極區。
三極與飽和的邊界
汲極附近的反轉層寬度 觀察表 5-1 之工作點○
E ,並對照圖 5-3(e)。此時,汲極附近的反轉層寬
( 通道深度 ) 變為零,這就
度(通道深度)變為零,這就是所謂的夾止點(pinch-off point)。故夾止條件
是所謂的夾止點。
可寫成
vGD = Vt (5-4)
或可表為
vDS = vGS − Vt (5-5)
飽和區
F 之工作電壓為 vGS = 5V 和 v DS = 6V ,其元件截面的操
表 5-1 之工作點○
作狀態顯示於圖 5-3(f) 。代表超過夾止點之後,此時若再進一步增加
vDS ,則所加上的額外電壓將落在汲極附近的空乏區(高電阻區),這對通
5.2 MOSFET 的電流電壓特性 257

vGD = Vt
iD
三極區 飽和區
vGS = 5V
15 E F
vGD >Vt

D vGD <Vt

10
vGS = 4V

5
vGS = 3V
A
B
vGS = 2V
C
0 1 2 3 4 5 6 vDS(V)
0.1 vGS = 1V = Vt

圖 5-5 綜合圖 5-3 之分析所得的電流電壓特性曲線。

道內的載體傳導並沒有貢獻;於是,電流將持續流過通道;流經通道的
電子將被掃過在汲極端之夾止區到達汲極端點。在理想狀態下(即不考慮
通道長度調變效應),電流無法再增加,即維持一常數。此時應滿足
vGD < Vt (5-6)

v DS > vGS − Vt (5-7)
則此定電流區一般稱為飽和區或夾止區(pinch-off region)。綜合以上所
述,可得加強式 MOSFET 之電流電壓特性曲線,見圖 5-5。

5.2 MOSFET 的電流電壓特性

5.2.1 三極區的理論推導 f 選擇性閱讀 e

首先參考圖 5-6,若 vGS 大於 Vt ,則出現傳導通道,且 vDS 將引發一遷移


電流 iD 由汲極流向源極。電壓 vDS 使得由汲極至基體的逆偏超過由源極
258 第5章 場效電晶體

至基體的逆偏,因此在汲極附近將有一較寬的空乏層。但為了簡化起
見,在此我們假設沿著通道的壓降很小,以致於沿著整個通道上在不同
位置的臨界電壓和空乏層寬度可近似視為相同。
如圖 5-6 所示,V ( y ) 代表沿通道上任一點 y 相對於源極的電壓,簡稱
為通道電位;而在 y 這一點處,閘極至通道的電壓為 vGS − V ( y ) 。我們
假設此電壓超過臨界電壓 Vt ,因此在 y 處每單位面積感應的反轉層電荷
Qn ( y ) 為
Qn ( y ) = COX [vGS − V ( y ) − Vt ] (5-8)
而在通道中,長度 dy 的電阻 dR 為
dy
dR = (5-9)
Wμ nQn ( y )
其中 W 為垂直於圖 5-6 平面寬度和 μ n 為通道中電子的平均遷移率。在
dy 上所形成壓降 dV 為
iD
dV = iD dR = dy (5-10)
Wμ nQn ( y )

將(5-8)式代入(5-10)式得

vDS

iD
vGS
S G D

n+ n+
V(y)

通道
y
空乏區 y y+dy
y=0 y=L
p型基板

圖 5-6 三極區的理論推導,令 vGS > Vt 且 vGD > Vt。


5.2 MOSFET 的電流電壓特性 259

iD dy = Wμ n COX [vGS − V ( y ) − Vt ] dV (5-11)


上式中對左式沿著通道由 y = 0 積分至 y = L 以及對右式由 V = 0 積分至
V = vDS :
L v DS
i D ∫ d y = Wμ n COX ∫ [vGS − Vt − V ( y )] dV (5-12)
0 0


1 W 2
iD = μ nCOX [2(vGS − Vt ) vDS − vDS ] (5-13)
2 L
注意,(5-13)式僅代表三極區之特性,其適用範圍為 vGS > Vt 且 vGD > Vt 。 (5-13) 式僅代表三極區之
特性,其適用範圍為 vGS >
Vt 且 vGD > Vt。
5.2.2 理想情況
先前我們已經討論過 iD − vDS 特性,見圖 5-7(b)。由此圖中可看出有二操
作區間:三極區和飽和區(又稱為夾止區)。以下就各操作區間之特性方
程式作一整理。
三極區
此元件在三極區的特性由(5-13)式改寫如下:
1 2
iD = k n [2(vGS − Vt )vDS − vDS ] (5-14)
2
其中 k n 被定義
Δ ⎛W ⎞
k n = μ n COX ⎜ ⎟ (5-15)
⎝L⎠
稱之為元件轉導參數(device transconductance parameter)。對一定的 IC 製
程而言, μ n COX 這個量為一常數(對標準的 NMOS 製程,0.1 μ m 的氧化
層厚度,此值約 20 μA/V 2 ),因此由元件的外觀比值(aspect ratio)W/L 來
決定其轉導參數 k n 。
另一方面,也有另一種常見的表示法,即定義
k n′ = μ nCOX (5-16)

因此(5-14)式可表示為
1 W
iD = k n′ [2(vGS − Vt )vDS − vDS2 ] (5-17)
2 L
請注意欲使(5-14)式成立,則必須同時滿足下面兩個條件:
vGS > Vt (5-18)


260 第5章 場效電晶體

vDS = vGS − Vt
iD (μA) iD (μA)
三極區 飽和區
160 160 vGS = 5V
vDS<vGS −Vt
1
iD = k (v GS − Vt ) 2
2 n
vDS > vGS − Vt

90 90 vGS = 4V

1
iD = k n v 2DS
2
40 40 vGS = 3V

10 10 vGS = 2V
−1 0 1 2 3 4 5 vGS (V) 0 1 2 3 4 5 6 vDS(V)
Vt vGS = 1V = Vt
(a) (b)
2
圖 5-7 一 n-通道加強式 MOSFET(kn = 20μA/V 和 Vt = 1V)之理想電流電壓特性:(a) 飽和區中之
iD − vGS 特性曲線,(b) iD − vDS 特性曲線。

vDS < vGS − Vt (5-19)

三極與飽和的邊界
請注意(5-14)式,在 iD − vDS 平面上原為一過原點且曲率為負的拋物線。
現在嘗試找出此拋物線之頂點,即令
∂iD
=0 (5-20)
∂vDS

可得
vDS = vGS − Vt (5-21)

三極與飽和區的邊界之物 此即原先在(5-5)式所提之三極區與飽和區之邊界,其物理意義為──通
理意義為通道在汲極端正 道在汲極端正好夾止。若將(5-21)式代入(5-14)式消去( vGS − Vt )得
好夾止。
1
iD = 2
k n vDS (5-22)
2
此即圖 5-7(b)中虛線的拋物線方程式。
5.2 MOSFET 的電流電壓特性 261

飽和區
在接受飽和區為一理想的定電流源之前提下,飽和電流即拋物線之頂點
電流,於是可將 vDS = vGS − Vt 代回三極區之拋物線方程式(5-14)式並消去
vDS 可得
1
iD = k n (vGS − Vt ) 2 (5-23)
2
或根據(5-16)式,
1 W
iD = k n′ (vGS − Vt ) 2 (5-24)
2 L
注意,上式成立的前提為
vGS > Vt (5-25)
以及
vDS > vGS − Vt (5-26)

因此,在飽和區中加強式 MOSFET 之行為好像一電壓控制電流源,其


平方定律( square-law)之控制特性見圖 5-7(a)。

5.2.3 實際的考量
通道長度調變效應
圖 5-7(b)的特性指出給定一 vGS 後,在飽和區中電流 iD 為一常數,與 v DS 無
關。換言之,元件就好像一電流源且其輸出電阻為無窮大。但事實上,
實際的加強式 MOSFET 的輸出電阻為有限值,見圖 5-8。

iD vGS = 5V

vGS = 4V

vGS = 3V
斜率 = 1 / ro
vGS = 2V

−V A = −1 / λ 0 vGS = Vt = 1V vDS

圖 5-8 飽和區中 vDS 對 iD 的效應,此即通道長度調變效應。


262 第5章 場效電晶體

如圖 5-8 所示,在飽和區中 MOS 電晶體的汲極電流並非與 vDS 無關。


當 vDS 增加,將使汲極附 這是因為當 vDS 增加,使得在汲極附近的空乏層變寬,導致有效通道長
近的空乏層變寬,導致有 度縮短,於是電流 iD 上升。這種效應稱之為通道長度調變效應(channel-
效通道長度縮短。
length modulation effect),通常可以一經驗公式描述如下:
1
iD = k n (vGS − Vt ) 2 (1 + λvDS ) (5-27)
2
其中通道長度調變參數(channel-length modulation parameter) λ 一般約在
0.1 至 0.01V −1 ,它代表在飽和區時 vDS 對 iD 的影響。
在飽和區時, iD − vDS 特性曲線為直線,對這些直線作外插可交 vDS 軸
於 vDS = −VA 這一點,其中
1
VA = (5-28)
λ
因此(5-27)式亦可表為
1 ⎛ v ⎞
iD = k n (vGS − Vt ) 2 ⎜1 + DS ⎟ (5-29)
2 ⎝ VA ⎠

基體效應
首先考慮一測量臨界電壓之電路,見圖 5-9(a)。本電路將 MOSFET 偏壓
在飽和區,因此
kn
iD = (vGS − Vt ) (5-30)
2
故在 iD − vGS 平面上應為一直線,且此直線在 vGS 軸上之截距即為臨界

iD (A1/2)
G D
iD vSB = 0V V1 V2 V3

B V3 > V2 > V1 > 0


+ −
vGS vSB
− +
S Vt 0 Vt 1 Vt 2 Vt 3 vGS (V)
(a) (b)

圖 5-9 基體效應:(a)測試電路,(b)基體效應對 i D − v GS特性的影響。


5.2 MOSFET 的電流電壓特性 263

電壓 Vt 。但是,實驗結果卻出現當源極至基板之逆偏電壓 vSB 增加時,


臨界電壓 Vt 亦增加之現象,見圖 5-9(b),此一現象稱為基體效應(body
effect),解釋如下。
在積體電路中,許多 MOS 電晶體的基板是接在一起的。為了使基板
至通道接面維持逆偏狀態,在 NMOS 電路中我們通常將基板接至最負的
電源供應器(在 PMOS 電路中接至最正)。因此,介於源極與基體間的逆
偏電壓 vSB 將對元件操作產生影響,其結果為元件的臨界電壓 Vt 將隨 vSB 臨界電壓 Vt 將隨 vSB 之變
化而改變。
之變化而改變。由半導體理論可證明
Vt = Vt 0 + γ ( vSB + 2φ F − 2φ F ) (5-31)

其中 Vt 0 為無基體偏壓 ( vSB = 0 ) 時的臨界電壓, γ 稱為基體效應係數


(body-effect coefficient),而 φ F 稱為佛米位能(Fermi potential)。根據半導
體理論推導可得
kT ⎛ N A ⎞
φF = ln⎜ ⎟ (5-32)
q ⎝ ni ⎠

以及
2q ∈S N A 2q ∈S N A
γ= = (5-33)
COX ∈OX t OX

5.2.4 p-通道加強式 MOSFET f 選擇性閱讀 e

參考圖 5-1 NMOS 的元件結構,若將此結構的 n、p 互換,即在 n-型基


板上重摻雜兩個 p+的源極與汲極區,則形成一 p-通道加強式 MOSFET,
其電路符號見圖 5-10(a)和(b)現將其特性方程式整理如下:

D S
+ iDP
vSG
+

G B G vSD
iG = 0 −

S D
(a) (b)

圖 5-10 p-通道加強式 MOSFET 的電路符號。


264 第5章 場效電晶體

三極區
在三極區內
1
iDP = k p [2(vSG − | Vtp | )vSD − vSD2 ] (5-34)
2
其中臨界電壓 Vtp < 0 和
W
k p = μ p COX ⎛⎜ ⎞⎟ (5-35)
⎝L⎠
μ p 代表電洞遷移率。而上式之適用範圍為
vSD < vSG − | Vtp | (5-36)
三極和飽和的邊界
在邊界處之特性為
vSD = vSG − | Vtp | (5-37)

圖 5-11(b)中邊界(虛線指示)之方程式為
1 2
iDP = k p vSD (5-38)
2

iDP (μA) iDP (μA)


v SD = v SG − Vtp

三極區 飽和區
160 160 vSG = 5V
v SD < v SG − Vtp
1
i DP = k p (v SG − Vtp ) 2
2
v SD > v SG − Vtp

90 90 vSG = 4V

1 2
i DP = k p v SD
2
40 40 vSG = 3V

10 10 vSG = 2V
−1 0 1 2 3 4 5 vSG (V) 0 1 2 3 4 vSD(V)
v SG = 1 V = Vtp
Vtp
(a) (b)
2
圖 5-11 一 p-通道加強式 MOSFET(kp = 20μA/V 和 Vtp = −1V):(a)飽和區 iDP − vSG 特性曲線,(b)
iDP − vSD 特性。
5.2 MOSFET 的電流電壓特性 265

飽和區
在飽和區內,
1
iDP = k p (vSG − | Vtp | ) 2 (5-39)
2
上式之適用範圍為
vSD > vSG − | Vtp | (5-40)
在飽和區中 iDP − vSG 之特性曲線繪於圖 5-11(a)。

■例題 1
圖 5-12 所示為一 MOSFET 分壓器電路,I = 40 μA 。假設三個 MOSFET
的特性匹配且 Vt = 1V , μ nCOX = 20 μ A / V 2 。試設計出所有 MOSFET 的
W/L 值以滿足 V1 = 5V , V2 = 3V 。

解:
由(5-6)式得知三個 MOSFET 均工作於飽和區,於是代入(5-24)式解

1 W W
40 = × 20 × ⎛⎜ ⎞⎟ × (3 − 1) 2 ⇒ ⎛⎜ ⎞⎟ = 1
2 ⎝ L ⎠1 ⎝ L ⎠1
1 W W
40 = × 20 × ⎛⎜ ⎞⎟ × (2 − 1) 2 ⇒ ⎛⎜ ⎞⎟ = 4
2 ⎝ L ⎠2 ⎝ L ⎠2
1 W W
40 = × 20 × ⎛⎜ ⎞⎟ × (3 − 1) 2 ⇒ ⎛⎜ ⎞⎟ = 1
2 ⎝ L ⎠3 ⎝ L ⎠3

+8V

I = 40μA

Q1

V1 = 5V

Q2

V2 = 3V

Q3

圖 5-12 例題 1:電路圖。
266 第5章 場效電晶體

f 選擇性閱讀 e 5.3 空乏式金氧半場效電晶體

5.3.1 元件結構
如圖 5-13(a)所示,為一 n-通道空乏式金氧半場效電晶體(depletion-type
空乏式 MOSFET 在介於 MOSFET)。它與 n-通道加強式元件最主要的不同在於空乏式元件在介於
源極與汲極間的矽表面存 源極與汲極間的矽表面存在一 n-通道,且此通道是在元件製作時即已完
在一 n-通道,且此通道在 成,並非由電感應產生,故為一正常導通元件(normally-on device),即
元件製作時即已完成。
在閘極電壓為零時即有通道產生。反觀加強式元件,在閘源電壓為零時
根本無通道產生,故為正常截止元件(normally-off device)。
在製程上,空乏式元件要比加強式元件多一道製作步驟──利用離子
佈植法(ion implantation)植入 n-通道。換言之,若我們在矽表面通道區植
即使在 vGS = 0 時亦可得到 入大量的 n 型雜質,則即使在 vGS = 0 時亦可得到一強烈的傳導通道;通
一強烈的傳導通道。 常其臨界電壓可控制在−1 至− 4V 的範圍內。由於臨界電壓是負值,故我
們以 VtD 表示。另外,n-通道空乏式元件的電路符號見圖 5-13(b)。

5.3.2 電流電壓特性
現將 n-通道空乏式 MOSFET 的電流電壓特性整理如下,讀者可注意到
除了臨界電壓 Vt 經由製程調整為負值的 VtD 之外,其餘均與加強式

閘極
源極 G 汲極
S D D

n+ n+
G

植入通道

p
S

B 基體

(a) (b)

圖 5-13 n-通道空乏式 MOSFET:(a)元件結構,(b)電路符號。


5.3 空乏式金氧半場效電晶體 267

MOSFET 相同。
由(5-14)式可得空乏式元件在三極區的特性:
1
iD = k n [2(vGS − VtD )v DS − v DS
2
] (5-41)
2
其中 k n 仍被定義為

⎛W ⎞
k n = μ n COX ⎜ ⎟ (5-42)
⎝L⎠

欲使(5-41)式成立,則必須滿足
vDS < vGS − VtD (5-43)

而三極區和飽和區的邊界必定滿足
vDS = vGS − VtD (5-44)

上式的物理意義仍為 n-通道在汲極端點正好夾止。上式代入(5-41)式消
去 (vGS − VtD ) 得
1
iD = k n v 2 DS (5-45)
2
此即圖 5-14(b)中邊界虛線的拋物線方程式。
將(5-44)式代入(5-41)式消去 vDS 可得
1
iD = k n (vGS − VtD ) 2 (5-46)
2
因此,在飽和區中空乏式 MOSFET 的行為就好像一電壓控制電流源,
其平方定律的控制特性見圖 5-14(a)。此外,習慣上將 vGS = 0V 時所對應
之飽和電流以 I DSS 表示。
1
I DSS = k n | VtD |2 (5-47)
2
見圖 5-14 中之標示。
前面已經討論過 iD − vDS 特性,如圖 5-14(b)所示。由此圖中可看出有
二操作區間:三極區和飽和區。此特性與 n-通道 JFET(下一節會提到)
十分類似,但有一點不同的是──在 MOSFET 中可允許正的閘源電壓。
注意,正的 vGS 可以吸引更多電子進入通道,使通道“加強”,通道電
子濃度上升。因此,一個空乏式 MOSFET 亦可在加強模式下操作。這 空乏式 MOSFET 亦可在
也是空乏式 MOSFET 與 JFET (5.4 節介紹)主要不同之處。可先參考圖 加強模式下操作。

5-19。
268 第5章 場效電晶體

iD (μA) vDS = vGS − VtD


空乏模式 加強模式 iD (μA)
202.5 三極區 飽和區
202.5
vGS = + 0.5V
vDS < vGS − VtD
加強模式
160 IDSS
160 IDSS vGS = 0V

1 空乏模式
iD = kn (vGS − VtD ) 2
2
vDS > vGS − VtD
90 90 vGS = −1V

40 40 vGS = −2V

10 10 vGS = −3V
−5 − 4 −3 −2 −1 0 1 vGS (V) 0 1 2 3 4 vDS(V)
vGS = − 4V = VtD
VtD
(a) (b)
2
圖 5-14 一 n-通道空乏式 MOSFET(VtD = −4V 和 kn = 20μA/V ):(a)在飽和區的 iD − vGS 特性曲線,
(b) iD − vDS 特性曲線。

f 選擇性閱讀 e 5.4 接面場效電晶體

5.4.1 元件結構
接面場效電晶體(Junction Field-Effect Transistor,簡稱 JFET)有二種型
式:n-通道(n-channel)元件和 p-通道元件。以下我們將詳細介紹 n-通道
JFET 的操作。至於 p-通道 JFET 的操作則與 n-通道相似,只是所有電流
和電壓的極性反過來罷了。
圖 5-15(a)為一 n-通道 JFET 的基本結構。它是由一塊 n 型矽半導體,
並在其上下兩側擴散 p 型區所組成的。此 n 型區稱為通道(channel)且其
長度為 L ,而 p 型區與金屬構成歐姆接點並且連接在一起稱為閘極
(gate),一般 p 型區為高摻雜,以 p+表示。另外,在通道的兩端製作歐
姆接點,此二端點分別稱為源極(source)和汲極(drain)。
5.4 接面場效電晶體 269

閘極 G

金屬
D
+
p

S n−通道 D G
源極 L 汲極

p+
S

(a) (b)

圖 5-15 n-通道 JFET:(a)基本元件結構,(b)電路符號。

圖 5-15(b)顯示 n-通道 JFET 的電路符號。注意在閘極線上有一箭頭,


其方向即指示元件的型式;以 n-通道元件為例,其箭頭指向 n-通道。雖
然 JFET 通常是對稱的(也就是,汲極和源極可互換),但是為了方便分析
和設計 JFET 電路,常需要指示出那一端是源極。基於此原因,我們亦
可將閘極線畫在靠近源極這一側。
由圖 5-15(a)可看出 JFET 有一個 pn 接面,即閘極至通道接面(gate-to-
channel junction)。在絕大部份的應用上,此接面必須為逆向偏壓,因此
僅有一極小的漏電流(約 10−9A)流入閘極端。這也代表由閘極看進去的輸
入阻抗相當高。

5.4.2 工作原理
以下我們以表 5-2 分析 JFET 之工作原理。此表數值的選取與表 5-1 類
似,只是正的臨界電壓 Vt 對應負的夾止電壓(pinch-off voltage) VP。圖 5-16
為 JFET 對照表 5-2 所得六個工作點的元件操作截面圖,說明如下:
壓控電阻與夾止電壓
現在在汲極與源極間加上一小的正電壓 vDS ,見圖 5-16(a)。若 vGS = 0 ,
則存在一窄的空乏區且電流 iD 將在通道中流動,而 iD 的大小由 vDS 和通
道電阻(channel resistance) rDSA 決定。注意因 vDS 很小,以致於在通道兩端
之逆偏電壓幾乎相同,意即有效通道深度(effective channel depth)將是均
勻的。此時,操作點位於圖 5-17 的○
A 點且其斜率為 1 rDSA 。
270 第5章 場效電晶體

表 5-2 JFET 六個重要的工作點,令 VP = −4V

工作點

C ○
B ○
A ○
D ○
E ○
F
電壓
vGS(V) −4 −2 0 0 0 0
vDS(V) 0.1 0.1 0.1 2 4 6
變 數 vGS 改變 vDS 改變

當 vGS 變為負時,空乏區變寬導致通道變窄,見圖 5-16(b)。而通道變


窄將使電阻 rDSB 增加,其中 rDSB > rDSA ,即在 iD − vDS 特性上其直線的斜率
變小,見圖 5-17 的工作點○
B 。由圖 5-17JFET 特性可看出,在 vDS 小的
時候,元件的行為好像一線性電阻 rDS ,其值可被一電壓 vGS 所控制,利
用這種特性可作一壓控電阻。
當 vGS = VP 時,整個通道 若我們持續在負的方向增加 vGS ,則到達某一 vGS 值時整個通道區域將
區域將被空乏區所佔滿, 被空乏區所佔滿。換句話說,通道載體將轉變為完全空乏,因此電流為
其中 VP 稱為夾止電壓。
零。這種狀況稱為夾止(pinch-off),見圖 5-16(c)且對照圖 5-17 的工作點

C 。在夾止發生時的電壓 vGS 定義為夾止電壓(pinch-off voltage),以 VP 表
示,其中
VP =Δ vGS iD = 0,v DS = 小
(5-48)

因此對 n-通道元件而言, VP 為負值。而在圖 5-17 的特性上,電流等於


零的一水平線即代表夾止。

vGS= 0V G iG=0 vGS = −2V G iG = 0

p+ 空乏區 p+
iD 空乏區 iD
S 電阻 = rDSA S
n n 電阻 = rDSB
D D
空乏區
iS = i D p+ iS = i D p+

G G

vDS = 0.1V vDS = 0.1V

(a) (b)
5.4 接面場效電晶體 271

iG = 0 vGS = 0V G iG = 0
vGS = −4V = VP G
p+
p+
空乏區 iD
iD = 0 S
S n
空乏區
D D
空乏區
iS = i D iS = i D p+
p+

G G

vDS = 0.1V vDS = 2V

(c) (d)

iG = 0 vGS = 0V iG = 0
vGS = 0V G G

p+ p+
空乏區 iD 空乏區 iD
S S
n n
D D
空乏區
iS = i D p+ iS = i D p+

G G

vDS = 4V vDS = 6V

(e) (f)

圖 5-16 JFET 對照表 5-2 所得六個工作點的元件操作截面圖。

三極區
現在考慮 vDS 增加的操作情況。首先將 vGS 固定在 0V。此時源極附近閘
至通道接面(gate-to-channel junction,簡稱 GCJ)的電壓為零,但是向汲 向汲極移動時,GCJ 的逆
極移動時,GCJ 的逆偏電壓將愈來愈大。由 pn 接面的理論得知,空乏 偏電壓將愈來愈大。

區的寬度與逆偏的大小有關,因此空乏區將呈現出傾斜的形狀,見圖
5-16(d),結果造成汲極端的通道是最窄的。
272 第5章 場效電晶體

iD vGS = 0V
斜率 =1/rDSA
A vGS = −1V

vGS = −2V

斜率 =1/rDSB
vGS = −3V
B
C vGS = − 4V = VP

0.1 0.2 vDS(V)

圖 5-17 JFET 在 vDS 很小時可當作一壓控電阻使用。

因此當 vDS 增加時,通道電阻將增加,造成 iD − vDS 特性開始彎曲且變


為非線性。只要通道截面為圖 5-16(d)所示且汲極端尚未夾止,則 JFET
工作於三極區,此時對應
vDG < −VP (5-49)

vDS < vGS − VP (5-50)

三極與飽和的邊界
若我們繼續增加 vDS ,則到達某一 vDS 值時在汲極端通道將出現夾止,見
當汲極端之逆偏電壓值正 圖 5-16(e)。而這件事情發生必須滿足汲極端之逆偏電壓值正好等於夾止
好等於夾止電壓,則汲極 電壓;也就是,
端將出現夾止。
vDG = −VP (5-51)
或可表示為
vDS = vGS − VP (5-52)

飽和區
參考圖 5-16(f),此時若再進一步增加 vDS ,則所加上的額外電壓將落在
汲極附近的空乏區(高電阻區),這對通道內的載體傳導並沒有貢獻;於
是,電流 iD 在到達 vDG = −VP (因為固定 vGS = 0,故此條件相當於 vDS = −VP )
之後即維持一常數,此常數稱為汲源飽和電流(drain-to-source saturation
current),以 I DSS 表示,
5.4 接面場效電晶體 273

vDG= −VP
iD
三極區 飽和區
vGS=0V
15 E F
vDG<−VP

D vDG>−VP
10
vGS= −1V

5
vGS= −2V
A
B
vGS= −3V
C
0 1 2 3 4 5 6 vDS(V)
0.1 vGS = −4V = VP

圖 5-18 n-通道 JFET 綜合圖 5-16 電流電壓特性曲線。

I DSS = iD vGS =0,vDG = −VP (5-53)

此時滿足
vDG > −VP (5-54)


v DS > v GS − V P (5-55)

這裡要特別注意所謂夾止共有兩種:第一種為通道全部被夾止,如圖 所謂夾止共有兩種:第一
5-16(c)所示。第二種為通道僅在汲極端被夾止,如圖 5-16(f)所示。以上 種為通道全部被夾止,如
圖 5-16(c)所示。第二種為
兩者並不相同。前者是通道中的荷電載體完全被空乏,因此電流為零。
通道僅在汲極端被夾止,
但後者是電流將持續流過通道;流經通道的電子將被掃過通道在汲極端 如圖 5-16(f)所示。
之夾止區而到達汲極端點。其結果是橫越有效通道的電壓和經過通道的
電流維持一常數,至於落在通道汲極附近空乏區上的電壓則是外加電壓
vDS 和 | VP | 的差。綜合以上的討論,可得出 JFET 的電流電壓特性曲線,
見圖 5-18。
274 第5章 場效電晶體

5.4.3 電流電壓特性
如圖 5-19(b)所示,JFET 有二分開的操作區間:三極區(triode region)和
飽和區(saturation region)。兩者被一拋物線邊界所分開,如圖 5-19(b)中
之虛線所示。
三極區
在三極區內之 iD − vDS 特性可以一拋物線來近似,寫成
I DSS 2
iD = 2
[2(vGS − VP )vDS − vDS ] (5-56)
VP
上式的適用範圍為
vDG < −VP (5-57)

vDS < vGS − VP (5-58)
其中 VP 和 I DSS 為 JFET 的二元件參數,其值通常在元件的資料簿中會指定。

iD (mA)
vDS = vGS − VP
iD (mA)
16 IDSS 三極區 飽和區
16 IDSS vGS = 0V
vDS<vGS−VP

2
⎛ v ⎞ vDS>vGS−VP
i D = I DSS ⎜1 − GS ⎟ 9
⎝ VP ⎠ 9 vGS = −1V

I DSS 2
iD = v DS
VP2
4 4 vGS = −2V

1 1 vGS = −3V

−5 − 4 −3 −2 −1 0 1 vGS (V) 0 1 2 3 4 vDS(V)


vGS = − 4V = VP
VP −V P
(a) (b)

圖 5-19 一 n-通道 JFET(IDSS = 16mA,VP = −4V);(a)在飽和區 iD − vGS 特性曲線,(b) iD − vDS 特


性曲線。
5.4 接面場效電晶體 275

先前曾經提過 JFET 在三極區內之行為像一電阻 rDS ,且其值可被閘源


電壓 vGS 所控制。現在我們再次考慮 v DS 很小時,則(5-56)式可近似為
2 I DSS (vGS − VP )
iD ≅ 2
v DS (5-59)
VP
此線性關係代表接近原點的 iD − vDS 特性。因此,線性電阻 rDS 為
v DS
rDS = (5-60)
iD vDS = 小

得通道電阻 rDS 為
2
VP
rDS ≅ (5-61)
2 I DSS (vGS − VP )

三極和飽和的邊界
在汲極端之逆偏電壓等於夾止電壓時,即發生夾止;也就是
vDG = −VP (5-62)

此方程式代表三極區和飽和區的邊界,它也可以被寫成
vDS = vGS − VP (5-63)

代入(5-56)式得
I DSS 2
iD = 2
vDS (5-64)
VP

此即圖 5-19(b)中虛線的拋物線方程式。
飽和區
在飽和區內, iD − vDS 特性是水平的直線,其高度由 vGS 值所決定。所以
在飽和區內,JFET 的行為好像一定電流源,且其值被 vGS 所控制。更進
一步說,此定電流源具有一理想的無窮大電阻且控制源之輸入阻抗亦為
無窮大。此電流源之控制關係式可以被一平方定律(square law)所近似,
2
⎛ v ⎞
iD = I DSS ⎜1 − GS ⎟ (5-65)
⎝ VP ⎠

(5-65)式為飽和區的特性,我們將它畫在圖 5-19(a)。而(5-65)式之適用範
圍為
v DG > −VP (5-66)


vDS > vGS − VP (5-67)
276 第5章 場效電晶體

通道長度調變效應
實際的 JFET 在飽和時的 iD − vDS 特性曲線之斜率並不為零,見圖 5-8。
在飽和區內,若 vDS 繼續 主要是因為在飽和區內,若 vDS 繼續增加,將使得原本在汲極端的夾止
增加,將使得原本在汲極 點向源極方向移動,造成有效通道長度(effective channel length)縮短,見
端的夾止點向源極方向移
圖 5-16(f),於是通道內的電場增加,造成電流繼續增加。
動,造成有效通道長度縮
短。 如圖 5-8 所示,飽和區的特性為一直線,若我們將這些直線外插,最
後交 vDS 軸於一點,並將此點所對應的 vDS 值以 − VA 表示。其中 VA 為一正
值,對於一以標準 IC 製程所製造出來的 JFET 而言,VA 約 100V。在此,
我們將飽和特性改寫為
2
⎛ v ⎞ ⎛ v ⎞
iD = I DSS ⎜1 − GS ⎟ ⎜1 + DS ⎟ (5-68)
⎝ VP ⎠ ⎝ VA ⎠

其中我們放入一因子用以描述 iD 對 vDS 之線性關係。

5.4.4 金半場效電晶體
元件結構
另一種型式的 JFET 稱為金半場效電晶體(metal-semiconductor field-effect
transistor,簡稱 MESFET),與矽製 JFET(又稱為 pn JFET)不同的是──
在 MESFET 中,閘接面使 在 MESFET 中,閘接面使用蕭基位障接面(Schottky barrier junction)而非
用蕭基位障接面而非 pn pn 接面。雖然 MESFET 也可用矽來製造,不過一般均用砷化鎵(GaAs)
接面。
或是其它化合物半導體材料。而使用 GaAs 之主要原因為在此材料中,
電子遷移率特別高,為一高速 FET 元件。
圖 5-20 為一 GaAs MESFET 之簡化橫截面圖,它是在一個具有極高電
阻 值 的 半 絕 緣 基 板 (semi-insulating substrate) 上 沈 積 一 層 薄 的 磊 晶 層
(epitaxial layer)以作為作用區(active region)。
在圖 5-20 中,吾人在閘源極間加上一逆向偏壓將可在金屬閘極下方感
應一空乏區,以達到調變通道電阻的目的。若此負電壓足夠大,以致於
空乏區擴展至與基板接觸時,將產生夾止(pinch-off)狀態,其工作原理與
JFET 非常類似。
電流電壓特性
MESFET 之電流電壓關係式之寫法與 JFET 有些不同,這是為了使
MESFET 電流電壓特性之表示式與文獻中之寫法一致。在 vGS ≤ Vt ,
iD = 0 。在 vGS > Vt ,出現傳導電流,可分為兩區間討論;在三極區,

iD = β [2(vGS − Vt ) vDS − vDS2 ](1 + λvDS ) (5-69)


5.5 分立電路偏壓 277

源極 閘極 汲極
歐姆 S 蕭基 歐姆
G D
接點 接點 接點

n+ n n+

磊晶層

GaAs半絕緣基板

圖 5-20 一 n-通道 MESFET 之元件結構。

上式之適用範圍為 vGS > Vt 且


vDS < vGS − Vt (5-70)
其中 β 稱為製程轉導參數,Vt 則稱為臨界電壓。而 (1 + λvDS ) 因子亦出現
在三極區,這是因為加入此項因子後可使理論公式與實驗量測的曲線較
為接近。
在三極區與飽和區的邊界仍滿足
vDS = vGS − Vt (5-71)
而在飽和區中
iD = β (vGS − Vt ) 2 (1 + λvDS ) (5-72)
其適用範圍為 vGS > Vt 且
v DS > vGS − Vt (5-73)

5.5 分立電路偏壓
設計一 MOSFET 放大器的第一步驟就是要建立一穩定的且可預測的直 MOSFET 放 大 器 的 偏 壓
流操作點。由第 4 章的觀念知,此操作點必須是在主動區(active region), 要 能 提 供 足 夠 的 訊 號 擺
幅,卻不致使元件進入三
即飽和區;而且必須要能提供足夠的訊號擺幅(signal swing)卻不致使元
極區或截止區。
件進入三極區或截止區(cutoff region)。
所謂一穩定的操作點(stable operating point)是指操作點與元件參數 Vt
和 k n 的變化幾乎無關。但是這些參數均與溫度有關,例如,溫度上升將
278 第5章 場效電晶體

造成臨界電壓 Vt 值下降(溫度係數約為 − 2mV / ℃);更重要的是,相同型


式的幾個元件其參數變化可能相當大。所以,一個良好的偏壓電路就是
要能穩住直流操作點對應的數值。以下我們將討論加強式 MOSFET 在
分立電路(discrete circuit)中兩種常用的偏壓方法。至於積體電路的偏壓
技術,我們將在後面章節討論。

5.5.1 四電阻偏壓
一良好的偏壓電路可提供 圖 5-21(a)的電路是第一個偏壓方法,而放入 RS 最主要的原因是 RS 可提
負回授而使直流操作點穩 供負回授(negative feedback)而使直流操作點穩定,下面我們將會作一解
定。
釋。
由圖 5-21 可得,
R2
VGG = VDD (5-74)
R1 + R2

考慮 MOSFET 工作於飽和區,則
1
I DQ = k n (VGSQ − Vt ) 2 (5-75)
2
又 I DQ 和 VGSQ 必須滿足
VGG = VGSQ + I DQ RS (5-76)

以上二式聯立可解得操作點 Q,見圖 5-21(b)。


假設基於某種原因(例如溫度改變)使得汲極電流增加了 ΔiD,因此源極
電壓亦變化了 Δ vS ,

VDD iD
VGG
R1 RD RS
0 IDQ
VGG Q
+
VGSQ − IDQ
R2 RS

Vt VGSQ VGG vGS

(a) (b)

圖 5-21 加強式 MOSFET 分立電路放大器中的一種偏壓方法:(a)電路圖,(b)操作點分析。


5.5 分立電路偏壓 279

VDD iD
VDD
RD RD
RG IDQ
+ Q
0 IDQ
+ VDSQ
VGSQ
− − Vt VGSQ VDD vGS

(a) (b)

圖 5-22 加強式 MOSFET 分立電路放大器的另一種偏壓方法:(a)電路圖,(b)操作點分析。

Δ vS = RS ΔiD (5-77)
而閘源電壓的變量為
Δ vGS = −Δ vS (5-78)
也就是說, I DQ 增加將使 VGSQ 下降;對 MOSFET 元件本身而言, VGSQ 下
降將使得 I DQ 下降。如此一來, I DQ 的淨增量將小於原來的增量 ΔiD ,此
即一負回授機構(negative-feedback mechanism)。

5.5.2 回授電阻偏壓
我們將討論的第二種偏壓方法見圖 5-22(a),通常有一大電阻 RG 連接在
汲極與閘極之間。因為閘極電流幾乎為零,所以 dc 閘極電壓將與 dc 汲
極電壓相等,這代表元件將操作在飽和區。顯然對於空乏式元件而言,
這種偏壓方法並不適用。由圖 5-22(a)可得
VGSQ = VDD − I DQ RD (5-79)

1
I DQ = k n (VGSQ − Vt ) 2 (5-80)
2
由以上二式可解出直流操作點,見圖 5-22(b)。
注意圖 5-22(a)電路的偏壓穩定性可藉由連接 RG 所提供的負回授行為 偏壓穩定性可藉由連接
而達成。現在假設因為某種原因汲極電流增加了 ΔiD ,由電路可看出汲 RG 所提供的負回授行為而
極電壓降低了 RD ΔiD ,因而 VGSQ 也降低了同樣的量, RD ΔiD 。由於 VGSQ 達成。

降低導致汲極電流下降,所以汲極電流整體的增量將遠小於原來假設的
增量 ΔiD 。
280 第5章 場效電晶體

■例題 2
圖 5-21(a) 的 偏 壓 電 路 , 供 應 電 壓 VDD 為 12V , RD = RS = 2k Ω ,
R1 = 100k Ω , 和 R2 = 300k Ω 。 NMOS 的 元 件 參 數 為 Vt = 4V 和 k n =
4m A/V 2 。
(a)計算 I DQ 和 VDSQ 。
(b)若 k n = 8m A/V 2 ,則 RS 需改為何數值方可維持與(a)相同之 I DQ 。

解:
(a) 假設 NMOS 工作於飽和區,則
1
I DQ = k n (VGSQ − Vt ) 2
2
又負載線方程式為
VGG = VGSQ + I DQ RS
其中
R2 3
VGG = VDD = 12 × = 9V
R1 + R2 1+ 3
聯立得
9 − VGSQ
= 2 × (VGSQ − 4) 2
2
解得 VGSQ = 5V , I DQ = 2m A 。於是

VDSQ = VDD − I DQ ( RD + RS ) = 12 − 2 × 4 = 4V
檢驗後發現 NMOS 工作於飽和區,因為 VDSQ > VGSQ − Vt 。
(b) 若 k n = 8m A/V 2 ,則依題意
1
I DQ = 2m A = k n (VGSQ − Vt ) 2 = 4 × (VGSQ − 4) 2
2
可得 VGSQ = 4.71V 。於是解得 RS 為
VGG − VGSQ 9 − 4.71
RS = = = 2.15 k Ω
I DQ 2

5.6 小訊號模型

5.6.1 轉導
考慮在圖 5-23(a)中一觀念性的放大器。其中直流量 IDQ 和 VDQ 分別為
5.6 小訊號模型 281

1
I DQ = k n (VGSQ − Vt ) 2 (5-81)
2

VDQ = VDD − RD I DQ (5-82)
如圖 5-23(a)所示,一電壓訊號 vgs 疊加在直流電壓 VGSQ 之上,因此全部
瞬時閘源電壓 vGS 為
vGS = VGSQ + v gs (5-83)
所對應的全部瞬時汲極電流 iD 為
1
iD = k n (vGS − Vt ) 2
2
1 1
= k n (VGSQ + v gs − Vt ) 2 = k n [(VGSQ − Vt ) + v gs ]2
2 2
1 1 2
= k n (VGSQ − Vt ) 2 + k n (VGSQ − Vt ) v gs + k n v gs (5-84)
2 2
(5-84)式中右式的第一項代表直流電流 IDQ。現在假設
vgs << 2(VGSQ − Vt ) (5-85)

若此小訊號條件滿足,則我們可忽略(5-84)式中的最後一項,得
iD ≅ I DQ + id (5-86)
其中訊號電流為

iD
斜率 = g m
VDD
iD = I DQ + id
Q
RD t

IDQ id
+
+
vgs + v D = VDQ + vd vGS
− VGSQ
vGS
VGSQ vgs
− −

t
(a) (b)

圖 5-23 (a)一觀念性的 MOSFET 放大器電路,(b)加強式 MOSFET 放大器的小訊號操作。


282 第5章 場效電晶體

id = k n (VGSQ − Vt )vgs (5-87)


而 id 和 v gs 間之比例常數即為轉導 gm,
g m = k n (VGSQ − Vt ) (5-88)

我們以圖 5-23(b)來解釋加強式 MOSFET 放大器的小訊號操作。注意,


gm 等於 iD − vGS 特性在操作點的斜率,
∂iD
gm = = k n (VGSQ − Vt ) (5-89)
∂vGS 偏壓點Q

將 kn 之表示式代入(5-88)式得
W
g m = ( μ n COX ) ⎛⎜ ⎞ (V − V )
⎟ GSQ t (5-90)
⎝L ⎠
另外,亦可將 (VGSQ − Vt ) 以 2 I DQ / k n 取代得

W
g m = 2 μ n COX ⎛⎜ ⎞⎟ I DQ (5-91)
⎝L⎠
gm 與直流偏壓電流的開根 因此我們發現: g m 正比於 Δ V = VGSQ − Vt 。且給定一 MOSFET,gm 與直
號成正比。
流偏壓電流的開根號成正比。此外,在某一偏壓電流之下,gm 正比於
W /L 。
在第 4 章提過,BJT 的轉導正比於偏壓電流且與元件的實際尺寸和幾
何 形 狀 無 關 , 這 些 性 質 均 與 MOSFET 不 同 。 假 設 I DQ = 1m A 和
μ nCOX = 20μ A / V 2 。若 W / L = 1 ,得 g m = 0.2m A/V ,若 W / L = 10 ,得
g m = 0.63m A/V。反觀 BJT 在 1mA 的操作電流下,即可得 g m = 40m A/V。
由此例得知在相同偏壓電流下,BJT 的轉導將遠大於 MOSFET。

5.6.2 輸出電阻
若考慮通道長度調變效應,則必須在汲極和源極之間放入一有限輸出電
輸出電阻的定義為飽和區 阻 ro ,至於輸出電阻的定義為飽和區中 iD − vDS 特性曲線斜率的倒數,即
中 iD − vDS 特性曲線斜率 −1
的倒數。 ⎛ ∂i ⎞
ro =Δ ⎜ D ⎟ (5-92)
⎝ ∂v DS ⎠ vGS =常數

因為
1 ⎛ v ⎞
iD = k n (vGS − Vt ) 2 ⎜1 + DS ⎟ (5-93)
2 ⎝ VA ⎠

所以
5.6 小訊號模型 283

−1
⎡ 1 (VGSQ − Vt ) 2 ⎤
ro = ⎢ k n ⎥ (5-94)
⎣2 VA ⎦
因為(5-93)式中右式的第二項通常很小,所以對應某一 VGSQ 的電流 IDQ
可被近似為
1
I DQ ≅ k n (VGSQ − Vt ) 2 (5-95)
2
代入(5-94)式得
VA
ro ≅ (5-96)
I DQ
通常 ro 之值約在 10 至 100 k Ω 之間。

5.6.3 低頻模型
一階模型
由(5-87)式可得 id = g m vgs ,再合併 ig = 0 可得出 MOSFET 低頻一階模型,
見圖 5-24(a)。本圖強調介於閘極與源極間由閘極看進去的電阻為無窮 介於閘極與源極間由閘極
大,而輸出端則為一電壓控制電流源 g m vgs 。 看進去的電阻為無窮大。

G D
+ is is
vgs gmvgs S D
1/gm

S S
G

(a) (b)

D
G D G B
+ id + id +
vgs ro vgs ro vbs
gmvgs gmvgs gmbvbs
− − −
S S S S

(c) (d)

圖 5-24 MOSFET 的低頻小訊號模型:(a)理想情況,(b)理想 T-等效模型,(c)考慮輸出電阻的模型,


(d)考慮輸出電阻以及基體效應後之模型。
284 第5章 場效電晶體

就 T-等效模型而言,見圖 5-24(b)。因 ig = 0 ,故
v gs
is = id = g m v gs = (5-97)
(1 g m )
可改寫為
vgs 1
= (5-98)
is gm

1/gm 代表介於閘極與源極 故 1/gm 代表介於閘極與源極之間由源極看進去的電阻。注意由於閘極電


之間由源極看進去的電 流為零,所以介於閘極與源極間由閘極看進去的電阻仍為無窮大。
阻。
輸出電阻與基體效應
在加入輸出電阻,則根據第 4 章的推導可將結果改寫為
1
i d = g m v gs + v ds (5-99)
ro
再合併 ig = 0 可得到圖 5-24(c)。另一方面,回顧大訊號關係式
1
iD = k n (vGS − Vt ) 2 (1 + λv DS ) (5-100)
2
顯示 iD 似乎只與 vGS 和 vDS 有關。但事實上,當基板(基體)端○
B 未與源極
因為基體端就好像 MOSFET ○S 連接時,一訊號電壓 vbs 將出現在基體和源極之間。因為基體端就好
的另一個閘極,因此訊號 像 MOSFET 的另一個閘極,因此訊號 v 將產生一汲極電流成份,我們
bs
vbs 將產生一汲極電流成
將它寫成 g mb vbs,其中 gmb 稱為基體轉導(body transconductance)。圖 5-24(d)
份,我們將它寫成 gmbvbs,
其中 gmb 稱為基體轉導。 顯示一考慮基體效應後的低頻等效電路模型。而基體轉導 gmb 被定義為
∂iD
g mb =Δ (5-101)
∂vBS vGS =常數,vDS =常數

對照(5-99)式,於是在考慮基體效應後小訊號關係式改寫為
1
id = g m v gs + vds + g mb vbs (5-102)
ro
由基體效應關係式
Vt = Vt 0 + γ ( vSB + 2φ F − 2φ F ) (5-103)

再由(5-101)式即可得
∂iD ∂i ∂Vt
g mb = = D
∂vBS ∂Vt ∂vBS
⎛ ∂Vt ⎞ ∂Vt
= −k n (VGSQ − Vt ) ⋅ ⎜ − ⎟ = gm (5-104)
⎝ ∂vSB ⎠ ∂vSB

可表示成
5.6 小訊號模型 285

g mb = χ g m (5-105)
其中χ為一代表基體效應嚴重程度的一參數,
∂Vt γ
χ =Δ = (5-106)
∂vSB 2 VSB + 2φ F

而χ值的範圍約在 0.1 至 0.3 左右。

5.6.4 高頻模型 f 選擇性閱讀 e


圖 5-25(a)顯示一 MOSFET 完整的高頻模型,其中 C gb 代表閘極至基板電
容,Cgs 和 Cgd 代表閘極至通道電容。在飽和區內,由於汲極端夾止且通
道在靠近汲極附近幾乎無電荷儲存,故 Cgd 之值很小,理想情況可視為
零。而閘源電容 Cgs 可引用一近似公式
2
C gs = COX (WL) (5-107)
3
至於 Csb 和 Cdb 則代表逆偏 n+−p 接面之空乏電容,根據二極體空乏電容
的公式,
Csbo
Csb = (5-108)
V
1 + SB
Vbi

Cdbo
Cdb = (5-109)
V
1 + DB
Vbi

Cgd
G D
+ Cgd
vgs Cgs ro G D
gmvgs gmbvbs
Cgb
− +
S Cdb vgs Cgs gmvgs ro

vbs Csb S − S
+ B B
B B

(a) (b)

圖 5-25 MOSFET 的高頻小訊號模型:(a)完整模型,(b)簡化模型。


286 第5章 場效電晶體

在飽和區中,基於 VDB > VSB ,故 Cdb < Csb 。


另一方面,在放大器電路中,若基體端與源極端短路則 vbs = 0 ,於是
g mb vbs = 0 且 Csb 消失;此外 Cgb 和 Cgs 間之並聯可合成一新的電容,我們
仍以 Cgs 表示。另外,在許多高頻電路分析中,Cdb 並不重要,可以忽略。
於是簡化後的高頻模型重繪於圖 5-25(b),我們將在第 7 章以此模型分析
MOS 放大器的高頻響應。

■例題 3
圖 5-26 所示電路為一使用回授電阻偏壓的放大器,其中 MOSFET 的參
數為 Vt = 1V , k n = 0.5m A/V 2 ,和 V A = 50V 。試計算其電壓增益,輸入
電阻 Rin 和輸出電阻 Rout。

解:
直流分析如下:在飽和區內,
I DQ = 0.25 (VGSQ − 1) 2 = 0.25 (VDSQ − 1) 2

和寫下負載線方程式
VDSQ = 10 − RD I DQ = 10 − 10 I DQ

由以上二式可解得 I DQ = 0.73m A 和 VDSQ = VGSQ = 2.7 V 。因此,


g m = k n (VGSQ − Vt ) = 0.5(2.7 − 1) = 0.85mA/V

50 50
ro = = = 68.5 kΩ
I DQ 0.73

+10V

RD =10kΩ
RG =10MΩ ∞
vo

Rout RL =10kΩ
vi +

Rin

圖 5-26 例題 3:電路圖。
5.7 分立電路放大器 287

RG

G MOSFET
D
+
+
vgs ro
vi + Rin gmvgs RD Rout R L vo
− −

S −

圖 5-27 例題 3:小訊號等效電路。

放大器的小訊號等效電路如圖 5-27。在此我們忽略大電阻 RG 的效
應,則電壓增益可近似為
vo
A =Δ ≅ − g m (ro || RD || RL ) = −0.85(68.5 || 10 || 10) = −4 V V
vi
我們利用米勒定理(Miller’s theorem)來計算輸入電阻 Rin 如下:
RG 10
Rin = = = 2 MΩ
1− A 1+ 4
至於輸出電阻為
Rout = ro || RD || RG = 8.7 kΩ

5.7 分立電路放大器

5.7.1 共源放大器
圖 5-28(a)為一 MOSFET 共源放大器。其偏壓安排為使用類似圖 5-21(a)
的方法,即固定偏壓與自偏之組合電路。在飽和區內,
1
I DQ = k n (VGSQ − Vt ) 2 (5-110)
2

V SS = VGSQ + I DQ R S (5-111)

以上二式聯立可解出直流操作點 Q。
288 第5章 場效電晶體

VDD

RD
CC 2 = ∞
vo
R CC1 = ∞
RL
Rout
vi + RG
− Rin RS CS = ∞

−VSS
(a)

MOSFET
R G D
vo
+
vgs gmvgs ro
vi + Rin RG RD Rout RL
− −

(b)

圖 5-28 一 MOSFET 共源放大器:(a)電路圖,(b)小訊號等效電路。

根據操作點數值可計算小訊號參數,其中轉導 g m 為
g m = k n (VGSQ − Vt ) (5-112)


VA 1
ro ≅ = (5-113)
I DQ λ I DQ
將 g m 和 ro 代入小訊號模型,經展開後可得圖 5-28(b)。根據圖 5-28(b)所
得小訊號分析的結果如下:
Rin = RG (5-114)

Rout = R D || ro (5-115)
其中 Rin 和 Rout 分別為放大器的輸入和輸出電阻。而電壓增益 AV 為
5.7 分立電路放大器 289

VDD

RD
CC 2 = ∞
vo
R CC1 = ∞
RL

RS1
+ Rin
vi − RG
RS2 CS = ∞

−VSS
(a)

vo
D
( RD || RL )
is
R MOSFET

+ G 1/g is
m

vi + Rin R vg
− G S
RS1

(b)

圖 5-29 (a)具有一源極電阻未旁路的共源放大器,(b)T-等效模型展開
後之小訊號等效電路。

vo Rin
AV =Δ = − g m ( RL || RD || ro ) (5-116)
vi R + Rin

現在考慮另外一種情況,圖 5-29(a)為一具有一源極電阻未旁路的共源放
大器,分析如下:前面提過介於閘極與源極間由源極看進去的電阻為
1/gm,見圖 5-29(b)。利用此結果,則 vgs 與 vg 的關係可以一分壓觀念表
290 第5章 場效電晶體

示成
1 gm
v gs = v g (5-117)
RS 1 + 1 g m
因此電壓增益 AV 在忽略 ro 的條件下可表為
vo Rin ( RD || RL )
AV =Δ =− (5-118)
vi Rin + R 1 g m + RS1

介於閘極與汲極間之增益 讀者可注意上式中右式的第二項因子代表介於閘極與汲極間的增益大
為汲極線上全部電阻與源 小,可視為汲極線上全部電阻與源極線上全部電阻之比,這是一個簡單
極線上全部電阻之比。
易記的規則。

VDD

RD
CD = ∞

R CC1 = ∞
CC 2 = ∞

vi + RG +
− Rin RS RL vo
Rout −
−VSS
(a)

MOSFET
is
R G S

+ 1/gm +
vi + Rin vg ro RS
− is R L vo
Rout

D D

(b)

圖 5-30 一 MOSFET 共汲放大器:(a)電路圖,(b)小訊號等效電路。


5.7 分立電路放大器 291

5.7.2 源極追隨器
若我們將汲極端接地即可得到一共汲放大器(common-drain amplifier),
又名源極追隨器(source follower),見圖 5-30(a)。其特性與 BJT 射極追隨
器相當類似,分析如下:根據以小訊號模型展開之結果,見圖 5-30(b),
其輸入電阻 Rin 為
Rin = RG (5-119)
而輸出電阻 Rout 為
⎛ 1 ⎞
Rout = ⎜ ⎟ || ro || RS (5-120)
⎝ gm ⎠
在增益的計算上,因為
vo ( RS || RL || ro )
= (5-121)
v g 1 g m + ( RS || RL || ro )


vg Rin
= (5-122)
vi R + Rin
故電壓增益 AV 為
vo Rin ( RL || RS || ro )
AV =Δ = ⋅ (5-123)
vi R + Rin ( RL || RS || ro ) + 1 g m

5.7.3 共閘放大器
若我們將閘極端接地即可得到共閘放大器,見圖 5-31(a)。在此為簡化分
析過程,我們將 ro 忽略。根據圖 5-31(b)之小訊號等效電路,分析如下:
輸入電阻 Rin 為
⎛ 1 ⎞
Rin = ⎜ ⎟ || RS (5-124)
⎝ gm ⎠
電壓增益 AV 為
vo Rin
AV =Δ = g m ( RL || RD ) (5-125)
vi R + Rin
輸出電阻 Rout 為
Rout = R D (5-126)
292 第5章 場效電晶體

VDD

RD
CC 2 = ∞

CG = ∞ +
v
RL o
C C1 = ∞ R Rout

RG
RS + v
− i
Rin

−VSS
(a)

MOSFET
R S D


vgs 1/gm gmvgs +
vi + Rin RS RD Rout R L vo
− +

G

(b)

圖 5-31 一 MOSFET 共閘放大器:(a)電路圖,(b)小訊號等效電路。

f 選擇性閱讀 e 5.8 傳輸閘


MOSFET 非 常 適 合 於 作 為 類 比 切 換 應 用 或 稱 為 傳 輸 閘 (transmission
gate),主要因為在原點附近的區域 iD − vDS 特性幾乎是線性的。更者,這
些特性線通過原點,所以不會產生像 BJT 中的偏移電壓問題(BJT 偏移電
壓 ΔVCE 的由來與計算可參考第 4 章例題 1 以及(4-48)式)。在許多應用
上 , 如 取 樣 持 有 電 路 (sample-and-hold circuits) , 截 波 電 路 (chopper
circuit) ,和數位至類比轉換器 (digital-to-analog converters) 均要使用到
MOS 傳輸閘。另外,在切換電容濾波器(switch-capacitor filter)的設計上,
MOS 傳輸閘的應用也相當重要。
5.8 傳輸閘 293

vC vC

vO vO

vI + RL CL vI + RL CL
− −

(a) (b)

圖 5-32 (a)一理想的電壓控制傳輸閘,(b)以一 n-通道加強式 MOSFET


實現此一傳輸閘,並假設 Vt = 2V。

5.8.1 NMOS 傳輸閘


如圖 5-32(a)所示並假設 v I 為一介於 − 5V 和 + 5V 之間的類比輸入訊號,
並經由一理想的電壓控制傳輸閘傳送此一類比訊號至 vO。假設當 vC 為高
態電壓時,開關短路;而 vC 處於低態電壓時,開關斷路。
現在我們利用一 n-通道加強式 MOSFET 來實現此一傳輸閘,見圖
5-32(b),並假設 Vt = 2V 。注意,為避免基板產生不當的傳導效應,p-
型基體端點(在圖 5-32(b)中未畫出)必須連接至 − 5V 以下。為使傳輸閘斷
路,則控制電壓 vC 可選擇 − 3V 。另一方面,為使傳輸閘導通且 vI 完整
傳送,則 vC 可選擇 + 7 V 。
由於傳輸閘導通時,MOSFET 必須設計在三極區工作,而三極區在原
點附近具有壓控電阻的特性,這將出現傳輸閘之導通電阻(onresistance)
與訊號振幅有關,因此造成訊號傳輸時的失真。

5.8.2 CMOS 傳輸閘


若將一 PMOS 與原先之 NMOS 並聯,並將原先的控制電壓以互補方式
出現,即 vC 控制 NMOS,而 vC 控制 PMOS,即可獲得一 CMOS 傳輸閘,
見圖 5-33(a),習慣上可以圖 5-33(b)之電路符號來表示。
現 假 設 v I 仍 為 一 介 於 − 5V 至 + 5V 之 間 的 類 比 輸 入 訊 號 且 Vtn =
| Vtp | = 2V 。此外,高態控制電壓設為 + 5V ,而低態控制電壓設為 − 5V 。
顯然,當 vC = 低態 = −5V 時, vC = 高態 = +5V ,這時 QN 和 QP 必然同時
截止,也就傳輸閘出現近似雙向斷路的現象。而當 vC = 高態 = +5V ,vC =
294 第5章 場效電晶體

vC

C
QN
vO vI vO
QP
vI + RL CL
− C

vC

(a) (b)

圖 5-33 CMOS 傳輸閘:(a)電路圖,(b)電路符號的簡易表示法。

低態 = −5V ,則 v I 在 − 5V 至 + 3V 之間,NMOS 導通;而 v I 在 − 3V 至


+ 5V 之間,PMOS 導通。因此, v I 在-3V 至-5V 間,只有 NMOS 導
通, v I 在+3V 至+5V 間,只有 PMOS 導通,而 v I 在 − 3V 至 + 3V 之間兩
者均導通。更重要的是,當一個元件的電阻增加時,另一元件的電阻下
CMOS 開關的導通電阻幾 降,造成此開關的導通電阻幾乎為一常數,可大幅降低訊號傳輸的失真。
乎為一常數,可大幅降低
訊號傳輸的失真。

§ 練習題 5.1 考慮一特殊的 MOSFET,其大訊號關係式為


1
iD = k n (vGS − Vt ) 2 (1 + λv DS ) 3 2
2
其 中 k n = 20 μ A / V 2 , Vt = 1V , λ = 0.05V −1 。 試 計 算 在 操 作 點
(VGSQ , VDSQ ) = (2V, 2V) 之下的 g m 和 ro 值。最後,請完成其小訊號等
效電路模型。(提示:推導過程,請忽略基體效應。)

5.2 參考圖 5-26 電路,若直流電源改為+15V,MOSFET 參數修正為


W
k n = μ n COX = 0.25mA/V 2 , Vt = 1.5V , V A = 50V 。其他電阻值均
L
維持不變,即 RG = 10MΩ , RD = RL = 10k Ω 。試計算:
(a) 直流操作點數值 I DQ 和 VGSQ 。
(b) 輸入電阻 Rin 。
(c) 電壓增益 vo / vi 。
(d) 輸出電阻 Rout 。
練習題 295

VDD = +30V

RG1= RD=
26.5M Ω 4kΩ
CC1 vo
CC2
RL = 4kΩ

vi + RG2=
− RS=
3.5M Ω CS
2kΩ

習題 5.3 附圖。

5.3 如附圖所示為一 JFET 共源放大器,其中 JFET 的規格參數為


I DSS = 12mA , VP = −5V ,和 V A = 300V 。
(a) 計算直流汲極電流和汲極電壓。
(b) 計算電壓增益 vo / vi 。

⎡ ∂iD I ⎛ VGSQ ⎞ 2 I DSS I DQ ⎤


⎢提示:對JFET而言,g m = = 2 DSS ⎜1 − ⎟= ⎥
⎢⎣ ∂vGS − VP ⎝ VP ⎠ − VP I DSS ⎥⎦

5.4 附圖所示為一 JFET 源極追隨器,已知在 RL = ∞ 時的開路電壓增益


vo / vi 為 0.86 且 ro = 40kΩ ,試計算:
(a) 輸出電阻 Rout 。
(b) 在 RL = 20kΩ 時的電壓增益 vo / vi 。

+10V

100kΩ ∞

vo
vi + Rin 900kΩ
− 40kΩ RL
Rout

習題 5.4 附圖。
296 第5章 場效電晶體

+10V

RD = 2.5kΩ
R = 0.5 kΩ CC1 = ∞ CC 2 = ∞
vo

+ IQ =
vi − RL = 200kΩ
Rin 2mA

−10V

習題 5.5 附圖。

5.5 附圖所示為一定電流偏壓之 MOSFET 共閘放大器電路,令 MOSFET


的 k n = 1mA/V 2 , Vt = 1V 。計算:
(a) 閘源電壓 VGSQ 。
(b) 輸入電阻 Rin 。
(c) 電壓增益 vo / vi 。

W
5.6 附圖為一 MOS 共閘放大器,其中 k n = μ n COX = 1mA/V 2,Vt = 1V。
L
計算:
(a) 直流源極電壓,並確認 MOS 是否工作在飽和區。
(b) MOS 的轉導值 g m 。

+10V

2.5kΩ
vo
Rout
∞ R = 1kΩ

+ v
IQ = 2mA − i
Rin
−10V

習題 5.6 附圖。
練習題 297

(c) 放大器的輸入電阻 Rin 。


(d) 放大器的輸出電阻 Rout 。
(e) 放大器的電壓增益 vo / vi 。

5.7 附圖所示為一 MOS 共閘放大器, k n = 2mA/V 2 , Vt = 1V 。計算:


(a) 直流操作點之 VGSQ 與 VDSQ 。
(b) 轉導 g m 。
(c) 輸入電阻 Rin 。
(d) 電壓增益 vo / vi 。

VDD = +10V

RD = 5kΩ
RG = 1MΩ CC 2 = ∞
vo

CC1 = ∞

vi +
− IQ = 1mA
Rin
−10V

習題 5.7 附圖。

IO Rout

Q2

Q1

R = 2kΩ

−10V

習題 5.8 附圖。
298 第5章 場效電晶體

5.8 附圖為一 MESFET 之定電流源, MESFET 之參數如下: β 1 =


0.5m A/V 2 , β 2 = 0.78m A/V 2 , Vt1 = Vt 2 = −2V , λ = 0.05V −1 。
(a) 計算輸出電流 I O 和 Q2 之閘源電壓 VGS 2 。
(b) 證明輸出電阻 Rout ≅ g m 2 ro 2 g m1ro1 R 。

參考書目
1. J. M. Rabaey, A. Chandrakasan and B. Devices: Basic Principles,” 3rd ed. McGraw-
Nikolic, “Digital Integrated Circuits: A Hill, 2002.
Design Perspective,” 2nd ed. Prentice Hall, 7. B. C. Streetman, “Solid State Electronic
Inc., 2003. Devices,” 4th ed. Englewood Cliffs, NJ;
2. Y. Taur and T. H. Ning, “Fundamentals of Prentice-Hall, Inc., 1995.
Modern VLSI Devices,” 2nd ed. Cambridge 8. R. S. Muller and T. I. Kamins. “Device
University Press, 2009. Electronics for Integrated Circuits,” John
3. A. S. Sedra and K. C. Smith, “Microelectronic Wiley & Sons, New York, 1977.
Circuits,” 6th ed. Oxford University Press, 9. J. Millman, and A. Grabel. “Microelectronics,”
2009. 3rd ed. New York: McGraw-Hill Book Co.,
4.R. T. Howe and C. G. Sodini, “Microelectronics: 1999.
An Integrated Approach,” Prentice-Hall 10. J. D. Plummer, M. D. Deal and P. B. Griffin,
International, Inc., 1996. “Silicon VLSI Technology, Fundamentals,
5. S. M. Sze and M. K. Lee, “Semiconductor Practice and Modeling”, Prentice Hall, Inc.,
Devices, Physics and Technology,” 3rd ed. 2000.
New York: Wiley, 2012. 11. 張文清,“SPICE 電子電路模擬”,四版,台
6. D. A. Neamen, “Semiconductor Physics and 北鼎茂圖書,2009。
積體電路放大器
讀者在研讀第 2 章運算放大器各類電路中並未觸及其
內部詳細半導體元件與積體電路組成,因為這需要具
備類比積體電路的基礎。而在類比積體電路中,電流
源與差動放大器可說是兩個最基本的電路方塊。本章
將介紹 BJT 和 MOS 電流源,差動放大器的差模與共
模觀念以及小訊號分析的技巧,再擴展至 CMOS 與
BiCMOS 等積體電路放大器。

6.1 BJT 積體電路偏壓


6.2 MOS 積體電路偏壓
6.3 BJT 差動放大器
6.4 MOS 差動放大器
6.5 差動放大器的半電路觀念
6.6 主動負載差動放大器
6.7 偏移電壓和偏壓電流
6.8 NMOS 放大器
6.9 CMOS 與 BiCMOS 放大器
6.1 BJT 積體電路偏壓 301

6.1 BJT 積體電路偏壓

對 積體電路放大器(integrated-circuit amplifiers)的設計而言,第 4 和
第 5 章的偏壓技術(例如 BJT 的單電源偏壓和 MOS 的回授電阻偏
壓等)並不適用,因為它需要很多的電阻以及很大的耦合和旁路電容。以
目前的 IC 技術來說,幾乎不可能製作大電容(指 μF 數量級),且製造大
電阻亦是不經濟的作法。另一方面,IC 技術為設計者提供了同時使用很
多電晶體的可能性,而且製作成本不高。更者,在製造條件不變的情況
下,很容易做出特性匹配的電晶體。因此,在 IC 中的偏壓想法與在分
立電路放大器(discrete-circuit amplifiers)並不相同。
基 本 上 , 積 體 電 路 的 偏 壓 設 計 是 利 用 定 電 流 源 (constant current 積體電路的偏壓設計是利
source)。且在一具有很多級放大器的 IC 晶片(chip)上,為了偏壓不同級 用定電流源。

的放大器,首先在某一位置產生一定值參考的直流電流,然後再反覆產
生至其他位置。這種方法的好處是當電源供應器電壓或溫度變動時,不
同級的偏壓電流可以一起跟著變。本節中,我們將討論一些電流源和操
縱電流電路,並深入分析它們的電路特性。

6.1.1 基本電流鏡
電流鏡(current mirror)在 IC 電流源的設計中是最基本的建造方塊,見圖
6-1(a)。它是由兩個匹配的電晶體 Q1 和 Q2 所組成,且由於它們的基極和
射極均接在一起,因此具有相同的 v BE 。除此之外, Q1 的集極和基極間
連接成短路。
此電流鏡以一定電流源 I REF 來驅動,見圖 6-1(a),而在 Q2 的集極取其
輸出電流 I O 。在此接在 Q2 集極的電路必須使 Q2 確定在主動區操作(保持 Q2 集極的電路必須使 Q2
其集極電壓大於基極電壓)。輸入電流 I REF 流經二極體型式的電晶體 確定在主動區操作。

Q1 ,建立了一橫越 Q1 的電壓,此電壓再出現於 Q2 的基極與射極間。因


為 Q2 與 Q1 完全一致,所以 Q2 的射極電流將和 I REF 相等,於是只要 Q2 保
持在主動區,其集極電流 I O 將近似等於 I REF 。此外,一般 IC 電流鏡常
以雙電源型式出現,見圖 6-1(b),且可在同一 IC 晶片上提供差動放大器
之偏壓。
302 第6章 積體電路放大器

VCC 差動放大器
VCC
差動放大器 RC RC
IREF
IREF Q3 Q4
Rout

IO VO
IO
Q1 Q2
+ Q1 Q2
VBE

−VEE

(a) (b)

圖 6-1 (a)單電源系統中,基本的 BJT 電流鏡,(b)在雙電源系統中,以電流鏡作為差動放大器之偏


壓。

有限電流增益
接下來考慮有限電晶體 β 值對電流鏡操作的影響。分析如下:因為 Q1
和 Q2 匹配且具有相同的 VBE ,故其集極電流 I C 相等。由於電晶體操作在
主動區,故 I C 與 VBE 之關係為
I C = I S eVBE VT (6-1)
由圖 6-2(a)可看出 Q2 的集極電流 I C 即電流源的輸出電流 I O ,
IO = IC (6-2)

寫下一節點方程式並代入上式,
IC ⎛ 2⎞
I REF = I C + 2 = I O ⎜1 + ⎟ (6-3)
β ⎝ β⎠
因此電流鏡的電流增益(current gain)為
IO β 1
= = (6-4)
I REF β + 2 1+ 2
β
對於 β >> 1 而言,此值趨近於 1。
有限輸出電阻
造成 I O 不等於 I REF 的另一因素為 Q2 之集極電流 I O 對 Q2 之集極電壓 VO
之線性關係。事實上,即使我們忽略有限 β 值的效應,並假設 Q1 和 Q2 完
6.1 BJT 積體電路偏壓 303

VCC IO

IREF
IREF ΔI O 1
斜率 = =
2I C ΔVO ro 2
IC IO=IC
β
Q1 Q2
IC IC
β β VBE VO
VCE(sat)

(a) (b)

圖 6-2 電流鏡的實際特性:(a)有限電流增益的影響,(b)有限輸出電阻的效應。

美匹配,電流 I O 也只有在 Q2 的集極電壓 VO 等於基射電壓 VBE 時才會等於 電流 IO 只有在 Q2 的集極


I REF 。因為在忽略基極電流的條件下,令 VCE1 = VBE ,則 電壓 VO 等於基射電壓 VBE
時才會等於 IREF。
⎛ V ⎞
I REF ≅ I C1 = I S eVBE VT ⎜1 + BE ⎟ (6-5)
⎝ VA ⎠
和令 VCE 2 = VO
⎛ V ⎞
I O = I C 2 = I S eVBE VT ⎜1 + O ⎟ (6-6)
⎝ VA ⎠
當集極電壓 VO 增加時, I O 增加;至於 I O 對 VO 之關係則由 Q2 的 VA 值決
定,見圖 6-2(b)。換言之,圖 6-1(a)中電流鏡的輸出電阻 Rout 等於 Q2 的
輸出電阻 ro 2 ,即
ΔVO
Rout = = ro 2 (6-7)
ΔIO

6.1.2 基極電流補償
以下我們將討論幾種電路技巧用來降低 I O 受 β 的影響以及提高輸出電
阻。如圖 6-3(a)所示,電晶體 Q3 的射極電流用來供應 Q1 和 Q2 的基極電
流,此二基極電流之和還要再除以 Q3 的(1+ β ),使得 I REF 供應的基極
誤差電流變得較小。
假設 Q1 和 Q2 匹配,因此它們具有相同的集極電流 I C 。詳細的分析見
X 寫下一節點方程式並代入 I O = I C ,
圖 6-3(a),在節點○
304 第6章 積體電路放大器

2I C
I REF = I C + (6-8)
β (1 + β )
因此,這個電流鏡之電流增益為
IO 1
= (6-9)
I REF 2
1+
(β + β )
2

因為 β 2 >> β ,於是
IO 1
≅ (6-10)
I REF 2
1+
β2
有限 β 值所造成的誤差已 其意義為由於有限 β 值所造成的誤差已經由 2/ β 降至 2/ β 2 。因為在節
由原先的 2/β 降至 2/β 2。 點○
X 與正電源 VCC 之間連接一電阻 R,於是
VCC − VBE1 − VBE 3
I REF = (6-11)
R

6.1.3 多輸出電流鏡
前面提過,在 IC 偏壓的設計上,往往需要在某一位置產生一個參考直
流電流,而後將此電流重複產生至其它位置,以偏壓在 IC 中其他級的

VCC

VCC ×3
Q1 Q5
IREF
R Q3 I3 I5
X Q3
R IREF
2 IC 2IC
IC IO = IC I4 I6
β (1 + β ) β Q4

Q1 Q2 Q2 Q6
IC IC
×2 ×4
β β
−VEE

(a) (b)

圖 6-3 (a)基極電流補償電流鏡,(b)多輸出電流鏡。
6.1 BJT 積體電路偏壓 305

放大器,圖 6-3(b)即為一個例子,我們稱為多輸出電流鏡(current mirror


with multiple outputs)。假設所有電晶體均具有高 β 值且基極電流可以忽
略。則直流參考電流為
VCC + VEE − VEB1 − VBE 2
I REF = (6-12)
R
因此, Q3 的集極電流 I 3 為
I 3 = I REF (6-13)
而 Q4 的集極電流 I 4 則為 I REF 的兩倍,
I 4 = 2 I REF (6-14)
注意,圖 6-3(b)中出現 × 2 , × 3 ,和 × 4 符號,分別代表 Q4 , Q5 ,和 Q6 圖 6-3(b)中出現×2,×3,
三電晶體其射基接面面積為 Q1 之 2 倍,3 倍,和 4 倍。因此, Q5 的集極 和×4 符號,分別代表 Q4,
Q5,和 Q6 三電晶體其射基
電流 I 5 為
接面面積為 Q1 之 2 倍,3
I 5 = 3I REF (6-15) 倍,和 4 倍。

而, Q6 的集極電流 I 6 ,則為

I 6 = 4 I REF (6-16)
此外, Q3 和 Q4 之間出現很重要的差別。在此, Q3 可以供應(sources)
電流 I 3 給任何電路,但 Q3 的集極電壓不能超過 (VCC − VEB 3 ) 。另一方面,
Q4 由 某 一 電 路 汲 取 (sinks) 電 流 I 4 , 但 Q4 的 集 極 電 壓 必 須 恆 大 於
( −VEE + VBE 4 ) ,以維持電晶體在主動區操作。

6.1.4 韋勒電流源
最後一個介紹的電流源電路稱為韋勒電流源(Widlar current source),見圖
6-4(a)。注意它與基本電流鏡電路不同之處在於有一電阻 RE 放入 Q2 的射
極接線上。以下分兩部份介紹:
直流分析
首先針對 Q1 和 Q2 寫下主動區的電流電壓特性方程式並忽略基極電流所
造成的誤差,即
I REF ≅ I C1 = I S eVBE1 VT (6-17)


I O = I C 2 = I S eVBE 2 VT (6-18)

將以上二式相除可得
306 第6章 積體電路放大器

VCC
BJT B2 BJT C2 it
R IREF
Rout re +
rπ vπ gmvπ ro +
IO VO Rout − vt
− it
Q1 Q2
+ + E2
VBE1 VBE2 −
− RE
RE

−VEE
(a) (b)

圖 6-4 韋勒電流源:(a)電路圖,(b)輸出電阻的計算。

I REF
= e (VBE1 −VBE 2 ) VT (6-19)
IO

又由射極之迴路方程式可得
VBE1 − VBE 2 = I E RE ≅ I O RE (6-20)

代入(6-19)式可得
⎛I ⎞
I O RE = VT ln⎜ REF ⎟ (6-21)
⎝ IO ⎠
交流分析
輸出電阻 Rout 代表一變量 所謂輸出電阻 Rout 代表一變量電阻(incremental resistance),即輸出電壓的
電阻,即輸出電壓的變量 變量 ΔVO 比上輸出電流的變量 Δ I O ,
ΔVO 比上輸出電流的變量
ΔIO。 ΔVO
Rout = (6-22)
Δ IO

故只要在變動很小的條件下,可以小訊號模型展開,見圖 6-4(b),此時
vt
Rout = (6-23)
it
因為 Q1 的基集間短路,利 圖中 Q1 部份出現一電阻 re ,這是因為 Q1 的基集間短路,利用電源吸收
用電源吸收原理可將原有 (source absorption)原理可將原有集射間之電壓控制電流源 g m vπ 以一
集射間之電壓控制電流源
1 / g m 之電阻取代,因此 Q1 二極體之等效電阻為 rπ || (1 / g m ) = re 。
gmvπ 以 一 1/gm 之 電 阻 取
代。
如圖 6-4(b)所示,忽略電阻 re ,則由 Q2 的射極往集極流經 ro 之訊號電
6.1 BJT 積體電路偏壓 307

流為 ( g m + 1 / RE′ ) vπ ,其中 RE′ = RE || rπ 。故可寫下一迴路方程式為


⎛ 1 ⎞
vt = −vπ − ⎜ g m + ⎟ vπ ro (6-24)
⎝ RE′ ⎠

在輸出端寫下一節點方程式為
⎛ 1 ⎞
it = g m vπ − ⎜ g m + ⎟ vπ (6-25)
⎝ RE′ ⎠

故輸出電阻為
vt
Rout = = ro + (1 + g m ro ) (rπ || RE ) (6-26)
it

此外,由於
I C VA VA
g m ro = ⋅ = (6-27)
VT I C VT

其典型值約為 4,000。故(6-26)式可近似表為
Rout ≅ ro [1 + g m (rπ || RE )] (6-28)
因此輸出電阻大幅增加了 [1 + g m ( RE || rπ )] 倍,這也是韋勒電路的主要優 輸出電阻大幅增加了[1 +
點之一。 gm(RE || rπ)]倍,這也是韋
勒電路的主要優點之一。

■例題 1
圖 6-5(a)為一威爾森(Wilson)電流源,此電路同時具有基極電流補償以
及增加輸出電阻兩種特性,分析此電路並
(a) 證明電流增益 I O / I REF 可引用(6-10)式,
(b) 證明其輸出電阻 Rout ≅ ( β / 2) ro 。

解:
(a) 參考圖 6-5(b)的直流分析,
⎛ β +2⎞
IC ⎜ ⎟
IO ⎝ β +1 ⎠ β 2 + 2β
= = 2
I REF ⎡ ( β + 2) ⎤ β + 2 β + 2
I C ⎢1 + ⎥
⎣ β ( β + 1) ⎦
1 1
= ≅ (6-29)
2 2
1+ 2 1+
β + 2β β2
(b) 對圖 6-5(a)電路進行小訊號模型展開,見圖 6-6。注意因 Q1,Q2 ,
和 Q3 操作在相同的直流電流,故三者之小訊號參數相等。
308 第6章 積體電路放大器

VCC VCC
IO Rout β +2
I C ( β + 2) I O = IC
IREF β +1
IREF β ( β + 1)
X Q3
Q3 ⎛ 2⎞
2I C I C ⎜1 + ⎟
IC ⎝ β ⎠
β IC
Q1 Q2 Q1 Q2
IC IC
β β
−VEE −VEE
(a) (b)

圖 6-5 威爾森電流鏡:(a)電路圖,(b)電流增益的分析。

由圖 6-6 可看出測試電壓源 vt 送出之電流 it 在節點○


E3 處可表為

v vπ 1
it ≅ + + g m vπ 1
re 2 rπ 1
⎛1 ⎞ ⎛1 α⎞ 2
≅ v⎜ + g m ⎟ = v⎜ + ⎟ ≅ v (6-30)
⎝ re ⎠ ⎝ re re ⎠ re
此外

Rout

BJT
B3 C3
it
+
rπ 3 vπ 3 ro3 +
B1 E3 it gm3vπ 3 − vt

C1
BJT
+ +
ro1 rπ 1 vπ 1 re2 v
gm1vπ 1 − −

E1

圖 6-6 計算例題 1 威爾森電流源輸出電阻之小訊號等效電路。


6.2 MOS 積體電路偏壓 309

vt = (it − g m 3 vπ 3 ) ro 3 + v
≅ it ro + v − g m 3 ro 3 (− g m1vπ 1 rπ 3 )
= it ro + v [1 + g m ro g m rπ ]
r β
= it ⎡⎢ro + e (1 + g m ro β )⎤⎥ ≅ it ⎛⎜ ro ⎞⎟ (6-31)
⎣ 2 ⎦ ⎝2 ⎠
故輸出電阻 Rout 為
vt β
Rout = ≅ ro (6-32)
it 2

6.2 MOS 積體電路偏壓

6.2.1 基本電流鏡
理想情況
在 NMOS 和 CMOS 類比積體電路中,為了偏壓不同的電晶體,所以需
要產生一穩定的參考電流,且此電流可以再產生正比的直流電流。一般
在積體電路中,電流鏡有兩個用途:偏壓電流源和主動負載。一基本的
MOS 電流源電路,見圖 6-7(a)。
此電流鏡是由兩個加強式 MOSFET Q1 和 Q2 所組成,它們具有相同的
臨界電壓 Vt 但不同的(W/L)比值。將一參考電流 I REF 送入電晶體 Q1 ,而
輸出電流 I O 自 Q2 的汲極取出,注意,為使電流源正常工作, Q2 必須操 為使 MOS 電流源正常工
作在飽和區。對 Q1 而言, 作,Q2 必須操作在飽和
區。
1
I REF = k n1 (VGS − Vt ) 2 (6-33)
2
因為 Q2 與 Q1 並聯,具有相同的 VGS ;因此
1
IO = k n 2 (VGS − Vt ) 2 (6-34)
2
這裡我們忽略了 Q2 的有限輸出電阻。由(6-33)式和(6-34)式合併可得
IO kn 2
= (6-35)
I REF k n1
代入製程轉導參數公式可得
IO (W L) 2
= (6-36)
I REF (W L)1
因此在理想情況下, I O 將可以是 I REF 的一個倍數,且其值由元件的幾何
310 第6章 積體電路放大器

VDD IO

IREF Rout
IREF
IO VO 斜率 = 1 / ro 2

Q1 Q2
+
VGS
0 VGS−Vt VGS VO

(a) (b)

圖 6-7 (a)基本的電流鏡電路,(b)電流鏡非理想的輸出特性。

形狀來決定。
實際情況
實際上,只有在 Q2 的汲極 假設 k n1 = k n 2 ,理論上由以上二式可知 I O = I REF 且 I O 與 VO 無關。但實際
電壓 VO 等於 VGS 時才會發 上 I O = I REF 只有在 Q2 的汲極電壓 VO 等於 VGS 時才會發生。若汲極電壓一
生 IO = IREF。
有變化,由於 Q2 的有限輸出電阻 ro 2 將使得電流 I O 亦產生變化,圖 6-7(b)
顯示出 I O 與 VO 之關係。其中,輸出電流 I O 為

1
IO = k n 2 (VGS − Vt ) 2 (1 + λVDS 2 )
2
1
= k n 2 (VGS − Vt ) 2 (1 + λVO ) (6-37)
2
和參考電流 I REF 為
1
I REF = k n1 (VGS − Vt ) 2 (1 + λVDS 1 )
2
1
= k n1 (VGS − Vt ) 2 (1 + λVGS ) (6-38)
2
於是
IO (W L) 2 (1 + λVO )
= (6-39)
I REF (W L)1 (1 + λVGS )

上式顯示 I O / I REF 除了由外觀比值決定外,亦與 λ , VGS 和 VO 均有關;請


特性曲線在飽和區中之斜
特別注意 I O 將隨 VO 的增加而增加,見圖 6-7(b)。再次強調,圖 6-7(b)特
率倒數原代表 Q2 的輸出
電阻 ro2,此量也正是電流 性曲線在飽和區中之斜率倒數原代表 Q2 的輸出電阻 ro 2 ,此量也正是電
源的輸出電阻 Rout。 流源的輸出電阻 Rout ,即
6.2 MOS 積體電路偏壓 311

ΔVO
Rout = = ro 2 (6-40)
Δ IO

6.2.2 串疊電流鏡
由上一部份我們知道,MOS 電流鏡並不會出現像 BJT 的有限 β 值導致
電流轉換比不精確的現象,因此我們唯一關心的表現參數就是輸出電
阻。以圖 6-7(a)的電流鏡來說,輸出電阻約等於 ro 2 。 若使用圖 6-8(a)
的串疊電流鏡(cascode mirror),則其輸出電阻可以大幅增加。現在我們
希望求出串疊鏡的輸出電阻,見圖 6-8(b)至圖 6-8(d)。注意,因為二極
體型式的電晶體 Q1 和 Q3 的變量電阻(incremental resistance)等於 1 / g m,加

VDD
IREF Rout
it

+ vt
Q3 Q4 Q4 −
Rout

Q1 Q2 Q2

−VSS

(a) (b)

G4 MOSFET D4 it
it
+
+ vgs g v ro4 +
vt vt
− Rout −
m gs
Q4 Rout −

S4
ro2 ro2

(c) (d)

圖 6-8 MOS 串疊電流鏡:(a)電路圖,(b)(c)(d)輸出電阻的推演過程。


312 第6章 積體電路放大器

上 Q2 和 Q4 的閘極電流為零,故電阻 1 / g m 之上並無壓降,因此 Q2 和 Q4 的
閘極其實是交流接地,見圖 6-8(b)。再將 Q2 以其輸出電阻 ro 2 取代連接至
Q4 的源極,見圖 6-8(c)。最後,以小訊號模型代入 Q4 展開得圖 6-8(d)。
寫下迴路方程式
vt = (it − g m v gs )ro 4 + it ro 2 (6-41)

因為
− vgs = it ro 2 (6-42)

代入上式消去 v gs 可得
vt
Rout =Δ = ro 4 + ro 2 + g m 4 ro 4 ro 2 (6-43)
it
若 ro 2 = ro 4 = ro ,則
Ro = ro (2 + g m ro ) (6-44)
因此,利用串疊組態可將輸出電阻提高約 g m ro 倍。

■例題 2
圖 6-9(a)為一 MOS 威爾森(Wilson)電流源,試以小訊號分析證明其輸
出電阻為 Rout ≅ g m 4 ro 4 ro1 。

解:
以小訊號模型代入圖 6-9(a)展開可得圖 6-9(b)。由輸出論之迴路方
程式可得
vt = (it − g m 4 v gs 4 )ro 4 + v gs1 (6-45)

vgs 4 + vgs1 = − g m1vgs1ro1 (6-46)
上式整理可得
vgs 4 = −(1 + g m1ro1 )vgs1 (6-47)

代入(6-45)可得
vt = it ro 4 + vgs1 + g m 4 ro 4 (1 + g m1ro1 )vgs1 (6-48)
因為 v gs1 = it (1 / g m 2 ) 代入上式可得
vt 1
Rout = = ro 4 + (1 + g m 4 ro 4 + g m 4 ro 4 g m1 ro1 )
it g m2
g m1
≅ ( g m 4 ro 4 )ro1 ≅ ( g m 4 ro 4 ) ro1 (6-49)
gm2
6.3 BJT 差動放大器 313

VDD
Rout
IREF
IO

Q3 Q4

Q1 Q2

−VSS
(a)

MOSFET D4
G4
it
1/gm3 +
D1 vgs4 ro4 + vt
MOSFET G1 −
gm4vgs4 Rout −

+ S4 it
ro1 gm1vgs1 vgs1
1/gm2

S1

(b)

圖 6-9 MOS 威爾森電流源:(a)電路圖,(b)小訊號等效電路。

6.3 BJT 差動放大器


在類比積體電路(analog integrated circuits)中,差動放大器(differential
amplifiers)是最基本且最重要的建立方塊。譬如,每一個運算放大器
(operational amplifiers)的輸入級就是差動放大器。另外,BJT 差動放大器
也是構成最高速邏輯電路──射極耦合邏輯(emitter-coupled logic,ECL)
的基礎。圖 6-10(a)顯示一基本 BJT 差動組態。它包含兩個匹配的電晶
314 第6章 積體電路放大器

差動放大器中,集極電路 體, Q1 和 Q2 ,其射極連接在一起且被一定電流源所偏壓。而集極電路
設計的基本要求為 Q1 和 設計的基本要求為 Q1 和 Q2 不得進入飽和區。
Q2 不得進入飽和區。

6.3.1 大訊號分析
首先由 Q1 和 Q2 在主動區內之關係式可寫下
IS
i E1 = e ( vB1 −vE ) VT (6-50)
α

IS
iE 2 = e ( vB 2 −vE ) VT (6-51)
α
以上二式相除可得
i E1
= e ( vB1 −vB 2 ) VT =Δ e vD VT (6-52)
iE 2

其中定義 v D =Δ v B1 − v B 2 為大訊號差模輸入電壓。又因為兩電流 iE1 和 iE 2 之


和為 I Q ,
iE 1 + i E 2 = I Q (6-53)

以上二式聯立可得
IQ
i E1 = (6-54)
1 + e −vD VT

VCC 電流
IQ
RC RC
iE2 iE1
vC1 vC2
IQ
Q1 Q2
iE1 iE2 2
vB1 + vE + v
− − B2
iE1 iE2
IQ

−VEE −6 −4 −2 0 2 4 6 vD/VT

(a) (b)

圖 6-10 BJT 差動放大器:(a)電路圖,(b)射極電流對差模輸入電壓 vD 之轉換特性。


6.3 BJT 差動放大器 315


IQ
iE 2 = (6-55)
1 + e vD VT
將以上二式作圖可得圖 6-10(b)之兩側射極電流對差模輸入電壓 vD 之轉
換特性曲線。
首先考慮兩電晶體的基極接在一起再連接至一電壓 vCM ,稱為共模電
壓(common-mode voltage)。也就是,如圖 6-11(a)所示,其中 v B1 = v B 2
= vCM 。因為 Q1 和 Q2 匹配,故由對稱的觀念得知電流 I Q 在兩元件中應為
對等分配,即 iE1 = iE 2 = I Q / 2 。或由(6-54)和(6-55)二式代入 vD = 0 亦可得
到相同的結果。這將導致在兩電晶體之集極電壓均為 (VCC − αI Q RC / 2) ,
於是介於兩集極間的電壓差為零。
現在若我們改變共模輸入訊號 vCM 之值。顯然,只要 Q1 和 Q2 維持在主
動區,電流 I Q 仍是對等分配,故在集極的電壓將不會有任何的改變。因
此在理想情況下,差動組態對於共模輸入訊號並不反應;也就是說,整 差動組態對於共模輸入訊
個電路的操作並不會隨 vCM 的改變而改變。 號並不反應。
若令 vB 2 = 0 ,且令 vB1 = +0.5V ,見圖 6-11(b)。此時, Q1 導通, Q2 截
止。因 Q1 導通,射極電壓約 − 0.2V ,可得 Q2 的射基接面逆偏。此時,
集極電壓 vC1 = VCC − αI Q RC 和 vC 2 = VCC 。

α IQ VCC α IQ VCC
α IQ 0
2 2
RC RC RC RC
vC1 = VCC vC2 = VCC vC1= VCC
α IQ vC2 = VCC
− RC α IQ − α IQ RC
2
− R
2 C
vCM Q1 Q2 +0.5V Q1 Q2
IQ IQ
IQ 0
2 2
vCM − 0.7 − 0.2V
IQ
IQ

−VEE −VEE

(a) (b)

圖 6-11 差動放大器兩種操作模式:(a)共模輸入,(b)大的差模輸入。
316 第6章 積體電路放大器

+10V
0.5mA 0.5mA
10kΩ 10kΩ
+5V +5V
vCM
Q1 Q2
IREF = vCM − 0.7
1mA 9.3kΩ
IQ = 1mA

Q4 Q3

−10V

圖 6-12 說明輸入共模範圍之一例。

6.3.2 輸入共模範圍
差動對電晶體操作在主動 所謂輸入共模範圍(input common-mode range,簡稱 ICMR)是指欲使差動
區以及電流源正常工作所 對電晶體操作在主動區以及電流源電晶體正常工作所能容忍輸入共模
能容忍輸入共模電壓的範 電壓的範圍。現以圖 6-12 為例說明,當 Q1 和 Q2 正好離開主動區時將對
圍稱為輸入共模範圍。
應輸入共模電壓的最大值 vCM (max) ,令 VCE1 = 0.2V ,也就是, 5 − (vCM (max)
− 0.7) = 0.2 ,解得 vCM (max) = 5.5V 。當電流源電晶體 Q3 正好離開主動區
時對應輸入共模電壓的最小值 vCM (min) ,令 VCE 3 = 0.2V ,也就是 vCM (min)
− 0.7 + 10 = 0.2V ,解得 vCM (min) = −9.1V 。故介於 vCM (min) 與 vCM (max) 間之電
壓區間即為 ICMR。

6.3.3 小訊號分析
現在將差動放大器的直流成份排除並在兩輸入端間加入一小的差模輸
為使 BJT 小訊號模型適 入訊號 vd ,為使 BJT 小訊號模型適用, vd 之大小必須滿足 BJT 之小訊
用 , vd 之 大 小 必 須 滿 足 號近似,即 vbe << VT 。
BJT 之小訊號近似,即 vbe
圖 6-13(a)顯示一差動放大器之交流等效及其交流電流與電壓值,並假
<< VT。
設偏壓電流源之輸出電阻為無窮大。讀者若對圖 6-13(a)的分析有困難,
可嘗試先以 T-等效模型展開,見圖 6-13(b)。圖中顯示交流射極電流 ie 為
6.3 BJT 差動放大器 317

RC RC
α ie RC RC α ie
C1 C2
vc1 = −α ie RC vc 2 = α ieRC
ie α ie
ib = ib B
1+ β 1
α ie B2
Q1 v Q
ie = d 2
2re
vd + re ie ie re
− vd +

E1 E2
ib R
id

ib
(a) (b)

圖 6-13 差動放大器的小訊號分析:(a)直接分析,(b)T-等效模型展開。

vd
ie = (6-56)
2re
其中射極電阻 re 由偏壓電流源決定,其值為
VT V 2V
re = = T = T (6-57)
I EQ I Q 2 I Q

圖 6-13(a)所顯示的輸入差模電阻(input differential resistance) Rid 是指 Rid 是指介於兩基極間由


介於兩基極間由基極所看到之電阻。也就是,差動輸入訊號 vd 所看到的 基極所看到之電阻。

電阻。由圖 6-13(b),
ie
ib = ie − α ie = (1 − α ) ie = (6-58)
1+ β
故輸入差模電阻 Rid 為
vd vd
Rid =Δ = = (1 + β )(2re ) (6-59)
ib ie (1 + β )

這 個 結 果 只 是 再 一 次 敘 述 大 家 所 熟 悉 的 電 阻 反 射 定 律 (resistance
reflection rule),也就是,介於兩基極間所看到電阻等於射極電路中之全 介於兩基極間所看到電阻
部電阻值乘以 ( β + 1) 。 等於射極電路中之全部電
一差動放大器的輸出電壓訊號可取差模輸出(differential output) vo 。即 阻值乘以(β + 1)。

輸出端介於兩集極間,
318 第6章 積體電路放大器

vo =Δ vc1 − vc 2 (6-60)
定義差模輸出時的差模增益 Ad
vo vc1 − vc 2
Ad =Δ = (6-61)
vd vd

因為
vc1 = −ic RC = −α ie RC (6-62)


vc 2 = ic RC = +α ie RC (6-63)
因此差模輸出電壓 vo 為
⎛v ⎞
vo = vc1 − vc 2 = −2α ie RC = −α (2 RC )⎜ d ⎟ (6-64)
⎝ 2re ⎠
故差模增益 Ad 為
vo 2R
Ad =Δ = −α C = − g m RC (6-65)
vd 2re

6.4 MOS 差動放大器


在過去的幾年中,MOS 電晶體已成為在類比 IC 設計上最看好的元件;
而 MOS 差動對則是 MOS IC 中最重要的建造方塊。

6.4.1 大訊號分析
圖 6-14(a)為一基本的 MOS 差動對。它是由兩個匹配的加強式 MOSFET
Q1 和 Q2 以及一定電流源 I Q 所組成,而定電流源通常是以電流鏡的型態
出現。現在我們假設負載電路將使得兩個 MOSFET 在飽和(主動)區操
作,並且忽略輸出電阻和基體效應。因此汲極電流可表示成
1
i D1 = k n (vGS 1 − Vt ) 2 (6-66)
2
1
iD 2 = k n (vGS 2 − Vt ) 2 (6-67)
2
以上二式可被改寫成
kn
i D1 = (vGS 1 − Vt ) (6-68)
2
6.4 MOS 差動放大器 319

VDD 電流
iD1 RD RD iD2 IQ
iD2 iD1

Q1 Q2 IQ
+ + 2
vG1 + vGS1 vGS2 + v
− − G2
− −
IQ
2I Q 0 2I Q vD

−VSS kn kn
(a) (b)

圖 6-14 MOS 差動放大器:(a)電路圖,(b)汲極電流對差模輸入電壓 vD 之轉換特性。

kn
iD 2 = (vGS 2 − Vt ) (6-69)
2
(6-68)式減去(6-69)式得
kn
i D1 − i D 2 = vD (6-70)
2
其中 vD 為差動輸入電壓,即 v D =Δ vG1 − vG 2 。又因為
i D1 + i D 2 = I Q (6-71)

將(6-70)式和(6-71)式合併可解得
IQ v (v 2) 2
i D1 = + k n I Q ⎛⎜ D ⎞⎟ 1 − D (6-72)
2 ⎝ 2 ⎠ (I Q kn )

IQ v (v 2) 2
iD 2 = − k n I Q ⎛⎜ D ⎞⎟ 1 − D (6-73)
2 ⎝ 2 ⎠ (I Q kn )
將此二汲極電流對 vD 作圖可得圖 6-14(b)之轉換曲線。其中在偏壓點,
vD = 0 ,得 iD1 = I D 2 = I Q / 2 。此時, vGS 1 = vGS 2 = VGSQ 。而其中
IQ 1
= k n (VGSQ − Vt ) 2 (6-74)
2 2
再回到(6-72)式和(6-73)式,我們希望求出在完全切換發生時(例如,
iD1 = I Q 和 iD 2 = 0 ) 所 對 應 的 vD 值 。 令 (6-72) 式 中 右 式 的 第 二 項 等 於
I Q / 2 ,即可得出產生全電流切換所需之切換電壓 vD |sw ,
320 第6章 積體電路放大器

RD RD

vd1 id id vd2

Q1 vd Q2
id =
2 / gm
vd +

圖 6-15 MOS 差動放大器之小訊號分析。

2I Q
v D | sw = (6-75)
kn

可對照圖 6-14(b)橫軸顯示的電壓值。

6.4.2 小訊號分析
若以 T-等效觀點分析,見圖 6-15。其中汲極訊號電流為
vd
id = (6-76)
(2 / g m )
其中 2/gm 代表 MOS 差動 其中 2 g m 代表 MOS 差動放大器中源極線上之全部電阻,小訊號分析的
放大器中源極線上之全部 結果如下:
電阻。
vd
vd 1 = −id RD = − g m RD (6-77)
2
vd
vd 2 = +id RD = + g m RD (6-78)
2
若我們取差動型式輸出,即
vo =Δ vd 1 − vd 2 = − g m RD vd (6-79)

則差模增益為
vo
Ad =Δ = − g m RD (6-80)
vd

■例題 3
圖 6-16(a)為一 MOS 差動放大器,令 k n = 4m A/V 2 和 Vt = 1V 。試計算
6.5 差動放大器的半電路觀念 321

+10V +10V
2mA 2mA

2.5kΩ 2.5kΩ 2.5kΩ 2.5kΩ

Q1 Q2 vCM Q1 Q2
+ +
2V 2V
− −
4mA
4mA

−10V
−10V
(a) (b)

圖 6-16 例題 3:(a)電路圖,(b)共模分析。

電路之輸入共模範圍。假設電流源正常操作所能容忍的最小電壓 VCS (min)


為 2V。

解:
參考圖 6-16(b),並代入(6-74)式,
1
2= × 4 × (VGSQ − 1) 2
2
解得 VGSQ = 2V 。
當 Q1 和 Q2 正 好 離 開 飽 和 區 時 對 應 vCM (max) 。 令 vGD ≤Vt , 即
vCM − 5 ≤1 ,解得 vCM (max) = 6V 。當電流源電晶體 Q3 正好離開飽和區
時對應 vCM (min) 。令

vCM − VGSQ + VSS ≥VCS (min)

其中 vCM (min) 代表電流源正常操作時所能容忍的最小電壓。於是

vCM (min) = VGSQ − VSS + VCS (min) = 2 − 10 + 2 = −6V

6.5 差動放大器的半電路觀念
如圖 6-17 所示,加至差動放大器的輸入訊號 v1 和 v2 通常包含一共模成
份 vcm ,
322 第6章 積體電路放大器

VCC

RC RC

Q1 Q2

vcm + + vcm
− −
v1 vd v2
+ IQ − vd
− +
2 2
−VEE

圖 6-17 差動放大器之輸入訊號 v1 和 v2 可分解為共模訊號 vcm 及差模


訊號 vd 之半的和與差。

v1 + v2
vcm =Δ (6-81)
2
以及一差動成份 vd ,

vd =Δ v1 − v2 (6-82)
因此輸出訊號將是 vd 與 vcm 個別放大的合成,
v1 + v 2 ⎞
vo = Ad (v1 − v 2 ) + Acm ⎛⎜ ⎟ (6-83)
⎝ 2 ⎠

或可寫為
vo = Ad vd + Acm vcm (6-84)

由(6-81)和(6-82)二式可得
1
v1 = vcm + vd (6-85)
2

1
v2 = vcm − vd (6-86)
2
任意輸入訊號 v1 和 v2 均可 即差動放大器上的任意輸入訊號 v1 和 v2 均可分解為共模訊號 vcm 與差模
分解為共模訊號 vcm 與差 訊號之半 vd / 2 的和與差。見圖 6-17,v1 由 vcm 和 vd 2 兩電壓源串聯組成,
模訊號之半 vd/2 的和與
而 v2 則由 vcm 和 ( − vd 2) 串聯而成。
差。
6.5 差動放大器的半電路觀念 323

6.5.1 差模半電路
前面的分析所顯示的結果 ( 例如 (6-65) 式 ) 與共射放大器的結果相當類
似。事實上,差動放大器與共射放大器之間是對等的,見圖 6-18。首先
由圖 6-17 中先將共模成份 vcm 排除,即可得到 v1 = −v2 = vd / 2 ,見圖
6-18(a),稱之為純差模操作。
圖中顯示差模訊號 vd 是以互補的形式加上去的。也就是說,當 Q1 的基
極上升 vd / 2 時, Q2 的基極降低 vd / 2 。在此,我們也考慮了偏壓電流源
的輸出電阻 Ro 。由於電路的對稱性,在共射端點的交流訊號電壓 ve 將為 由於電路的對稱性,在共
射端點的交流訊號電壓 ve
零。因此,這個電路等效於兩個共射放大器,見圖 6-18(b)。
將為零。
綜合以上所述,吾人欲分析一差動放大器可直接利用圖 6-18(b)中之一
共射放大器,此共射電路稱為差模半電路(differential half-circuit)。因此,
差動放大器在差模輸出時的電壓增益即等於半電路之電壓增益 差動放大器在差模輸出時
vc1 /(vd / 2) ,即 的電壓增益即等於半電路
之電壓增益 vc1/(vd/2)。
v c1
Ad = = − g m RC (6-87)
(v d 2)
此結果與(6-65)式完全一致。若考慮 BJT 元件的輸出電阻 ro ,則由圖
6-18(b)得知 Ad 可修正為
Ad = − g m ( RC || ro ) (6-88)

VCC

RC RC RC RC
vc1 vc2 vc1 vc2

Q1 Q2 Q1 Q2
ve
vd + 0V (交流接地) − vd vd + − vd
2 − + 2 2 − IQ IQ + 2
Ro IQ
2 2

(a) (b)

圖 6-18 (a)純差模操作,(b)等效差模半電路。
324 第6章 積體電路放大器

6.5.2 共模半電路
共模增益
重新考慮圖 6-17 並令 vd = 0 可得出 v1 = v2 = vcm ,即純共模操作的電路,
見圖 6-19(a);在此,我們仍放入了電流源的有限輸出電阻 Ro 。仔細觀
輸出電阻 Ro 以對稱方式 察本電路,發現在 Q1 和 Q2 匹配的前提下,本電路具對稱性,故輸出電
(2Ro) 出 現 在 共 模 半 電 路 阻 Ro 亦應以對稱方式 (2 Ro ) 出現在半電路中,此半電路稱為共模半電路
中。
(common-mode half-circuit)。注意,當兩半電路的射極直接相連時,左側
的 2 Ro 並聯右側的 2 Ro 又還原為圖 6-19(a)的輸出電阻 Ro 。
由圖 6-19(b)可得 Q1 集極的共模輸出電壓 vc1
αRC
vc1 = −vcm (6-89)
2 Ro + re
另一集極亦具有相同的共模訊號 vc 2
αRC
vc 2 = −vcm (6-90)
2 Ro + re
定義差模輸出時的共模增益(common-mode gain) Acm
v o Δ v c1 − v c 2
Acm =Δ = =0 (6-91)
vcm vcm
共模排斥比
差動放大器的優值可以共模排斥比(common-mode rejection ratio,CMRR)

VCC

RC RC RC RC
vc1 vc2 vc1 vc2

Q1 Q2 Q1 Q2

vcm +
− + v
− cm
vcm +

+ vcm

IQ IQ
Ro IQ 2Ro 2Ro
2 2

−VEE
(a) (b)

圖 6-19 (a)純共模操作,(b)等效共模半電路。
6.5 差動放大器的半電路觀念 325

來表示,定義為
Ad
CMRR =Δ (6-92)
Acm

通常 CMRR 均以 dB 表示:
Ad
CMRR =Δ 20 log (6-93)
Acm

對於差模輸出而言
Ad
CMRR =Δ =∞ (6-94)
Acm

以上的分析都是假設完美對稱,但實際的電路不可能是完美對稱,導 實際的電路不可能是完美
致即使在差模輸出組態下,共模增益也不為零。為解釋這個事實,考慮 對稱,導致即使在差模輸
完美對稱的情況但在集極電阻上有一不匹配的 Δ RC 。也就是 Q1 的集極電 出組態下,共模增益也不
為零。
阻是 RC ,而 Q2 的則為 ( RC + Δ RC ) ,由此得
αRC
vc1 = −vcm (6-95)
2 Ro + re
α ( RC + Δ RC )
vc 2 = −vcm (6-96)
2 Ro + re

因此輸出共模訊號為
α Δ RC
vo = vc1 − vc 2 = vcm (6-97)
2 Ro + re

且共模增益為
vo α Δ RC
Acm = = (6-98)
vcm 2 Ro + re
顯示在實際情況下,共模增益 Acm 之值完全由差動對兩側集極電阻不匹 Acm 之值完全由差動對兩
側集極電阻不匹配之程度
配之程度決定。
決定。
輸入共模電阻
輸入共模電阻(common-mode input resistance) Ricm 的定義為輸入共模訊
號所看到的電阻,見圖 6-20(a)。圖 6-20(b)顯示一等效共模半電路,其
輸入電阻為 2 Ricm 。
由於輸入共模電阻實在太大了,因此必須將電晶體電阻 ro 和 rμ 同時考
慮進去並將 RC 忽略,如此可得
2 Ricm = rμ || (1 + β )[re + (ro || 2 Ro )] (6-99)
326 第6章 積體電路放大器

VCC

RC RC

RC

Q1 Q2

2Ricm 2Ricm
vcm +
− Q1 ro
Ricm

vcm +

2Ricm
Ro IQ 2Ro IQ
2

(a) (b)

圖 6-20 (a)輸入共模電阻的定義,(b)由共模半電路輸入端所觀察到的輸入電阻為 2Ricm。

因此輸入共模電阻 Ricm 為
rμ (1 + β )
Ricm = [re + (ro || 2 Ro )] (6-100)
2 2

6.6 主動負載差動放大器
在 IC 中,主動元件(電晶體)所佔的矽面積遠較中型或大型尺寸的電阻為
小,於是許多實際的 BJT 積體電路放大器均使用 BJT 負載來取代電阻性
負載 RC 。在此電路中,BJT 負載電晶體通常連接成一定電流源,因此對
主動負載的放大器其電壓 放大電晶體而言,它具有一非常高電阻值的負載。故使用主動負載的放
增益遠較使用被動負載者 大器其電壓增益遠較使用被動負載者為高。
為高。

6.6.1 BJT 主動負載差動放大器


主動負載的差動放大器顯示於圖 6-21(a)中。 Q1 和 Q2 形成差動對,並且
被定電流 I Q 所偏壓。而負載電路則由 Q3 和 Q4 連接成電流鏡組態所組成。
在沒有輸入訊號加入時, Q3 和 Q4 組成的電流鏡將強迫差動對兩側的直
流偏壓電流相等。因此,
6.6 主動負載差動放大器 327

VCC

Q3 Q4

α ie 2α ie = g m vd
vo vo
vd v
α ie = g m α ie = g m d
2 2 +
vd − Rid gmvd Rout
Q1 v Q2 Rout
ie = d
+ 2re
vd −

IQ

−VEE

(a) (b)

圖 6-21 主動負載的 BJT 差動放大器:(a)小訊號分析,(b)簡化的等效電路。

IQ
I E1 = I E 2 = I E 3 = I E 4 = (6-101)
2
當有一輸入差動訊號加入時, Q1 和 Q2 將產生電流訊號 g m (vd / 2) ,其
極 性 見 圖 6-21(a) 。 而 電 流 鏡 將 經 由 Q4 的 集 極 再 產 生 此 訊 號 電 流
g m (vd / 2) 。因此在輸出端產生一全部電流訊號 g m vd ,故輸出訊號電壓 vo

vo = g m vd Rout (6-102)
請對照圖 6-21(b)之簡化等效電路。其中 Rid = 2re (1 + β ) ,而 Rout 為介於輸
出端與接地點間的全部電阻。在此 Rout 為 Q2 和 Q4 兩者輸出電阻之並聯,

Rout = ro 2 || ro 4 (6-103)
假設 ro 2 = ro 4 = ro ,
ro
Rout = (6-104)
2
故輸出電壓為
r
vo = g m vd ⎛⎜ o ⎞⎟ (6-105)
⎝2⎠
328 第6章 積體電路放大器

產生一電壓增益
vo 1
Ad =Δ = g m ro (6-106)
vd 2
代入 g m = I CQ / VT 和 ro = VA / I CQ ,我們得到
1 VA
Ad = (6-107)
2 VT
對一給定的電晶體而言,此值為一常數。在標準情況下, VA = 100V ,
得 g m ro = 4,000 ,故增益約為 2,000。

6.6.2 CMOS 主動負載差動放大器


如圖 6-22 所示為一由 Q1 和 Q2 形成的差動對以及 Q3 和 Q4 形成的電流鏡
所共同組成的 CMOS 差動放大器。圖中顯示訊號電流 is 為
v
is = g m ⎛⎜ d ⎞⎟ (6-108)
⎝ 2⎠
其中
IQ
g m = k n (VGSQ − Vt ) = (6-109)
VGSQ − Vt

輸出訊號電壓為

VDD

Q3 Q4
is
vo
is is

Q1 Q2
vd + is

IQ

−VSS

圖 6-22 CMOS 主動負載差動放大器。


6.6 主動負載差動放大器 329

vo = 2is (ro 2 || ro 4 ) = g m (ro 2 || ro 4 ) vd (6-110)

對於
VA 2V A
ro 2 = ro 4 = ro = = (6-111)
IQ 2 IQ
電壓增益等於
vo 1 VA
Ad =Δ = g m ro = (6-112)
vd 2 VGSQ − Vt

6.6.3 威爾森電流鏡負載 f 選擇性閱讀 e

圖 6-23 顯示一以威爾森電流鏡作為主動負載之差動放大器,此電路是以
pnp 威爾森電流鏡作為主動負載。
T- 等效觀點之分析過程顯示於圖 6-23(a) ,簡化後的等效電路見圖
6-23(b),其中單端輸出 vo 為

VCC

Q5 Q6

Q7 Ro(up)

α 2ie
α 2ie vo vo
VBB α ie2
VBB
Ro(down) vd + Rid Rout
Q3 Q4 Rout −
α gmvd
α ie α ie
Q1 Q2
ie
vd +

IQ

−VEE
(a) (b)

圖 6-23 以威爾森電流鏡作為主動負載之差動放大器:(a)電路圖及分析,(b)簡化的等效電路。
330 第6章 積體電路放大器

vd
vo = 2α 2ie Rout = 2α 2 Rout
2re
= α g m Rout vd (6-113)

於是差模電壓增益為
vo
Ad =Δ = α g m Rout (6-114)
vd

其中由圖 6-23(a)可得
Rout = Ro ( up ) || Ro ( down ) (6-115)

根據本章例題 1,
β
Ro ( up ) ≅ ro (6-116)
2
而 Ro ( down ) 的計算則需引用(6-28)式,即
Ro ( down ) = ro 4 + (1 + g m 4 ro 4 ) (rπ 4 || ro 2 )
≅ g m 4 rπ 4 ro 4 ≅ β 4 ro 4 = β ro (6-117)

整理後可得電壓增益
vo β β
Ad = = α g m ⎛⎜ ro || β ro ⎞⎟ ≅ g m ro (6-118)
vd ⎝2 ⎠ 3
此式與(6-106)式比較後發現差模增益增加了 (2 / 3) β 倍。

■例題 4
圖 6-24(a)為一串疊式(cascode)差動放大器,它是由共基(CB)組態串疊
在共射(CE)組態之上所組成的,試以半電路觀點計算差模輸出時之電
壓增益 Ad =Δ vo / vd ,其中 vo =Δ vc 3 − vc 4 。

解:
圖 6-24(b)顯示串疊差動組態之等效差模半電路,參考(6-117)式可

Ro ( down ) ≅ ro 3 [1 + g m 3 (rπ 3 || ro1 )]
≅ ( β 3 + 1) ro 3 ≅ β 3 ro 3 (6-119)

於是
Rout = RC || Ro ( down ) (6-120)

再由諾頓等效之方法可得
6.7 偏移電壓和偏壓電流 331

VCC

RC RC

vc3 vc4 RC
VBB VBB
Ro(down) vc3
Q3 Q4

Q3 Rout
Q1 Q2 v
g m1 d
vd + 2

Q1
vd +
IQ −
2

−VEE

(a) (b)

圖 6-24 例題 4:(a)電路圖,(b)等效差模半電路。

α
vc 3 = − g m1 Rout vd (6-121)
2
同理可得另一半電路的輸出電壓 vc 4 ,
α
vc 4 = + g m1 Rout vd (6-122)
2
若取差模輸出 vo ,
vo =Δ vc 3 − vc 4 = −α g m1 Rout vd (6-123)
於是,差模增益為
vo
Ad =Δ = −α g m1 Rout (6-124)
vd

6.7 偏移電壓和偏壓電流 f 選擇性閱讀 e

6.7.1 偏移電壓
如圖 6-25(a)所示,將差動放大器兩端接地,若差動對兩側完全匹配(也
就是 Q1 和 Q2 完全一樣且 RC1 = RC 2 = RC ),則 VO 將為零。但是實際電路不
332 第6章 積體電路放大器

可能完全對稱,即使將兩輸入端接地亦不可能使 VO 為零,故我們稱此 VO
將 VO 除以放大器之差動 為輸出直流偏移電壓(offset voltage)。通常將 VO 除以放大器之差動增益
增益 Ad,即可得到輸入偏 Ad ,即可得到輸入偏移電壓(input offset voltage) Voff ,
移電壓 Voff。
VO
Voff =Δ (6-125)
Ad
顯然,若在差動放大器之兩輸入端間外加上一電壓 − Voff ,則將產生一
大小相等極性相反的輸出電壓疊加在原有的 VO 之上使 VO 降至零,如圖
6-25(b)所示。
首先考慮負載不匹配所造成的偏移電壓 Voff 1 ,

⎛ α IQ ⎞ ⎛ Δ RC ⎞
VC1 = VCC − ⎜ ⎟ ⎜ RC + ⎟ (6-126)
⎝ 2 ⎠⎝ 2 ⎠
⎛ α IQ ⎞ ⎛ Δ RC ⎞
VC 2 = VCC − ⎜ ⎟ ⎜ RC − ⎟ (6-127)
⎝ 2 ⎠⎝ 2 ⎠

因此輸出電壓為
⎛ IQ ⎞
VO = VC 2 − VC1 = α ⎜ ⎟ (ΔRC ) (6-128)
⎝ 2 ⎠
且輸入偏移電壓為

VCC VCC

ΔRC ΔRC ΔRC ΔRC


RC + RC − RC + RC −
2 2 2 2

− VO + − 0V +
Q1 Q2 Q1 Q2
Voff

IQ IQ

−VEE −VEE
(a) (b)

圖 6-25 (a)將差動對之兩輸入端接地,由於負載不對稱,導致直流輸出 VO 的產生,(b)將輸入偏移


電壓之極性反過來加至輸入端將使 VO 降為零。
6.7 偏移電壓和偏壓電流 333

⎛ IQ ⎞ ⎛ IQ ⎞
α⎜ ⎟ (ΔRC ) α ⎜ ⎟ (ΔRC )
Voff 1 = ⎝ 2 ⎠ = ⎝ ⎠
2
(6-129)
Ad ⎛ αI Q ⎞
−⎜ ⎟ RC
⎝ 2VT ⎠
整理得
⎛ Δ RC ⎞
| Voff 1 | = VT ⎜ ⎟ (6-130)
⎝ RC ⎠
現在考慮另外一種情況,假設電晶體的射基接面面積不匹配,則此面
積的不匹配將造成 I S 的不匹配。考慮 Δ RC = 0 且令 I S 1 = I S + (Δ I S / 2) ,
I S 2 = I S − (Δ I S / 2) ,注意 VBE1 = VBE 2 ,則
IQ ⎛ ΔIS ⎞
I E1 = ⎜1 + ⎟ (6-131)
2 ⎝ 2I S ⎠
IQ ⎛ ΔI S ⎞
I E2 = ⎜1 − ⎟ (6-132)
2 ⎝ 2I S ⎠

因此輸出偏移電壓為
⎛ IQ ⎞ ⎛ ΔI ⎞
VO = α ⎜ ⎟ ⎜ S ⎟ RC (6-133)
⎝ 2 ⎠ ⎝ IS ⎠
而所對應的輸入偏移電壓為
⎛ ΔI ⎞
| Voff 2 | = VT ⎜ S ⎟ (6-134)
⎝ IS ⎠
根 據 機 率 理 論 可 計 算 出 全 部 輸 入 偏 移 電 壓 的 標 準 差 (standard
deviation) σ Voff 為

σ Voff = σ V2off 1 + σ V2off 2 (6-135)

其中 σ Voff 1 代表由於負載不匹配所產生的標準差和 σ Voff 2 代表由於電晶體

不匹配所產生的標準差,
σ Voff 1 = VT σ ΔRC RC (6-136)


σ Voff 2 = VT σ ΔI S IS (6-137)

於是全部輸入偏移電壓的標準差為
σ Voff = VT σ Δ2RC RC + σ Δ2I S IS (6-138)
334 第6章 積體電路放大器

6.7.2 偏壓電流
在完美對稱之差動對中,兩輸入端載有相同的直流電流,其中
IQ / 2
I B1 = I B 2 = (6-139)
β +1
此即差動放大器之輸入偏壓電流。但放大器電路不對稱或兩電晶體的 β
不匹配將使這兩個電流不相等,其間之差值即為輸入偏移電流 (input
offset current) I off ,定義為

I off =Δ | I B1 − I B 2 | (6-140)

令 β1 = β + (Δβ / 2) , β 2 = β − ( Δβ / 2) ,則

⎛I ⎞ 1 I 1 1
I B1 = ⎜ Q ⎟ ≅ Q
⎝ 2 ⎠ β +1+ Δβ 2 β + 1 Δβ
1+
2 2β
IQ ⎛ Δβ ⎞
≅ ⎜1 − ⎟ (6-141)
2( β + 1) ⎝ 2 β ⎠

⎛I ⎞ 1 I 1 1
I B2 = ⎜ Q ⎟ ≅ Q
⎝ 2 ⎠ β +1− Δβ 2 β + 1 1 − Δβ
2 2β
IQ ⎛ Δβ ⎞
≅ ⎜1 + ⎟ (6-142)
2( β + 1) ⎝ 2 β ⎠

因此輸入偏移電流為
IQ ⎛ Δβ ⎞
I off = ⎜ ⎟ (6-143)
2( β + 1) ⎝ β ⎠

至於輸入偏壓電流(input bias current) I B 則被定義如下:

I B1 + I B 2 IQ
I B =Δ = (6-144)
2 2( β + 1)

■例題 5
MOS 差動對中產生直流偏移電壓的三個因素為:負載電阻不匹配, k n
值不匹配,和 Vt 值不匹配。考慮圖 6-26 的差動對,注意兩個輸入均為
接地,所得到的 VO 即為輸出直流偏移電壓 VO 。試利用本節介紹內容分
別推導 MOS 差動對在以上三種情況之輸入偏移電壓。
6.7 偏移電壓和偏壓電流 335

VDD

RD1 RD2

ID1 ID2
− VO +
Q1 Q2

IQ

−VSS

圖 6-26 例題 5:附圖。

解:
首先,考慮 Q1 和 Q2 是完美匹配,但 RD1 和 RD 2 間有一 ΔRD 的不匹
配;也就是,
ΔR
RD1 = RD + ⎛⎜ D ⎞⎟ (6-145)
⎝ 2 ⎠
ΔR
RD 2 = RD − ⎛⎜ D ⎞⎟ (6-146)
⎝ 2 ⎠
則輸出電壓 VO 為

⎛I ⎞
VO = ⎜ Q ⎟ Δ RD (6-147)
⎝ 2⎠
將 VO 除以差模增益 g m RD 即可得對應的輸入偏移電壓:

⎛ IQ ⎞
⎜ ⎟ ΔRD 1 k n (VGSQ − Vt ) 2
VO 2 Δ RD
Voff 1 = =⎝ ⎠ = 2
| Ad | g m RD k n (VGSQ − Vt ) RD

1 Δ RD
= (VGSQ − Vt ) (6-148)
2 RD

再者,考慮 Q1 和 Q2 間出現 k n 值不匹配效應,即


Δ kn
k n1 = k n + (6-149)
2
336 第6章 積體電路放大器

Δ kn
kn 2 = kn − (6-150)
2
此時電流 I D1 和 I D 2 將不再相等,經簡單推導可得
IQ I Q ⎛ Δ kn ⎞
I D1 = + ⎜ ⎟ (6-151)
2 2 ⎝ 2k n ⎠

IQ I Q ⎛ Δ kn ⎞
I D2 = − ⎜ ⎟ (6-152)
2 2 ⎝ 2k n ⎠
於是輸出電壓 VO 為
VO = (VDD − I D 2 RD ) − (VDD − I D1 RD )
I Q ⎛ Δ kn ⎞
= ( I D1 − I D 2 ) RD = ⎜ ⎟ RD (6-153)
2 ⎝ kn ⎠

故偏移電壓為
VO 1 ⎛ Δk ⎞
Voff 2 = = (VGSQ − Vt )⎜ n ⎟ (6-154)
| Ad | 2 ⎝ kn ⎠
最後,考慮介於兩元件臨界電壓之間有一 ΔVt 的不匹配,
ΔVt
Vt1 = Vt + (6-155)
2
ΔVt
Vt 2 = Vt − (6-156)
2
則電流 I D1 為
2
1 ΔV
I D1 = k n ⎛⎜VGSQ − Vt − t ⎞⎟
2 ⎝ 2 ⎠
2
1 ⎡ ΔVt ⎤
= k n (VGSQ − Vt ) 2 ⎢1 − ⎥ (6-157)
2 ⎣ 2(VGSQ − Vt ) ⎦
若 ΔVt << 2(VGSQ − Vt ) ,則

1 ⎡ ΔVt ⎤
I D1 ≅ k n (VGSQ − Vt ) 2 ⎢1 − ⎥ (6-158)
2 ⎣ (VGSQ − Vt ) ⎦
同理,
1 ⎡ ΔVt ⎤
I D2 ≅ k n (VGSQ − Vt ) 2 ⎢1 + ⎥ (6-159)
2 ⎣ (VGSQ − Vt ) ⎦
於是輸出電壓 VO 為
6.8 NMOS 放大器 337

ΔVt
VO = ( I D1 − I D 2 ) RD = −k n (VGSQ − Vt ) 2 RD
(VGSQ − Vt )
= − k n (VGSQ − Vt )ΔVt RD (6-160)

故偏移電壓為
| Vo |
Voff 3 = = ΔVt (6-161)
| Ad |
以目前的多晶矽閘極 MOS 技術來說, ΔVt 可以高達 2mV 。我們注
意到在 BJT 差動放大器中並沒有出現 ΔVt 。另外,將 MOS 差動對
中(6-148)式和(6-154)式的 Voff 與 BJT 差動對(6-130)式和(6-134)式的
Voff 作一比較,我們發現因為 (VGSQ − Vt ) / 2 通常遠大於 VT ,所以在
MOS 差動對中的偏移電壓會較大。最後,由(6-148)式和(6-154)式
可看出欲使 Voff 小,則必須將 Q1 和 Q2 操作在 VGSQ − Vt 很小的範圍內。

6.8 NMOS 放大器


圖 6-27(a)為一加強式負載 NMOS 放大器,其中負載 Q2 為一雙端元件。
因為滿足 VGD < Vt ,故 Q2 必然工作於飽和區。如欲使本電路作為一放大
器使用,則 Q1 必須工作在飽和區。以下先進行小訊號分析;在第二部份,
我們將考慮基體效應對本電路所造成的衝擊。

6.8.1 小訊號分析
接下來我們將考慮圖 6-27(a)放大器之小訊號分析,圖 6-27(b)是將兩電
晶體以其等效電路模型取代後所得到的放大器等效電路,分析如下:
⎛ 1 ⎞
vo = − g m1v gs1 ⎜ || ro1 || ro 2 ⎟ (6-162)
⎝ gm2 ⎠
因為 vgs1 = vi ,所以電壓增益為

vo ⎛ 1 ⎞
AV =Δ = − g m1 ⎜ ro1 || ro 2 || ⎟ = − g m1 Rout (6-163)
vi ⎝ gm2 ⎠
其中輸出電阻 Rout 為
1
Rout = ro1 || ro 2 || (6-164)
gm2
若 ro1 和 ro 2 均遠大於 (1 / g m 2 ) ,則
338 第6章 積體電路放大器

VDD
G1 MOSFET D1 S 2 MOSFET

+g v − +
m1 gs1
Q2 vi + vgs1 ro1 vgs2 ro2 vo
− gm2vgs2
vO
− + −
vI
Q1 S 1 G2 D2
Rout

(a) (b)

圖 6-27 加強式負載的 NMOS 放大器:(a)電路圖,(b)小訊號等效電路。

g m1 k
AV ≅ − = − n1 (6-165)
gm2 kn 2

6.8.2 基體效應
圖 6-28 為一顯示基體連接方式的加強式負載放大器。回顧第 5 章的 MOS
的基體效應方程式,
Vt = Vto + γ ( vSB + 2φ F − 2φ F ) (6-166)

如欲將上式以小訊號方式呈現,則必須在小訊號模型中加入電壓控制
電流源 g mb vbs ,其中基體轉導 g mb 為
⎛ ∂V ⎞
g mb = g m ⎜ t ⎟ = g m χ (6-167)
⎝ ∂vSB ⎠
對 Q2 而言,其源極與基體 觀察圖 6-28(a)發現, Q1 不受基體效應的影響,但對 Q2 而言,其源極
並未接在一起,故 Q2 將遭 與基體並未接在一起,故 Q2 將遭受基體效應的影響,其小訊號等效電路
受基體效應的影響。
見圖 6-28(b)。此等效電路與圖 6-27(b)的唯一差異在於多了一個控制電
流源 g mb 2 vbs 2 ,它代表 Q2 的基體效應。分析如下:
⎛ 1 1 ⎞
vo = − g m1v gs1 ⎜ || || ro1 || ro 2 ⎟ = − g m1 Rout vi (6-168)
⎝ g m 2 g mb 2 ⎠
其中
1 1
Rout = || || ro1 || ro 2 (6-169)
g m 2 g mb 2
6.8 NMOS 放大器 339

VDD

Q2

B2
S2
vO
Q1

B1
vI +
− S1

(a)

G1 MOSFET D1 S2 MOSFET

+g v − − +
m1 gs1
vi + vgs1 vgs2 ro2 vbs2 vo
− ro1
gm2vgs2 gmb2vbs2
− + + −

S 1 B1 B2 G2 D2 Rout

(b)

圖 6-28 (a)顯示基體連接方式的加強式負載 NMOS 放大器,(b)考慮


Q2 基體效應之後的小訊號等效電路。

假設 ro1 和 ro 2 遠大於 1 / g m 2 ,則
g m1
AV ≅ − (6-170)
g m 2 + g mb 2

於是
g m1 1
AV = − (6-171)
gm2 1 + χ

此結果代表負載元件的基體效應使得增益下降了 1 /(1 + χ ) 倍。注意,χ 為 負載元件的基體效應使得


一正值且正比於基體效應係數 γ ,參考(5-106)式。因此,若 MOS 的基 增益下降了 1/(1 +χ)倍。

體效應愈嚴重,即 γ 愈大,則放大器增益的衰退將愈明顯。
340 第6章 積體電路放大器

VDD
G1 MOSFET D1 S 2 G2 MOSFET

+g v − +
Q2 m1 gs1
vi + vgs1 ro1 ro2 vbs2 vo
− gmb2vbs2
vO
− + −
vI
Q1
S 1 B1 B2 D2 Rout

(a) (b)

圖 6-29 例題 6:(a)電路圖,(b)小訊號等效電路。

■例題 6
圖 6-29(a)為一空乏式負載 NMOS 放大器,假設 Q1 和 Q2 均工作於飽和
區,試以小訊號分析推導其電壓增益表示式。註: Q1 和 Q2 工作在飽和
區的這個前提非常重要,否則圖 5-24 的小訊號模型將崩潰且小訊號分
析毫無意義。

解:
若不考慮基體效應,則放大器的輸出電阻將由 ro1 和 ro 2 的並聯來決
定。因此,放大器的電壓增益為
vo
AV =Δ = − g m1 (ro1 || ro 2 ) (6-172)
vi

實際的增益將比(6-172)式所得者要小得多,主要是因為基體效應對
電晶體 Q2 的影響。特別值得注意的是,因 Q2 的基體接地,故 − vo 的
電壓訊號將出現在基體與源極之間。放大器的小訊號等效電路見圖
6-29(b),分析如下:
⎛ 1 ⎞
vo = − g m1v gs1 ⎜ || ro1 || ro 2 ⎟ = − g m1 Rout v gs1 (6-173)
⎝ g mb 2 ⎠

其中輸出電阻 Rout 為

1
Rout = ro1 || ro 2 || (6-174)
g mb 2
6.9 CMOS 與 BiCMOS 放大器 341

6.9 CMOS 與 BiCMOS 放大器

6.9.1 共源放大器
在互補式金氧半(Complementary MOS,簡稱 CMOS)技術中同時具有 n-
通道和 p-通道元件,因此可以做出許多不同的電路設計。更者,NMOS NMOS 電路中造成特性嚴
電路中造成特性嚴重衰退的基體效應在 CMOS 電路中可被消除。基本的 重衰退的基體效應在
CMOS 共源放大器見圖 6-30(a)。這裡 Q2 和 Q3 是一組匹配的 p-通道元 CMOS 電路中可被消除。

件,並且連接成電流鏡的型式。注意當 vSD 2 > vSG 2 − | Vtp | 時, Q2 將在飽和


區操作,其中 vSG 2 為對應 I REF 的直流偏壓。此時 Q2 具有一高的輸出電阻
ro 2 ,因此,若 Q1 在飽和區操作,依據圖 6-30(b)的小訊號等效電路,則
此放大器的小訊號電壓增益為
vo
AV =Δ = − g m1 (ro1 || ro 2 ) (6-175)
vi

其中
g m1 = 2k n I REF = 2 μ nCox (W L )1 I REF (6-176)

假設
| VA |
ro1 = ro 2 = (6-177)
I REF

所以將(6-176)式和(6-177)式代入(6-175)式最後得

VDD
G1 MOSFET D1
Q3 Q2
+ +
vO vi + vgs1 ro1 ro2 vo
− gm1vgs1
IREF vI Q1 − −

S1
Rout
−VSS
(a) (b)

圖 6-30 CMOS 共源放大器:(a)電路圖,(b)小訊號等效電路。


342 第6章 積體電路放大器

kn | VA |
AV = − (6-178)
2 I REF

因此電壓增益與偏壓電流的開根號成反比。

6.9.2 源極追隨器
圖 6-31 為一以 NMOS 電流鏡偏壓之源極追隨器及其小訊號等效電路。
分析如下:
⎛ 1 ⎞
⎜ ro1 || ro 2 || ⎟
v ⎝ g mb1 ⎠
AV = =
Δ o
(6-179)
vi 1 ⎛ 1 ⎞
+ ⎜ ro1 || ro 2 || ⎟
g m1 ⎝ g mb1 ⎠

一般而言, 1 / g mb1 << ro1 、 ro 2 ,於是


1 g mb1 g m1
AV ≅ = (6-180)
1 g m1 + 1 g mb1 g m1 + g mb1

因為 g mb1 = g m1 χ ,故
1
AV ≅ (6-181)
1+ χ

源極追隨器之增益受到基 由於 χ 值約 0.1 至 0.3,故主動負載源極追隨器之增益受到基體效應的影


體效應的影響將降低 10%
響將降低 10%至 30%。
至 30%。

G1 MOSFET D1
VDD
+
gm1vgs1 gmb1vbs1
IREF vi + vgs1 ro1
vI Q1 −

vO
S1 − vo
Q3 Q2
vbs1 ro2
−VSS + B
1 Rout

(a) (b)

圖 6-31 源極追隨器:(a)電路圖,(b)小訊號等效電路。
6.9 CMOS 與 BiCMOS 放大器 343

輸出電阻 Rout 為
1 1
Rout = ro1 || ro 2 || || (6-182)
g m1 g mb1

若忽略 ro1 和 ro 2 ,則
1 1 1 1
Rout ≅ || = = (6-183)
g m1 g mb1 g m1 + g mb1 g m1 (1 + χ )

6.9.3 BiCMOS 放大器


在設計積體電路放大器或其他類比電路時,存在兩種基本的技術──
BJT 和 CMOS。其中 BJT 的主要優點為轉導值高和頻寬大,而 MOS 的
主要優點為高輸入電阻,低輸入偏壓電流等。於是若吾人能整合這兩種
技術,預期將能實現出高表現的類比積體電路,此即 BiCMOS 技術。
串疊放大器
圖 6-32(a)為一 BiCMOS 串疊放大器,假設直流偏壓 VBB 值之選擇可使 Q2 假設直流偏壓 VBB 值之選
主動且 Q1 飽和,則電壓增益 擇可使 Q2 主動且 Q1 飽和。

vo
= −α 2 g m1 Rout (6-184)
vi
其中輸出電阻 Rout 為
Rout = ro 2 + ( g m 2 ro 2 + 1)(ro1 || rπ 2 ) ≅ β 2 ro 2 (6-185)

於是
vo
AV =Δ ≅ − g m1 β 2 ro 2 (6-186)
vi

雙串疊放大器
圖 6-32(b)為 BiCMOS 雙串疊(double cascode)放大器,假設 VBB1 和 VBB 2 之 假設 VBB1 和 VBB2 之選擇可
選擇可使 Q1 和 Q3 飽和, Q2 主動,則 使 Q1 和 Q3 飽和,Q2 主動。

vo
AV =Δ ≅ − g m1 Rout (6-187)
vi
其中輸出電阻 Rout 為
Rout = ro 3 + ( g m 3 ro 3 + 1) Ro 2 ≅ ( g m 3 ro 3 )( β 2 ro 2 ) (6-188)

於是
vo
AV =Δ ≅ − g m1 g m 3 ro 3 β 2 ro 2 (6-189)
vi
344 第6章 積體電路放大器

VCC

VCC IQ
VBB2
vO
IQ Q3
R
VBB vO VBB1 Ro2 out

Q2 Q2
Rout

vI Q1 vI Q1

(a) (b)

圖 6-32 BiCMOS 放大器:(a)串疊放大器,(b)雙串疊放大器。

■例題 7
圖 6-33(a)為一 CMOS 共閘放大器電路,假設 PMOS 電流鏡與直流電源
VBB 之選擇可使 Q1 和 Q2 正常工作於飽和區。試推導:
(a)電壓增益表示式,
(b)輸入電阻表示式。

VDD B1 G1 MOSFET D1
vo
Q3 Q2 +
gmb1vbs1
vgs1= vbs1 ro1 ro2
vO gm1vgs1
VBB is S1 −
IREF Q1
vi +
vI −
Rin
−VSS

(a) (b)

圖 6-33 例題 7:(a)電路圖,(b)小訊號等效電路。
練習題 345

解:
(a) 圖 6-33(b)為一 CMOS 共閘放大器之小訊號等效電路。由圖中可
看出
vgs1 = vbs1 = −vi (6-190)

並由節點○
D1 寫下一節點方程式:

vo − vi vo
g m1vgs1 + g mb1vbs1 + + =0 (6-191)
ro1 ro 2

(6-190)式代入(6-191)式可得
vo v −v
= ( g m1 + g mb1 )vi + i o (6-192)
ro 2 ro1

整理得
1
g m1 + g mb1 +
vo ro1
AV =Δ =
vi 1 1
+
ro1 ro 2
⎛ 1 ⎞
= ⎜ g m1 + g mb1 + ⎟ ( ro1 || ro 2 ) (6-193)
⎝ ro1 ⎠

(b) 由節點可○
S1 可得

vo − vi
is + g m1vgs1 + g mb1vbs1 + =0 (6-194)
ro1
代入(6-190)式得
⎛ 1 ⎞ v
i s = ⎜ g m1 + g mb1 + ⎟ vi − o (6-195)
⎝ ro1 ⎠ ro1

將(6-193)式代入上式得
⎛ 1 ⎞⎛ ro 2 ⎞
i s = ⎜ g m1 + g mb1 + ⎟ ⎜1 − ⎟ vi (6-196)
⎝ ro1 ⎠ ⎝ ro1 + ro 2 ⎠
於是輸入電阻 Rin 為
vi 1 ⎛ ro 2 ⎞
Rin =Δ = ⎜1 + ⎟ (6-197)
is g + g + 1 ⎝ ro1 ⎠
m1 mb1
ro1

6.1 參考圖 6-1(a)之 BJT 電流鏡,分析令 I REF = 1mA 且 Q1 和 Q2 匹配,試 § 練習題


346 第6章 積體電路放大器

計算下列三情況下之輸出電流 I O ,假設 VBE = 0.8V 和 VO = 2V。


(a) β = 20 和 VA = ∞ 。
(b) β = ∞ 和 VA = 10V 。
(c) β = 20 和 VA = 10V 。

6.2 附圖所示為一基本電流鏡電路,試計算其輸出電流 I O 與輸出電壓


VO 。假設 β = 50 , VA = 50V , VBE ( on ) = 0.6V 。

VCC = +15V

IREF IO 8kΩ
14.7kΩ

VO

Q1 Q2

−VEE= −15V

習題 6.2 附圖。

6.3 如附圖所示的電流源,假設 Q3 的射基接面面積為 Q1 和 Q2 的三倍。


令 β = ∞ , VA = 100V , VBE = 0.7 V 。試計算輸出電流 I O 值。

+15V

R = 10kΩ
IO

VO = +10V
Q2
Q1 Q3

×3

習題 6.3 附圖。

6.4 附圖所示為一操縱電流電路,令 | VBE | = 0.7 V , β = ∞ 和 VA = ∞ 。計算


(a) 輸出電壓 VO 。
(b) Q3 和 Q4 的集基接面逆偏值,VCB 3 和 VBC 4 ,以證實 Q3 和 Q4 確實工
練習題 347

作於主動區。

+10V

+10V Q5 Q4
+
VBC4
9.3kΩ −
9.3kΩ VO
+
VCB3
6kΩ

Q1 Q3
Q2

習題 6.4 附圖。

6.5 如附圖所示電路中,各電晶體均互相匹配。假設 VBE = 0.7 V ,


VCE ( sat ) = 0.2V , β = ∞ ,試分別求
(a) R = 1k Ω 。
(b) R = 2k Ω 。
(c) R = 4k Ω 時之 I1 、 I 2 、 I 3 之值。

+10V

Q1 Q2 Q3 Q4

I3 R R

I2 I1 6kΩ
R

Q6 Q7
Q5 Q8

−10V

習題 6.5 附圖。
348 第6章 積體電路放大器

+10V

Q1 Q2 Q6 Q8
V3
V2
I3 1kΩ I4 I5 10kΩ

I2 V4 V5
I1 18.6kΩ
2kΩ
Q9 Q10
V1
Q4 Q5
Q3 Q7
11.5kΩ

−10V

習題 6.7 附圖。

6.6 參 考 圖 6-4 之 韋 勒 電 流 源 , 令 VCC = 15V , VEE = 0 , β = 70 ,


VA = 120V 。選擇適當的參考電流 I REF 及電阻 R 和 RE 使之滿足輸出
電流 I O = 12 μA 和輸出電阻 Rout = 50MΩ 。

6.7 附 圖 所 示 為 一 積 體 電 路 中 之 操 縱 電 流 電 路 , 假 設 β = 200 ,
| VCE ( sat ) | = 0.2V 和 | VBE | = 0.7 V 。
(a) 試計算所有標示的分支電流以及節點電壓。
(b) 若電流 I 3 通過的電阻值由 1kΩ 增加 15 倍變為 15kΩ,試問 I 3 和 V3
分別為何?
6.8 參考圖 6-7 之 MOS 電流鏡,令 Q1 和 Q2 匹配, k n = μ n COX (W / L)
= 40 μA/V 2 , Vt = 0.8V , λ = 0.05V −1 , I REF = 10 μA 。試問:
(a) 若希望 I O = I REF = 10μA ,則輸出電壓 VO 的值為何?
(b) 當輸出電壓 VO 增加+2V 時,計算 I O 的變動值。
(c) 在進入三極區前所能容忍 VO 的最小值。

6.9 考慮一電流源偏壓之共射放大器,令 β = 100 。計算


(a) 參考偏壓電流 I REF 。
(b) Q1 的直流集極電壓 VC1 。
(c) 小訊號電壓增益 vo / vs 。
練習題 349

VCC = +10V

RC = 2.5kΩ
+10V
vo

IREF Q1
5kΩ vs

Q3 Q2

−VEE= −10V

習題 6.9 附圖。

6.10 附圖所示的差動放大器,令 β = 100 。


(a) 計算輸入差模電阻 Rid 。
(b) 計算全級電壓增益 vo / vs 。

6.11 附圖所示為一差動放大器,令所有 BJT 一致且 VBE = 0.7 V , β = 100


和 V A = 100V 。

+15V

10kΩ 10kΩ
− +
vo
10kΩ
Q1 Q2

vs +
− Rid 150Ω 150Ω

200kΩ IQ = 1mA

習題 6.10 附圖。
350 第6章 積體電路放大器

+5V

8kΩ 8kΩ

18.6kΩ vo

Q1 Q2
v1 v2

Q4 Q3

−5V

習題 6.11 附圖。

(a) 令 v1 = v 2 = 0V ,計算 Q1 和 Q3 之集基接面電壓 VCB 以證實 Q1 和 Q3


確實工作於主動區。
(b) 計算單端輸出的差模增益 vo / vd ,其中 vd = v1 − v2 。
(c) 計算單端輸出的共模增益 vo / vcm 。
(d) 計算輸入差模電阻 Rid 。
(e) 計算輸入共模電阻 Ricm 。

6.12 附圖所示的差動放大器是利用一連接至負電源的電阻以建立一偏
壓電流。

+5V

2kΩ 2kΩ
vo

vB1 Q1 Q2 vB2

4.3kΩ

−5V

習題 6.12 附圖。
練習題 351

(a) 給定 vB1 = vd / 2 和 vB 2 = −vd / 2 ,其中 vd 為零平均值的小訊號,計


算差模增益之大小 | vo / vd | 。
(b) 給定 vB1 = vB 2 = vcm ,計算共模增益之大小 | vo / vcm | 。
(c) 若
v B1 = 0.2 sin 2π f cm t + 0.002 sin 2π f d t (V)

v B 2 = 0.2 sin 2π f cm t − 0.002 sin 2π f d t (V )
其中 f cm = 60Hz 和 f d = 2kHz ,計算 vo 。

6.13 附圖所示為一加強式負載 NMOS 放大器,假設 MOS 電晶體的參數



Q1 : Vt = 1V , W1 = 100 μ m , L1 : 5μ m , μ nCOX = 100μ A/V 2
Q2 : Vt = 1V , W2 = 30 μ m , L2 : 6 μ m , μ nCOX = 100μ A/V 2

(a) 令 VGS = 3V ,計算輸出直流電流 I O 。


(b) 計算電壓增益。
6.14 附圖所示為一 CMOS 放大器, QN 和 QP 匹配且 k n = 80μ A/V 2 ,Vt =
±2V , RG = 5MΩ 。
(a) 計算直流偏壓電流 I DQ 。
(b) 若 ro = ∞ ,計算由○
G 至○
D 的電壓增益。
(c) 若 | VA | = 150V ,計算電壓增益和輸入電阻。

VDD

Q2
IO
v O = VO + v o

Q1
vgs +

VGS = 3V

習題 6.13 附圖。
352 第6章 積體電路放大器

+5V

QP
RG IDQ
G D

QN
Rin

−5V

習題 6.14 附圖。

VDD = 9V

Q1 Rout
CC = ∞
vi +
− vo
+
VGG VDSQ RL = 10kΩ
Q2 −

習題 6.15 附圖。

(d) 欲使 QN 和 QP 操作在飽和區所允許的輸出訊號擺幅範圍。
6.15 附 圖 所 示 為 一 MOS 源 極 追 隨 器 。 對 Q1 而 言 , k n = 2mA/V2 和
Vt = 1V ;對 Q2 而言, k n = 0.2mA/V2 和 Vt = 1V 。假設忽略 MOS 的
基體效應與通道長度調變效應,即 γ = 0 和 λ = 0 。
(a) 選擇一適當的 VGG 值使得 Q2 的 VDSQ 值正好為 4V。
(b) 計算小訊號電壓增益 vo / vi 。
(c) 計算輸出電阻 Rout 。

6.16 附圖所示為一 BiCMOS 雙串疊電流鏡(double cascode current mirror),


試以小訊號模型展開分析此電流鏡並寫出其輸出電阻 Rout 之近似表
示式。
練習題 353

VDD

IREF Rout

IO
Q4 Q3

Q5 Q2

Q6 Q1

習題 6.16 附圖。

VCC= +15V

R1=10kΩ R2=10kΩ R3=3kΩ R 4=


2.3kΩ
+ vo1 −
Rid vo2 Q5
Ri 2
Q1 Q2 Q3 Q4
vd + Ri 3

vo3 Q6

14.3kΩ IREF IQ 1 IQ 2 vo
Ri 4

R5=15.7kΩ Rout
Q8
Q7 Q9 R6=3kΩ
×2

−VEE= −15V

習題 6.17 附圖
354 第6章 積體電路放大器

6.17 附圖所示為一多級放大器電路,假設 β = 100 ,


(a) 計算電流鏡之偏壓電流 I REF 、 I Q1 和 I Q 2 。
(b) 計算 Q1 至 Q6 各電晶體之射極偏壓電流。
(c) 計算正電源的供應功率 PS + 和負電源的供應功率 PS − 。

6.18 承 6.17 題之多級放大器電路,試進行交流小訊號分析並


(a) 計算 Q1 至 Q6 各電晶體之射極電阻 re 。
(b) 寫出各級輸入電阻 Rid 、 Ri 2 、 Ri 3 和 Ri 4 之表示式。
(c) 寫出各級電壓增益之表示式,其中 A1 Δ vo1 / vd , A2 Δ vo 2 / vo1 ,
A3 Δ vo 3 / vo 2 , A4 Δ vo / vo 3
(d) 寫出輸出電阻 Rout 之表示式。

參考書目
1.P. R. Gray, P. J. Hurst, S. H. Lewis, and R. G. NJ: Prentice Hall, Inc., 1995.
Meyer. “Analysis and Design of Analog 6. D. A. Neamen, “Microelectronics: Circuit
Integrated Circuits,” 5th ed., John Wiley and Analysis and Design,” 4th ed. McGraw-Hill,
Sons, New York, 2009. 2009.
2. A. S. Sedra and K. C. Smith, “Microelectronic 7.R. T. Howe and C. G. Sodini, “Microelectronics:
Circuits,” 6th ed. Oxford University Press, An Integrated Approach,” Prentice-Hall
2009. International, Inc., 1996.
3. T. C. Carusone, D. A. Johns and K. Martin, 8. J. Millman, and A. Grabel. “Microelectronics,”
“Analog Integrated Circuit Design,” 2nd ed. 3rd ed. New York: McGraw-Hill Book Co.,
John Wiley and Sons, New York, 2011. 1999.
4. B. Razavi, “Design of Analog CMOS 9. Y. Taur and T. H. Ning, “Fundamentals of
st
Integrated Circuits,” 1 ed., McGraw-Hill Modern VLSI Devices,” 2nd ed. Cambridge
Companies, Inc., 2001. University Press, 2009.
5. N. R. Malik, “Electronic Circuits; Analysis, 10.張文清,“SPICE 電子電路模擬”,四版,台
Simulation, and Design,” Englewood Cliffs, 北鼎茂圖書,2009。
頻率響應
在 4、5 兩章中所介紹的各類電容耦合式放大器分析均
僅限於中頻範圍。透過本章,讀者可得知當操作頻率
延伸至低頻或高頻時放大器的電路表現。在本章,除
了可經由電路理論推導出低頻或高頻轉換函數外,亦
可利用時間常數法等技巧快速破解一些較複雜的電
路。最後,讀者須注意直接耦合式差動放大器為一直
流放大器,第 6 章的分析僅適用於中低頻範圍,其高
頻衰減行為亦將在本章討論。

7.1 基本概念
7.2 電流增益的高頻響應
7.3 共源放大器
7.4 共射放大器
7.5 共基放大器
7.6 組合式放大器
7.7 差動放大器
7.1 基本概念 357

7.1 基本概念 f 選擇性閱讀 e

7.1.1 增益頻寬乘積
圖 7-1 所示,放大器增益在一寬廣的中頻帶(midband)範圍內幾乎
如為一常數。主要因為在此頻率範圍內,所有電容(耦合,旁路和電
晶體的內部電容)之效應均可忽略,也就是電容不會出現在增益計算的過
程中。然而,在頻譜的高頻端,由於元件的內部電容效應將導致增益下 在頻譜的高頻端,由於元
降。另一方面,在頻譜的低頻端處由於耦合和旁路電容不再被視為完美 件的內部電容效應將導致
短路,因此使得增益下降。注意,中頻帶的範圍通常被兩個頻率 ω L 和 ω H 增益下降。在頻譜的低頻
端處由於耦合和旁路電容
所定義,而 ω L 和 ω H 代表當增益掉到中頻帶增益之下 3dB 處所對應的頻
不再被視為完美短路,因
率。在此我們定義放大器的頻寬(bandwidth)為 此使得增益下降。
BW = ω H − ω L (7-1)
因為 ω L << ω H ,所以頻寬可近似為
BW ≅ ω H (7-2)

而放大器的優值為增益頻寬乘積(gain-bandwidth product),定義為
GB = AM ω H (7-3)
其中 AM 代表中頻帶增益的大小。

AV (s ) (dB)
3dB
20log AM

BW

ωL ωH ω

圖 7-1 一個典型電容耦合放大器的頻率響應。
358 第7章 頻率響應

7.1.2 增益函數的通式
放大器增益作為複數頻率 s 的一個函數可被表示成一般型式
AV ( s ) = AM L( s ) H ( s ) (7-4)

L(s)和 H(s)分別代表考慮 其中 L(s ) 和 H (s ) 分別代表考慮在低頻帶和高頻帶處增益與頻帶有關的


在低頻帶和高頻帶處增益 函數。對 ω >> ω L , L( s ) ≅ 1 ;同理,對於 ω << ω H , H ( s ) ≅ 1 。表現放
與頻帶有關的函數。
大器低頻響應的函數 L(s ) 所具有的一般型式為
( s + ω LZ 1 )( s + ω LZ 2 ) LL ( s + ω LZm )
L( s ) = (7-5)
( s + ω LP1 )( s + ω LP 2 ) LL ( s + ω LPm )

其中 ω LP1 , ω LP 2 ,…, ω LPm 為 m 個低頻極點所對應的頻率,而 ω LZ 1 ,


ω LZ 2 ,…, ω LZm 則為 m 個低頻零點所對應的頻率。注意,當 s 趨近於無
窮大時(事實上是趨近於中頻帶頻率), L(s ) 趨近於 1。
表現放大器高頻響應的函數 H (s ) 所具有的通式為

⎛ s ⎞⎛ s ⎞ ⎛ s ⎞
⎜1 + ⎟ ⎜1 + ⎟LL⎜1 + ⎟
⎝ ω HZ 1 ⎠ ⎝ ω HZ 2 ⎠ ⎝ ω HZn ⎠
H (s) = (7-6)
⎛ s ⎞⎛ s ⎞ ⎛ s ⎞
⎜1 + ⎟ ⎜1 + ⎟LL⎜1 + ⎟
⎝ ω HP1 ⎠ ⎝ ω HP 2 ⎠ ⎝ ω HPn ⎠

其中 ω HP1,ω HP 2 ,…,ω HPn 為 n 個高頻極點的頻率,且 ω HZ 1 ,ω HZ 2 ,…,


ω HZn 則為 n 個高頻零點的頻率。注意當 s 趨近 0 時(事實上是趨近於中頻
帶頻率), H (s ) 趨近於 1。
在分析放大器等效電路時,假設耦合和旁路電容可視為近似短路和電
晶體模型的內部電容可視為近似開路,即可進行中頻小訊號分析,並且
計算出中頻帶增益 AM 。
在放大器低頻等效電路的分析過程中,置入耦合和旁路電容,但是假
設電晶體模型中的內部電容為開路,即可求出低頻轉換函數 AVL (s ) ,其

AVL ( s ) ≅ AM L( s ) (7-7)

如欲求得高頻轉換函數 AVH (s ) ,在分析放大器等效電路時,必須考慮


電晶體模型中的內部電容,至於耦合和旁路電容則可以假設短路。此時
AVH (s ) 可表示為
AVH ( s ) ≅ AM H ( s ) (7-8)
7.1 基本概念 359

7.1.3 低頻分析
主極點存在
在許多情況下,零點均位於非常低的頻率(遠小於 ω L ),以至於在低 3dB
頻率(lower 3dB frequency) ω L 的決定上並不重要。同時,通常會存在一
個極點(例如 ω LP1 )其頻率較其他極點為高。因此對於接近於中頻帶的頻
率而言, L(s ) 可以近似為
s 1
L( s ) ≅ = (7-9)
s + ω LP1 1 + (ω LP1 / s )

此即為一階高通網路的轉換函數。在此情況下,放大器的低頻響應即被
位於 s = −ω LP1 的極點所主宰且其低 3dB 頻率近似等於 ω LP1 ,
ω L ≅ ω LP1 (7-10)
若這個主極點近似(dominant-pole approximation)成立的話,那麼決定 ω L
就變成一件非常簡單的事情;否則必須對 L( jω ) 求出完整的波德圖再計
算 ω L。一般承認當最高頻率的極點與次高頻率的極點或零點差距在四倍 當最高頻率的極點與次高
頻率的極點或零點差距在
以上時即可使用主極點近似。
四倍以上時即可使用主極
主極點不存在
點近似。
若主宰的低頻極點不存在時,則對 ω L 可推導出一近似公式。為簡化起
見,考慮在低頻端有兩個極點和兩個零點;也就是,
( s + ω LZ 1 )( s + ω LZ 2 )
L( s ) = (7-11)
( s + ω LP1 )( s + ω LP 2 )
將 s = jω 代入且取其大小的平方值為
2 (ω 2 + ω LZ 12 )(ω 2 + ω LZ 22 )
L ( jω ) = (7-12)
(ω 2 + ω LP12 )(ω 2 + ω LP 22 )
由定義知,在 ω = ω L 時, L = 1 2 ,因此可解得一近似公式,
ω L ≅ ω LP12 + ω LP 22 − 2ω LZ 12 − 2ω LZ 22 (7-13)
此 外 , 注 意 在 (7-13) 式 中 , 若 其 中 有 一 極 點 ( 例 如 ω LP1 ) 為 主 宰 , 則
ω LP1 >> ω LP 2 , ω LZ 1 , ω LZ 2 ,此時(7-13)式簡化為(7-10)式。

7.1.4 高頻分析
主極點存在
在許多情況下,零點通常位於非常高或甚至無窮大的頻率,以至於它在
360 第7章 頻率響應

若有一高頻極點(例如 高 3dB 頻率(upper 3dB frequency) ω H 的決定上並不重要。若有一高頻極


ωHP1) 其 頻 率 遠 較 其 他 極 點(例如 ω HP1 )其頻率遠較其他極點為低,則放大器的高頻響應將被此極
點為低,則放大器的高頻
點所主宰,且函數 H ( s ) 可近似為
響應將被此極點所主宰。
1
H ( s) ≅ (7-14)
s
1+
ω HP1
此即為一階低通網路的轉換函數。因此,若有一主宰的高頻極點存在
時,則 ω H 的決定將可大為簡化:
ω H ≅ ω HP1 (7-15)
主極點不存在
若一主宰的高頻極點並不存在時,亦可針對 ω H 推導出一近似公式。現
考慮在高頻端僅有兩個極點,即
1
H (s) = (7-16)
⎛ s ⎞⎛ s ⎞
⎜1 + ⎟ ⎜1 + ⎟
⎝ ω HP1 ⎠ ⎝ ω HP 2 ⎠
令在 ω = ω H 時, H ( jω H ) = 1 2 ,即
⎡ ⎛ ω ⎞2 ⎤ ⎡ ⎛ ω ⎞
2

2 = ⎢1 + ⎜⎜ H ⎟⎟ ⎥ ⎢1 + ⎜⎜ H ⎟⎟ ⎥ (7-17)
⎢⎣ ⎝ ω HP1 ⎠ ⎥⎦ ⎢⎣ ⎝ ω HP 2 ⎠ ⎥⎦

即上述一元四次方程式即可求出 ω H 。不過,為了簡單起見,建議讀者
可直接引用以下 ω H 之近似表示式:
1
ωH ≅ (7-18)
1 1
+
ω HP12 ω HP 22

7.1.5 開路時間常數法
若放大器轉換函數的極點和零點可以很容易地求得,則我們可以利用前
面所述的方法求出 ω L 和 ω H 。但是在許多情況,要決定極點和零點並不
是一件簡單的事情。此時可利用下面介紹的方法求出 ω L 和 ω H 的近似值。
首先考慮高頻響應,(7-6)式的函數 H (s ) 可被表示成另一種型式
⎛ s ⎞⎛ s ⎞ ⎛ s ⎞
⎜1 + ⎟ ⎜1 + ⎟ L ⎜1 + ⎟
ω HZ 1 ⎠ ⎝ ω HZ 2 ⎠ ⎝ ω HZn
H (s) = ⎝ ⎠
⎛ s ⎞⎛ s ⎞ ⎛ s ⎞
⎜1 + ⎟ ⎜1 + ⎟ L ⎜1 + ⎟
⎝ ω HP1 ⎠ ⎝ ω HP 2 ⎠ ⎝ ω HPn ⎠
7.1 基本概念 361

1 + b1 s + b2 s 2 + L + bn s n
= (7-19)
1 + a1 s + a 2 s 2 + L + a n s n

其中係數 a 和 b 分別對應到相關的極點和零點頻率。特別值得注意的是
係數 a1
1 1 1
a1 = + +L+ (7-20)
ω HP1 ω HP 2 ω HPn
經由網路理論的分析證明出 a1 可由高頻等效電路中考慮不同的電容而
求出;但是當我們在求某一電容 C i 的貢獻時,我們令所有其他的電容為 如欲求某一電容 Ci 的貢獻
零以及輸入訊號源為零,並決定此時由 Ci 所看到的電阻 Rio ,見圖 7-2(a) 時,我們令所有其他的電
容為零以及輸入訊號源為
和(b)。接下來對電路中的所有電容重複此過程,見圖 7-2(c)和(d),最後
零,並決定此時由 Ci 所看
把所有個別的時間常數相加起來即可計算出 a1 的值。 到的電阻 Rio。
n
a1 = ∑ C i Rio =Δ τ eff (7-21)
i =1

其中我們假設在高頻等效電路中共有 n 個電容,而這些有效時間常數 τ eff


稱為開路時間常數(open-circuit time constants)。

C2

C1 N C3 C1 N

R1o
(a) (b)

C2

R2o

N N C3

R3o
(c) (d)

圖 7-2 開路時間常數法的圖解說明。
362 第7章 頻率響應

決定 a1 的這方法是精確的;而近似的發生是在於利用 a1 求 ω H 的時候。
現在我們特別考慮零點不主宰且有一極點 ( 例如 ω HP1 ) 為主極點,則由
(7-20)式
1
a1 ≅ (7-22)
ω HP1
其高 3dB 頻率將近似等於 ω HP1 ,因此
1 1
ωH ≅ n
= (7-23)
C1 R1o + C 2 R2 o + L + C n Rno
∑C R
i =1
i io

這裡必須指出在一複雜電路中,通常我們並不知道是否有主極點存在。
無論如何,即使沒有主極點存在,利用上式決定 ω H 將可得到不錯的結
果。

7.1.6 短路時間常數法
以下我們將介紹如何利用短路時間常數來求低 3dB 頻率 ω L 。(7-5)式的
函數 L(s ) 可以另一種型式出現
( s + ω LZ 1 )( s + ω LZ 2 )L( s + ω LZm )
L( s ) =
( s + ω LP1 )( s + ω LP 2 )L( s + ω LPm )
s m + d m −1 s m −1 + L + d1 s + d 0
= (7-24)
s m + c m −1 s m −1 + L + c1 s + c0

其中 c 和 d 為分別對應相關極點和零點頻率之係數。在此我們特別注意
係數 c m −1
c m −1 = ω LP1 + ω LP 2 + L + ω LPm (7-25)
經由網路分析證明出 c m −1 可由分析放大器低頻等效電路並且考慮不同的
電容而求出;但是當我們在求某一個電容的貢獻時,必須令其他電容為
若考慮電容 Ci 的貢獻,我 無窮大(即短路)。也就是說,若考慮電容 Ci 的貢獻,我們將所有其他的
們將所有其他的電容短路 電容短路並且把輸入訊號降為零,而後求出由 Ci 所看到的電阻 Ris 。接
並且把輸入訊號降為零,
下來對電路中的所有電容重複此過程即可由下式計算出 c m −1 的值
而後求出由 Ci 所看到的電
阻 Ris。
m
1
c m −1 = ∑ (7-26)
i =1 Ci Ris

其中假設在低頻等效電路中共有 m 個電容。
現在我們特別考慮零點不主宰且有一極點(例如 ω LP1 )為主宰,也就是
說其頻率 ω LP1 遠高於(至少四倍以上)其他極點或零點的頻率。則由(7-25)
7.2 電流增益的高頻響應 363


cm−1 ≅ ω LP1 (7-27)
且低 3dB 頻率將近似等於 ω LP1 ,因此
m
1 1 1 1
ωL ≅ ∑ = + +L+ (7-28)
i =1 Ci Ris C1 R1s C 2 R2 s C m Rms

7.2 電流增益的高頻響應 f 選擇性閱讀 e

在電晶體的資料簿中,通常不會指定 Cπ 的值,反而是共射電流增益( h fe )
對頻率的關係會給定。為了決定 Cπ 和 C μ ,首先我們將使用混合 π 模型
推導 h fe 對頻率的表示式。

7.2.1 電流增益函數
在推導電流增益 h fe 之前,先了解 h fe 之定義。根據電路學中 h-參數理論
(可參閱下一章),集極電流 I c 可表為
I c = h fe I b + hoeVce (7-29)

於是
Ic
h fe =Δ (7-30)
Ib Vce =0

h fe 簡稱共射短路電流增益(common-emitter short-circuit current gain)。


根據第 4 章雙載子電晶體的高頻模型可得圖 7-3(a),於是短路集極電
流 Ic 為
I c = ( g m − sC μ )Vπ (7-31)

假設 g m >> ω Cμ ,即忽略流經 C μ 之電流,則

⎡ 1 ⎤
I c ≅ g mVπ = g m I b ⎢rπ ⎥ (7-32)
⎣⎢ s (Cπ + C μ ) ⎦⎥
於是
Ic g m rπ
h fe = = (7-33)
I b 1 + srπ (Cπ + C μ )

因此
364 第7章 頻率響應

BJT
Ib Cμ Ic Ic
B B'

rb + C
sCμVπ
Ib Vπ rπ C π 0 ro
gmVπ

E E

(a)

h fe (dB)
3dB
20logβ0

−20dB/decade

0dB
ωHP ωT ω

(b)

圖 7-3 電流增益之高頻響應:(a)小訊號等效電路,(b)高頻響應。

β0
h fe ≅ (7-34)
s
1+
ω HP
即 h fe 具有一單一極點響應(single-pole response)。其中低頻電流增益 β 0

β 0 = g m rπ (7-35)
和 3dB 頻率 ω HP 為
1
ω HP = (7-36)
rπ (Cπ + C μ )

7.2.2 單位增益頻寬
圖 7-3(b)顯示 h fe 的大小波德圖。注意,圖中順著斜率為−20dB/decade 的
7.3 共源放大器 365

直線而下將出現一頻率,此時 h fe 掉至 1,這個頻率稱為單位增益頻寬
(unity gain bandwidth) ω T ,其中
ω T = β 0ω HP (7-37)

因此
gm
ωT = (7-38)
Cπ + C μ

或可表為
gm
fT = (7-39)
2π (Cπ + C μ )
一般使用者常以上式決定電容 Cπ 之值:
gm
Cπ = − Cμ (7-40)
2π f T
若 Cπ >> C μ ,則 f T 可近似表為

gm
fT ≅ (7-41)
2π Cπ

7.3 共源放大器
本節將分析一古典電容耦合式 (capacitively-coupled) 共源放大器之頻率
響應,見圖 7-4。分兩大部份介紹──高頻響應和低頻響應。

VDD

RG1 RD CC2
CC1 Vo
R

RL
Vi +
− Rin RG2 RS CS

圖 7-4 古典電容耦合式共源放大器。
366 第7章 頻率響應

MOSFET
Cgd
R G D

+
+
Vi + Rin Cgs Vgs ro RD RL Vo

gmVgs

(a)

Vt
+ I

R2o
R G D Vt + V gs
R D′
+
Rin Vgs gmVgs R'D = (ro RD RL )

(b)

圖 7-5 (a)共源放大器之高頻等效電路,(b)以開路時間常數法決定 R2o。

7.3.1 高頻響應
本小節將介紹三種分析高頻響應的方法,分別為開路時間常數法,米勒
定理法,與精確高頻轉換函數法。圖 7-4 之共源放大器其高頻等效電路
見圖 7-5(a),其中 Rin = RG1 RG 2 。為方便起見,我們定義輸出端三電阻並
聯之等效值為 RD′ ,其中 RD′ = ro RD RL 。
開路時間常數法
現在我們將利用開路時間常數來決定 ω H 。首先令 C gd = 0 和訊號產生器
Vi 短路,可求出由 C gs 所看到的電阻 R1o 。由圖 7-5(a)得知

R1o = Rin R =Δ RT (7-42)


因此, C gs 的開路時間常數為
7.3 共源放大器 367

τ 1 = C gs R1o (7-43)
再令 C gs = 0 和訊號產生器 Vi 短路,求出由 C gd 所看到的電阻 R2 o 。由圖
7-5(b)之節點○
D 可得
Vgs + Vt ⎛ 1 ⎞ V
I = g mVgs + = ⎜ gm + ⎟ (− IRT ) + t (7-44)

RD ⎝ ′
RD ⎠ RD′

於是
Vt
R2 o =Δ = RD′ + (1 + g m RD′ ) RT (7-45)
I
因此, C gd 的開路時間常數為
τ 2 = C gd R2 o (7-46)

由以上結果可得有效時間常數 τ eff 為
τ eff = τ 1 + τ 2 (7-47)
因此,高三分貝頻率 ω H
1
ωH ≅ (7-48)
τ eff

這種開路時間常數具有一很重要的優點:因為它告訴電路設計者在放
大器頻率響應的決定上那些電容是重要的,而且可以明顯看出每個不同
電容對有效時間常數(effective time constant) τ eff 的相對貢獻。例如,由本 由 本 例 中 我 們 看 出 在 ωH
例中我們看出在 ω H 的決定上 C gd 為一主宰電容。 的決定上 Cgd 為一主宰電
容。
米勒定理
利用米勒定理(Miller’s theorem)可將 C gd 以一介於閘極和接地的等效輸
入電容取代,這種方法的好處就是可以很明顯地看出米勒效應所造成的
高頻限制。因為通常 C gd 很小,所以經過它的電流將遠小於控制源 g mV gs
的電流。因此在決定輸出電壓 Vo 時,我們忽略流經 C gd 的電流,得
Vo ≅ − g mVgs RD′ (7-49)

因此米勒效應之增益 K 為
Vo
K =Δ ≅ − g m RD′ (7-50)
Vgs

於是根據米勒定理可得輸入端之米勒電容 C M 為
C M = C gd (1 + g m RD′ ) (7-51)

圖 7-6 為利用米勒定理得到的等效電路,可看出輸入電路就是一個一
368 第7章 頻率響應

RT

+ +
Rin
Vi + Cgs Vgs C gd (1 + g m RD′ ) RD' Vo
Rin + R − gmVgs

⎛ 1 ⎞
C gd ⎜1 + ⎟
⎜ g m R D' ⎟
⎝ ⎠

圖 7-6 利用米勒定理分析共源放大器之高頻響應。

階的低通濾波器,其時間常數由全部輸入電容 CT 為
CT = C gs + C gd (1 + g m RD′ ) (7-52)

和有效產生器電阻
RT = R Rin (7-53)

所決定。這個一階電路置入了一主宰的高頻極點(dominant high- frequency


pole),也就是決定了共源放大器高頻響應。因此高 3dB 頻率為
1
ωH ≅ (7-54)
CT RT

最後,我們將高頻增益近似表示為
1
AVH ( s ) ≅ AM (7-55)
s
1+
ωH
上式中 AM 代表中頻帶增益,
Rin
AM = − g m RD′ (7-56)
R + Rin
回授電容 Cgd 在共源放大 由以上的分析我們注意到回授電容 C gd 在共源放大器高頻響應的決定
器高頻響應的決定上扮演 上扮演一非常重要的角色。因為在 C gd 兩端的電壓比值為 − g m RD′ ,這是
一非常重要的角色。
一個非常大的量(幾乎等於中頻帶增益),所以 C gd 將在放大器的輸入端產
生一大電容 C gd (1 + g m RD′ ) ,這就是米勒效應(Miller effect)。由此可知,
欲增加放大器的高 3dB 頻率,吾人必須降低 g m RD′ 或訊號源電阻。前者
將使中頻帶增益下降,而後者的改進也很有限。此時即可使用一些不受
7.3 共源放大器 369

米勒效應影響的電路組態,如串疊電路和其他特殊的寬頻放大器(wideband
amplifiers),這些將在後面討論。
精確高頻轉換函數
前面以米勒定理分析並決定 ω H 所用的近似方法有一個重要的基本假
設:本電路存在一主宰的高頻極點。為了證實這一點,我們將推導共源
放大器的高頻轉換函數。
如圖 7-7 所示,在○
G 處寫下一節點方程式

Vi V gs
= + sC gsV gs + sC gd (V gs − Vo ) (7-57)
R RT

於○
D 處再寫一節點方程式

Vo
sC gd (V gs − Vo ) = g mV gs + (7-58)
RD′
由(7-57)式和(7-58)式中聯立消去 V gs 即得到精確轉換函數 AVH (s ) ,

⎡ s ⎤
AM ⎢1 − ⎥
Vo ⎣ ( g m C gd ) ⎦
AVH ( s ) = =
Vi ⎡ ⎛ R′ ⎞ ⎤
1 + s ⎢C gs + C gd (1 + g m RD′ ) + C gd ⎜ D ⎟⎥ RT + s 2C gs C gd RT RD′
⎣ ⎝ RT ⎠⎦
s
1−
ω HZ
= AM (7-59)
⎛ s ⎞⎛ s ⎞
⎜1 + ⎟ ⎜1 + ⎟
⎝ ω HP1 ⎠ ⎝ ω HP 2 ⎠
因此,放大器具有一零點,其頻率為

MOSFET
Cgd
G D
+
+
Vi
RT Cgs Vgs gmVgs R'D Vo
R


S

圖 7-7 圖 7-4 共源放大器高頻等效電路的另一種表示法。


370 第7章 頻率響應

gm
ω HZ = (7-60)
C gd

以及兩個極點,其頻率由分母多項式決定,其中
⎛ s ⎞⎛ s ⎞
分母多項式 = ⎜1 + ⎟ ⎜1 + ⎟
⎝ ω HP1 ⎠ ⎝ ω HP 2 ⎠
⎛ 1 1 ⎞ s2
= 1 + s⎜ + ⎟+ (7-61)
⎝ ω HP1 ω HP 2 ⎠ ω HP1ω HP 2
假設 ω HP1 << ω HP 2 ,則(7-61)式可近似表示成
s s2
分母多項式 ≅ 1 + + (7-62)
ω HP1 ω HP1ω HP 2
由(7-59)式和(7-62)式中比較分母 s 項的係數得到極點 ω HP1
1
ω HP1 = (7-63)
[C gs + C gd (1 + g m RD′ ) + C gd ( RD′ RT )]RT

現在就 (7-59)式和 (7-62)式中比較分母 s 2 項係數並代入 ω HP1 之值即可解


出 ω HP 2 ,
C gs + C gd (1 + g m RD′ ) + C gd ( RD′ RT )
ω HP 2 = (7-64)
C gs C gd RD′

上式代入 g m RD′ >> 1 和 RD′ << RT 後, ω HP 2 可近似表示成


gm
ω HP 2 ≅ (7-65)
C gs
顯示 ω HP 2 相當的高。與(7-60)式比較發現,若 C gs = C gd ,則

ω HP 2 ≅ ω Z (7-66)

驗證網路理論
最後,由(7-61)式,
⎛ 1 1 ⎞ s2
分母多項式 = 1 + s⎜⎜ + ⎟⎟ +
⎝ ω HP1 ω HP 2 ⎠ ω HP1ω HP 2
= 1 + a1 s + a 2 s 2 (7-67)

比較(7-59)式發現
1 1
a1 =Δ +
ω HP1 ω HP 2
= [C gs + C gd (1 + g m RD′ ) + C gd ( RD′ RT )]RT (7-68)
7.3 共源放大器 371

再回顧(7-21)式
n
a1 = ∑ C i Rio =Δ τ eff (7-69)
i =1

以及先前由開路時間常數法計算 τ eff 之結果,


τ eff = τ 1 + τ 2
= C gs RT + C gd [RD′ + (1 + g m RD′ ) RT ] (7-70)

讀者可明顯看出(7-68)式之 a1 與(7-70)式的 τ eff 確實完全相同。

7.3.2 低頻響應
本節中我們將分析一古典的電容耦合共源放大器的低頻響應,見圖
7-4。主要考慮兩個耦合電容及一個旁路電容的效應,分別敘述如下。
輸入耦合電容的效應
現在我們將由訊號源出發逐步往負載前進,希望能求出圖 7-4 電路的低
頻增益函數。首先計算介於閘極與接地間的訊號電壓 V g ,
Rin
V g = Vi (7-71)
1
Rin + R +
sCC1
其中 Rin = ( RG1 RG 2 ) 。因此,由輸入至閘極的轉換函數為
Vg Rin s
=
Vi Rin + R s + [1 CC1 ( Rin + R)]
Rin s
= (7-72)
R + Rin s + ω LP1
此即一高通函數,並顯示出 CC1 置入一頻率為零的零點和一頻率
ω LP1 的極點,
1
ω LP1 = (7-73)
CC1 ( Rin + R )
注意輸入電路為一高通 STC 網路,其時間常數等於 CC1 乘以由 CC1 所看 時間常數等於 CC1 乘以由
到的全部電阻;而此時間常數的倒數即為極點頻率。 CC1 所看到的全部電阻。而
此時間常數的倒數即為極
旁路電容的效應
點頻率。
接下來計算源極電流 I s 並參考圖 7-8(a),
Vg
Is = (7-74)
(1 g m ) + Z S
372 第7章 頻率響應

CC2
D Vo

RD CC2
Is RD RL
G Vo

+ Is 1

IsRD RL
+
g m MOSFET
Vg
S

ZS

(a) (b)

圖 7-8 分析共源放大器的低頻響應:(a)考慮旁路電容的效應,(b)考慮
輸出耦合電容的效應。

其中 Z S 為 RS 和 C S 之並聯,
1
Z S = RS (7-75)
sC S
注意,我們利用了介於閘極和源極間的等效電阻等於 1 g m 這個性質;因
此,在源極所觀察到介於閘極和接地間的全部阻抗等於 1 g m 串聯 Z S
( Z S 等於 RS 和 C S 的阻抗並聯)。(7-74)式整理可得
Is s + (1 C S RS ) s + ω LZ
= gm = gm (7-76)
Vg s + ( g m + 1 RS ) C S s + ω LP 2
顯示 C S 置入一實數零點和一實數極點。實數零點頻率 ω LZ 為
1
ω LZ = (7-77)
C S RS

而實數極點的頻率為
1
ω LP 2 = (7-78)
CS [ RS (1 g m )]
可看出 ω LZ 比 ω LP 2 小。
CS 置入一零點,此零點的 接著我們由觀念來看以上的結果。首先注意 C S 置入一零點,此零點的
位置是在使 ZS 無窮大所 位置是在使 Z S 無窮大所對應的 s 值上( s = −1 RS C S );因為無窮大的 Z s 將
對應的 s 值上。
使得 I s 以及 Vo 為零。另一方面,將 C S 乘以由 C S 所看到的電阻得一時間
7.3 共源放大器 373

常數,而此時間常數的倒數即為極點頻率。至於欲計算 C S 所看到的電
阻,我們把訊號源接地(注意網路的極點或稱自然模式是與刺激源無關的)
然後抓住 C S 的兩端,則由 C S 所看到的電阻即為 RS 並聯 1 g m 。
輸出耦合電容的效應
一旦決定 I s 之後,我們就可以利用圖 7-8(b)的等效電路計算輸出電壓。
注意圖 7-8(b)為應用電源轉換定理之後的等效輸出電路。由此圖得
s
Vo = − I s ( RD RL ) (7-79)
s + 1 CC 2 ( RL + RD )

上式可改寫為
Vo s
= −( R D R L ) (7-80)
Is s + ω LP 3
因此 CC 2 置入一零頻率(dc)的零點和一頻率 ω LP 3 的實數極點,
1
ω LP 3 = (7-81)
CC 2 ( RD + RL )
若考慮 ro ,則 ω LP 3 可近似表為
1
ω LP 3 ≅ (7-82)
CC 2 [(ro RD ) + RL ]
同理此極點的頻率亦可由觀察法求得:它等於由 CC 2 乘以由 CC 2 看到電
阻所得的時間常數之倒數。
低頻增益函數
低頻放大器增益 AVL (s ) 可由合併(7-72),(7-76),(7-80)三式而得:
Vo s 2 ( s + ω LZ )
AVL ( s ) = = AM (7-83)
Vi ( s + ω LP1 )( s + ω LP 2 )( s + ω LP 3 )
其中中頻帶增益 AM 為
Rin
AM = − g m ( RD RL ) (7-84)
R + Rin
若考慮 ro ,則
Rin
AM = − g m (ro RD RL ) (7-85)
R + Rin
根據(7-13)式,三分貝低頻 ω L 約為

ω L ≅ ω LP12 + ω LP 22 + ω LP 32 − 2ω LZ
2
(7-86)
一般而言, ω LP 2 可能是主極點頻率,此時
374 第7章 頻率響應

1
ω L ≅ ω LP 2 = (7-87)
⎛ 1 ⎞
C S ⎜ RS ⎟
⎝ g m ⎠

短路時間常數法
另一種分析方法為短路時間常數法,首先令 C S 和 CC 2 之值為無窮大,並
決定由 CC1 所看到的有效電阻 R1s ,由圖 7-4 得,
R1s = R + Rin (7-88)
再令 CC1 和 CC 2 為無窮大,並決定由 C S 所看到的有效電阻 R2 s ,
1
R2 s = RS (7-89)
gm
最後,令 CC1 和 C S 為無窮大,並決定由 CC 2 所看到的有效電阻 R3 s ,
R3 s = RD + RL (7-90)
若考慮 ro ,則
R3 s = (ro RD ) + RL (7-91)
由(7-28)式可寫下低 3dB 頻率 ω L 之近似表示式
1 1 1
ωL ≅ + + (7-92)
CC1 R1s CS R2 s CC 2 R3 s

■例題 1
參考圖 7-5(a)共源放大器之高頻等效電路, R = 100 kΩ , Rin = 420 kΩ ,
C gs = C gd = 1pF, g m = 4mA/V,和 RD′ = 3.33 kΩ 。在相同的數值下,比
較本節介紹的三種分析方法所計算出的高三分貝頻率。

解:
先計算中頻帶增益 AM 為
Vo Rin
AM =Δ =− ( g m RD′ )
Vi Rin + R
420
=− × 4 × 3.33 = −10.8 (20.7dB)
420 + 100
(a) 開路時間常數法:
由(7-43)式,
τ 1 = C gs R1o = 1 × 10 −12 × 80.8 × 10 3 = 80.8ns

由(7-45)式,
τ 2 = C gd R2 o = 1× 10 −12 × 1.16 × 106 = 1160ns
7.4 共射放大器 375

代入(7-48)式可得,
1 1
ωH ≅ = = 806 krad/s
a1 τ 1 + τ 2
故 3dB 頻率 f H 為
ωH
fH = = 128.3kHz

(b) 米勒定理法:
由(7-52)至(7-54)三式可得,
1
fH = = 128.7 kHz
2π CT RT

(c) 精確高頻轉換函數法:
代入(7-59)式,
s
1−
V 4 × 10 9
AVH ( s ) =Δ o =
Vi 1 + s1.24 × 10 + s 2 2.69 × 10 −16
−6

因此,
ω HZ 4 × 10 9
f HZ = = = 637MHz
2π 2π
令轉換函數中的分母多項式為零
2.69 × 10 −16 s 2 + 1.24 × 10 −6 s + 1 = 0
可 解 得 ω HP1 = 808.6 krad/s , ω HP 2 = 4608.9 Mrad/s , 即 f HP1 =
128.4 kHz, f HP 2 = 734.5 MHz。

7.4 共射放大器 f 選擇性閱讀 e

本節將分析一古典電容耦合式 (capacitively-coupled) 共射放大器之頻率


響應,見圖 7-9。分兩大部份介紹──高頻響應和低頻響應。

7.4.1 高頻響應
本小節將介紹三種分析高頻響應的方法,分別為開路時間常數法,米勒
定理法,與精確高頻轉換函數法。圖 7-10(a)為共射放大器代入高頻混合
- π 模型後之等效電路,其中 RB = R1 R2 。圖 7-10(b) 則令圖 7-10(a) 中
376 第7章 頻率響應

( ro RC RL ) = RC′ 且將圖 7-10(a)中電容 Cπ 左側之輸入電路以戴維寧等效


簡化,其中等效源 VTH 為

VCC

R1 RC CC2
Rs CC1 Vo

RL
Vs +

R2 RE CE

圖 7-9 古典電容耦合式共射放大器。

BJT
Rs B rb B' Cμ C

+ +
Vs +
− RB rπ Vπ Cπ gmVπ ro RC RL Vo

(a)

RT Cμ
+
+
VTH +
− Vπ Cπ gmVπ R'C Vo

(b)

圖 7-10 (a)共射放大器的高頻等效電路,(b)將(a)中輸入端電路取戴維寧等效並令RC′= ro||RC||RL。


7.4 共射放大器 377

RB rπ
VTH = Vs (7-93)
Rs + RB ( Rs RB ) + rb + rπ
和等效內阻 RT 為
RT = [( Rs RB ) + rb ] rπ (7-94)
開路時間常數法
首先令 C μ = 0 得
τ 1 = Cπ R1o = Cπ RT (7-95)
再令 Cπ = 0 得
τ 2 = C μ R2 o = C μ [RC′ + ( g m RC′ + 1) RT ] (7-96)
於是高三分貝頻率 ω H 為
1 1
ωH ≅ = (7-97)
τ eff τ1 + τ 2

高頻增益函數可近似表示為
1
AVH ( s ) ≅ AM (7-98)
s
1+
ωH
其中 AM 代表中頻帶增益,
⎛ RB ⎞ ⎡ rπ ⎤
AM = − g m ( RL RC ro )⎜⎜ ⎟⎟ ⎢ ⎥ (7-99)
⎝ Rs + RB ⎠ ⎢⎣ ( Rs RB ) + rb + rπ ⎥⎦

米勒定理
利用上一節的分析方法可得一全部輸入電容
CT = Cπ + C μ (1 + g m RC′ ) (7-100)

此電容決定了共射放大器的高頻響應。因為它對應一主宰的高頻極點,
因此高 3dB 頻率為
1
ωH ≅ (7-101)
CT RT

精確高頻轉換函數
利用上一節的分析方法可解得精確高頻轉換函數 AVH ( s ) ,
s
1−
ω HZ
AVH ( s ) = AM (7-102)
⎛ s ⎞⎛ s ⎞
⎜1 + ⎟ ⎜1 + ⎟
⎝ ω HP1 ⎠ ⎝ ω HP 2 ⎠
378 第7章 頻率響應

其中零點頻率 ω HZ 為
gm
ω HZ = (7-103)

以及極點頻率 ω HP1 , ω HP 2 分別為


1
ω HP1 ≅ (7-104)
[Cπ + C μ (1 + g m RC′ ) + C μ ( RC′ RT )]RT


gm
ω HP 2 ≅ (7-105)

7.4.2 低頻響應
低頻增益函數
圖 7-9 的古典共射放大器其頻率響應的分析與第 7.3 節共源放大器的分
析完全一樣。因此,其低頻全級增益可寫成以下型式
s 2 ( s + ω LZ )
AVL ( s ) = AM (7-106)
( s + ω LP1 )( s + ω LP 2 )( s + ω LP 3 )

其中 AM 為忽略所有電容效應所計算出來的中頻帶增益, ω LZ , ω LP1 ,
ω LP 2 , ω LP 3 則是在低頻帶由耦合和旁路電容所產生的零點和三個極點。
CE 所置入的零點為令 ZE = 此時我們必須注意由 C E 所置入的零點即為令 Z E = 1 (1 RE + sC E ) 等於
1/(1/RE + sCE)等於無窮大 無窮大時所對應的 s 值。此零點的頻率通常遠小於 ω L ,其中零點位置為
所對應的 s 值。
1
s LZ = − = −ω LZ (7-107)
C E RE

此式與上一節結果類似。至於其低 3 分貝頻率 ω L 則為

ω L ≅ ω LP12 + ω LP 22 + ω LP 32 − 2ω LZ2 (7-108)

短路時間常數法
考慮圖 7-11 中的低頻等效電路,現在我們利用短路時間常數法來估計低
3dB 頻率 ω L 。決定 ω L 的步驟如下:首先令 C E 和 CC 2 之值為無窮大,求
出由 CC1 所看到的電阻 R1s 。由圖得

R1s = [RB (rb + rπ )] + Rs (7-109)


再令 CC1 和 CC 2 之值為無窮大,求出由 C E 所看到的電阻 R2 s 。在圖 7-11
中我們利用電阻反射定律(由基極反射至射極電路)求得
7.4 共射放大器 379

BJT
Rs CC1 B rb B' C CC2
Vo
+
Vi +
− RB rπ Vπ gmVπ ro RC RL

RE CE

圖 7-11 共射放大器之低頻等效電路。

⎡ r + rb + ( RB Rs ) ⎤
R2 s = RE ⎢ π ⎥ (7-110)
⎣ β0 + 1 ⎦
最後,令 CC1 和 C E 之值為無窮大,求出由 CC 2 所看到的電阻 R3 s 。
R3 s = ( RC ro ) + RL (7-111)
因此,低 3dB 頻率的近似值為
1 1 1
ωL ≅ + + (7-112)
CC1 R1s C E R2 s CC 2 R3 s
若我們給定一個想要的 ω L 值,則利用(7-112)式的設計如下:因為 R2 s 通
常是三者中最小的,所以我們通常選擇某一個 C E 值使得 ( 1 C E R2 s ) 在
(7-112)式的右側部份為一主宰項。譬如說 1 C E R2 s = 0.9ω L 。而 ω L 乘下的
10%則平均分給另外兩項。另一方面,也可以選擇某一 C E 值以形成主宰
的低頻極點;換句話說,在 ω = ω L 時,另外兩個電容扮演著較不重要的
角色。即
1 1
ωL ≅ ≅ (7-113)
C E R2 s ⎡ r + r + ( Rs RB ) ⎤
CE ⎢ π b ⎥
⎣ β0 + 1 ⎦

■例題 2
圖 7-12 為一 BJT 射極追隨器電路,試以
(a) 開路時間常數法分析其高頻響應,並寫出 3dB 頻率 ω H 之近似表示
式。
380 第7章 頻率響應

VCC

R1

Rs CC1

CC2
Vo
Vs +

R2 RE RL

圖 7-12 例題 2:射極追隨器電路。

(b)以米勒定理分析其高頻響應,並寫出 3dB 頻率 ω H 之近似表示式。

解:
考慮電容耦合的射極追隨器電路及其高頻等效電路,見圖 7-13(a)。
其中 Rs 代表源電阻以及 RE′ 代表射極偏壓電阻和負載電阻的合成,
RE′ = RE RL 和 RB = R1 R2 。
(a) 參考圖 7-13(b),首先令 C μ = 0 ,計算由 Cπ 所看到的電阻 R1o ,
根據迴路方程式,

Vt
BJT I−

Rs B rb B' C I

RT
+ Cμ
rπ + V
Vs + RB rπ Vπ Cπ gmVπ − t

− R1o
Vt
−I

E
Vo Vt
g mVt + −I R'E
RE RL rπ gmVt

(a) (b)

圖 7-13 例題 2:(a)射極追隨器的高頻等效電路,(b)以開路時間常數法計算 R1o。


7.4 共射放大器 381

⎛ Vt ⎞ ⎛ V ⎞
⎜ I − ⎟ RT = Vt + RE′ ⎜ g mVt + t − I ⎟ (7-114)
⎝ rπ ⎠ ⎝ rπ ⎠
整理可得
Vt ⎛ R + RE′ ⎞
R1o = = rπ ⎜ T ⎟ (7-115)
I ⎝ 1 + g m RE′ ⎠
其中
RT = ( Rs RB ) + rb (7-116)
再令 Cπ = 0 ,計算由 C μ 所看到的電阻 R2 o ,
R2 o = RT [rπ + (1 + β ) RE′ ] (7-117)
於是
2
τ eff =Δ ∑ Ci Rio = Cπ R1o + C μ R2 o (7-118)
i =1

故高三分貝頻率
1
ωH ≅ (7-119)
τ eff

(b) 現將圖 7-13 之高頻等效電路重新整理如圖 7-14(b)所示,其中


RB
VTH = VS (7-120)
RS + R B
和 RT 即(7-116)式。再將圖 7-14(a)中介於輸入端○
B' 和輸出端間之
回授組件 Z π ( rπ 和 Cπ 之並聯)以米勒定理處理,即

RT B'

+ + RT B'
VTH +
− Cμ V'b Z rπ Vπ Cπ
in
− − Zin
+ Cπ
VTH − Cμ rπ (1 + g m RE′ ) 1 + g m RE′
Vo

R'E gmVπ

(a) (b)

圖 7-14 例題 2:(a)射極追隨器之高頻等效電路,(b)利用米勒定理計算等效輸入阻抗 Zin。


382 第7章 頻率響應


Z in = (7-121)
1− K
其中米勒增益 K 為
Vo RE′
K =Δ ≅ (7-122)
Vb′ re + RE′

代入上式得
⎛ R′ ⎞
Z in = Z π ⎜1 + E ⎟ ≅ Z π (1 + g m RE′ )
⎝ re ⎠
1
= [rπ (1 + g m RE′ )] (7-123)
⎛ Cπ ⎞
s⎜⎜ ⎟⎟
⎝ 1 + g m RE′ ⎠
於是 3dB 頻率 ω H 為
1
ωH = (7-124)
⎛ Cπ ⎞
⎜ Cμ + ⎟ [RT rπ (1 + g m RE′ )]
⎝ ′
1 + g m RE ⎠

7.5 共基放大器
圖 7-15 顯示一電容耦合式的共基放大器。以下我們特別將重點放在高頻
分析上;至於低頻分析部份則與第 7.4 節所用的方法類似。

VCC

RC
CC2
R1
Vo
RL
CC1

CB R2 Rs
RE +
− Vs

圖 7-15 共基放大器。
7.5 共基放大器 383

BJT
Rs E C
Vo

Vs +
− RE re C π Vπ Cμ RC RL
gmVπ
+

圖 7-16 共基放大器之高頻等效電路。

7.5.1 精確高頻轉換函數
共基放大器的高頻等效電路見圖 7-15。為了簡化問題並且更清楚地看到
共基電路的特殊外貌,在此我們忽略 ro 和 rx 。現將 BJT 以高頻 T-等效模
型取代並展開可得圖 7-16 之等效電路。
其中高頻極點可直接由圖中求得。在輸入端有一極點,其頻率 ω HP1 為
1
ω HP1 = (7-125)
Cπ ( Rs RE re )
因為 re 通常很小,所以 ω HP1 之值相當高。而輸出端有一極點其頻率 ω HP 2

1
ω HP 2 = (7-126)
C μ ( RC RL )
因為 C μ 相當小,所以 ω HP 2 之值也相當高。至於中頻增益 AM 為
( RE re )
AM = g m ( RC RL ) (7-127)
Rs + ( RE re )

由圖 7-16 可明顯看出輸入與輸出均為一單一時間常數低通 RC 網路,故 輸入與輸出均為一單一時


精確高頻轉換函數為 間常數低通 RC 網路。
AM
AVH ( s ) = (7-128)
⎛ s ⎞⎛ s ⎞
⎜1 + ⎟ ⎜1 + ⎟
⎝ ω HP1 ⎠ ⎝ ω HP 2 ⎠

7.5.2 三分貝頻寬
根據三分貝高頻 ω H 之原始定義:在 ω = ω H 處,
384 第7章 頻率響應

AM
AVH ( jω H ) = (7-129)
2

⎡ ⎛ω ⎞ 2
⎤ ⎡ ⎛ ω ⎞2 ⎤
2 = ⎢1 + ⎜⎜ H ⎟⎟ ⎥ ⎢1 + ⎜⎜ H ⎟⎟ ⎥ (7-130)
⎢⎣ ⎝ ω HP1 ⎠ ⎥⎦ ⎢⎣ ⎝ ω HP 2 ⎠ ⎥⎦

此一元四次方程式之解即為 ω H 。或可根據(7-18)式,
1
ωH ≅ (7-131)
1 1
+
ω HP12 ω HP 22

共基放大器缺少內部回授 圖 7-16 清楚地顯示了共基放大器最重要的高頻表現:缺少內部回授電


電容,不會出現米勒效 容。它與共射電路不同,這裡的 C μ 有一端接地,所以不會出現米勒效
應。
應。因此其高截止頻率將遠超過共射組態之值。
另外值得一提的是,實際上 IC 電晶體中介於集極和基板(接地)的寄生
電容也必須考慮,其結果將對 ω HP 2 造成嚴重的影響。無論如何,在此我
們仍將強調一點──共基放大器的高頻表現將遠比共射放大器要好。

7.6 組合式放大器

7.6.1 共射共基串疊組態
圖 7-17 顯示一電容耦合式共射共基串疊放大器,它同時具有共射與共基
組態的優點。在串疊電路中, Q1 為共射組態,它對訊號源呈現一高輸入
電阻。接著 Q1 在集極訊號電流被送進 Q2 的射極,其中 Q2 是以共基組態
Q1 所看到的負載電阻就 出現。因此 Q1 所看到的負載電阻就是 Q2 的輸入電阻 re ,且此低電阻將
是 Q2 的輸入電阻 re,且此 大幅降低 C μ 的米勒乘積效應,造成高截止頻率的延伸。但是其中頻帶
低電阻將大幅降低 Cμ 的
增益卻不至於降低,因為 Q2 的集極電流幾乎和 Q1 的集極電流相等。再
米勒乘積效應。
者,由於 Q2 為共基組態,所以 Q2 不會受到米勒效應的影響,也就是不
會限制其高頻響應。
參考圖 7-18(a)顯示的高頻等效電路得知 RC′ = RC RL 。此外,以高頻混
合- π 模型取代 Q1 和高頻 T-等效模型取代 Q2 。參考圖 7-18(a),在我們有
興趣的頻率範圍內計算 Q1 的集極電壓時, Cπ 2 和 C μ1 可以忽略;也就是
說,
Vc1 ≅ − g m1Vπ 1re 2 ≅ −Vπ 1 (7-132)
7.6 組合式放大器 385

VCC

RC
CC2
R1
Vo

Q2 RL
CB
R2

Q1
Rs CC1
Vs +
− R3
RE CE

圖 7-17 共射共基串疊組態。

因此介於○ C1 間的增益約為−1,且利用米勒定理可將 C μ 1 以一介於○


B1 和○ B1

和接地點間的電容 2C μ1 以及一介於○
C1 和接地點間的電容 2C μ 1 所取代,

所得到的等效電路見圖 7-18(b)。此外,圖 7-18(a)中之輸入電阻網路以


戴維寧等效型式出現於圖 7-18(b),其中等效訊號源 VTH 為
( R2 R3 rπ 1 )
VTH = Vs (7-133)
Rs + ( R2 R3 rπ 1 )
等效內阻 RT 為
RT = Rs R2 R3 rπ 1 (7-134)

由此可計算出在輸入 RC 低通網路所產生的極點頻率
1
ω HP1 = (7-135)
RT (Cπ 1 + 2C μ1 )

觀察圖 7-18(b)的中間部份,電阻 re 2 與電容 Cπ 2 合併即產生了一轉換函數


極點,其頻率為
1
ω HP 2 = (7-136)
re 2 (Cπ 2 + 2C μ1 )

通常此頻率遠比由 RT 和 Q1 之輸入電容作用產生的極點頻率為高。而輸
出部份的極點頻率為
386 第7章 頻率響應

BJT BJT
Rs B1 Cμ1 C1 E2 C2


+
+
rπ1 Cπ1 Vπ1 re 2 Vπ 2 Cπ 2 Cμ 2
Vs +
− R2 R3 − gm1Vπ1 gm2Vπ 2 RC′ Vo
+

E1 B2

(a)

RT C2
+

+
VTH +
− Cπ1 2Cμ1 Vπ 1 re 2 Cπ 2 2Cμ 1 Vπ 2 Cμ 2 RC′ Vo
− gm1Vπ1 +
gm2Vπ 2

(b)

圖 7-18 (a)共射共基串疊組態之高頻等效電路,(b)Cμ1 以米勒定理處理後之等效電路。

1
ω HP 3 = (7-137)
C μ 2 RC′
在一般情況下,輸入電路將產生一主宰的高頻極點,且高 3dB 頻率 ω H 為
ω H ≅ ω HP1 (7-138)
另外,合併三個極點頻率再利用(7-18)式可使得 ω H 的計算值更為準確,

1
ωH ≅ (7-139)
1 1 1
+ +
ω HP21 ω HP22 ω HP23

7.6.2 共集共射串接組態
射極追隨器良好的高頻響應是由於不會發生米勒電容乘積效應;問題是
它並不提供電壓增益。在此我們利用共集和共射級串接將可同時獲得增
益和頻寬,如圖 7-19 所示。因為 Q1 的集極是訊號接地,所以 C μ1 不至於
7.6 組合式放大器 387

VCC

R1 RC
CC2
Rs CC1
Q1 Vo

Vs +
− Q2
R2 RL
RE1
RE2 CE

圖 7-19 共集共射串接組態。

被增益放大。因此,由輸入電容和源電阻作用所產生的極點頻率將很高。
電壓增益是由共射電晶體 Q2 所提供。注意此電晶體遭受米勒效應的影
響;也就是介於其基極和接地點間的全部有效電容非常大。但是,因為
射極追隨器非常低的輸出電阻,遂使得此有效電容所看到的電阻值相當
低。換句話說, Q2 的米勒電容放大效應被射極追隨器 Q1 的低輸出電阻 Q2 的米勒電容放大效應
被射極追隨器 Q1 的低輸
所補償,因此整個放大器的高頻響應仍然非常好。至於,本電路之頻寬
出電阻所補償。
計算,建議使用開路時間常數法,可參考例題 3。

■例題 3
利用開路時間常數法分析圖 7-19 共集共射串接組態,並推導其高 3dB
頻率之表示式。

解:
先將圖 7-19 之高頻等效電路展開,見圖 7-20。其中等效訊號源 VTH

( R1 R2 )
VTH = Vs (7-140)
( R1 R2 ) + Rs
和等效內阻 RT 為
RT = Rs R1 R2 (7-141)
此外, RE′ 1 = RE1 rπ 2 和 RC′ = RC RL 。為使分析簡化,我們先利用米
388 第7章 頻率響應

RT

+
VTH +
− Cμ1 rπ 1 Cπ 1 Vπ 1 gm1Vπ1

Vo
+
RE′ 1 CT2 Vπ 2 Cμ′ 2 RC′
gm2Vπ 2

圖 7-20 例題 3:共集共射串接組態之高頻等效電路。

勒定理處理 Q2 的電容 C μ 2 ,於是


CT 2 = Cπ 2 + C μ 2 (1 + g m RC′ ) (7-142)


⎛ 1 ⎞
Cμ′ 2 = Cμ 2 ⎜⎜1 + ⎟⎟ (7-143)
⎝ g m RC′ ⎠
此電路仍然相當複雜,因此我們利用開路時間常數的技巧來決定
f H 如下:電容 C μ1 看到一電阻 R1o ,

R1o = RT [rπ 1 + (1 + β 1 ) RE′ 1 ] (7-144)


第二個電容 Cπ 1 看到一電阻 R2 o ,參考(7-115)式,
⎛ R + RE′ 1 ⎞
R2 o = rπ 1 ⎜ T ⎟ (7-145)
⎝ 1 + g m RE′ 1 ⎠
第三個電容 CT 2 看到一電阻 R3o
⎛ r + RT ⎞
R3o = RE′ 1 ⎜ π 1 ⎟ (7-146)
⎝ β1 + 1 ⎠
最後,電容 C μ′ 2 看到一電阻 R4 o 即 RC′ 。因此,有效時間常數為
τ eff = C μ1 R1o + Cπ 1 R2 o + CT 2 R3o + C μ′ 2 R4 o (7-147)

對應的高 3dB 頻率 f H 為
1
fH ≅ (7-148)
2πτ eff
7.7 差動放大器 389

7.7 差動放大器

7.7.1 對稱激發
考慮差動放大器見圖 7-21(a) 。輸入訊號 Vs 以互補型式 ( 或稱推拉式,
push-pull)加入此電路,且源電阻均等分佈在差動對的兩側。譬如說,若
此差動放大器是由其他的差動級注入訊號,則將產生這種對稱激發的情
況。此外, Ro 代表電流源的輸出電阻,而 C o 代表電流源的輸出電容。
因為此電路是對稱的且以互補型式注入訊號,因此其頻率響應將和圖
7-21(b) 中的等效共射電路完全一樣。由於差動對為一直接耦合放大器
(direct-coupled amplifier),因此增益將延伸至零頻率且其放大器的高頻響
應將被一頻率為 ω HP 的實數極點所主宰,
1
ω HP = (7-149)
[ Rs rπ ] [Cπ + C μ (1 + g m RC )]

假設差動對取 Vc1 為單端輸出,因此,高頻差模轉換函數為


Vc1 Ad 0
Ad ( s ) =Δ = (7-150)
Vs 1 + ( s ω H )

VCC

RC RC

RC RC
Rs Rs
Vc1 Vc2
Vs − Vs Rs Rs
+ + Q1 Q2
2 − 2
Vs +

+ Vs

Co Ro IQ 2 2

−VEE

(a) (b)

圖 7-21 (a)一對稱激發的差動對,(b)交流等效差模半電路。
390 第7章 頻率響應

其中 3dB 頻率 ω H 等於極點頻率 ω HP ,而低頻增益 Ad 0 則為

1 rπ
Ad 0 = − g m RC (7-151)
2 Rs + rπ

7.7.2 共模排斥比
一差動放大器的共模排斥 基於許多因素,一差動放大器的共模排斥比在高頻時會衰減;而造成此
比在高頻時會衰減;而造 衰減的最主要因素為共模增益隨頻率的增加而增加。考慮圖 7-22 中的等
成此衰減的最主要因素為 效共模半電路,這裡的電阻 Ro 代表偏壓電流源的輸出電阻,而電容 C o 則
共模增益隨頻率的增加而
代表其輸出電容。由第 7.4 節討論共射放大器的頻率響應中得知 2 Ro 和
增加。
C o 2 將在共模增益函數中置入一零點,此零點的頻率 f HZ 為

1 1
f HZ = = (7-152)
2π (2 Ro )(Co 2) 2πRoCo

因為電阻 Ro 通常極大,即使輸出電容 C o 之值很小, f HZ 之值仍然很低。


其結果為共模增益在 f HZ 之後就開始增加 (斜率為 +20dB/decade),見圖
7-23(a)。或者,也可參考高頻共模增益函數之近似表示式
Vc1 ⎛ f ⎞
Acm ( s ) =Δ ≅ Acm 0 ⎜⎜1 + j ⎟⎟ (7-153)
Vcm ⎝ f HZ ⎠
其中 Acm 0 為低頻共模增益值。
將共模增益與差模增益的頻率響應合併起來即可得到共模排斥比
CMRR 的頻率響應,見圖 7-23(b)。其中 CMRR 的第一個極點為

RC

Vc1
Rs
Q1

Vcm +
− Co IQ
2Ro
2 2

圖 7-22 等效共模半電路。
7.7 差動放大器 391

dB CMRR(dB)
Ad 斜率= −20dB/decade 斜率 = − 20dB/decade
20 log Ad 0 Ad 0
20 log
Acm 0 斜率
Acm
= − 40dB/decade

20 log Acm0 斜率= +20dB/decade

fHZ fHP f fHZ fHP f


(a) (b)

圖 7-23 (a)共模增益|Acm|以及差模增益|Ad|隨頻率的變化,(b)共模排斥比隨頻率的變化。

1
f HP1( CMRR ) = f HZ = (7-154)
2π Ro C o

而 CMRR 的第二個極點為
1
f HP 2 ( CMRR ) = f HP = (7-155)
2π RT CT
其中 RT = Rs rπ 和 CT 為
CT = Cπ + C μ (1 + g m RC ) (7-156)

7.7.3 單端激發 f 選擇性閱讀 e

圖 7-24(a) 顯示一以單端 (single-ended)型式驅動的差動放大器,為與圖


7-21 對稱激發差動對之結果比較,我們特別將訊號源內阻改為 2 RS 。值
得注意的是其頻率響應與前面的對稱驅動放大器幾乎一樣。圖 7-24(b)
顯示一完整的高頻等效電路,在節點○
E1 和○
E2 處我們寫下一節點方程式得

⎛1 ⎞ ⎛1 ⎞
Vπ 1 ⎜ + sCπ + g m ⎟ + Vπ 2 ⎜ + sCπ + g m ⎟ = 0 (7-157)
⎝ rπ ⎠ ⎝ rπ ⎠
因此,
Vπ 1 = −Vπ 2 =Δ Vπ (7-158)
由此可得圖 7-25(a)中的等效電路,注意由於射極線上兩分支電流為零,
故可更進一步簡化至圖 7-25(b)和(c)。考慮圖 7-25(c),若我們忽略了流
經 C μ 的訊號電流,則可得
Vc1 ≅ − g m RCVπ (7-159)
392 第7章 頻率響應

BJT
B1 Cμ C1
2Rs Vc1
VCC
+
Vs + rπ Vπ 1 Cπ RC

RC RC − gmVπ 1

E1 E2
2Rs
Q1 Q2

+ V
− s rπ Vπ 2 Cπ RC
gmVπ 2
B2 +
IQ
Cμ C2
−VEE BJT
(a) (b)

圖 7-24 (a)單端激發的差動放大器,(b)圖(a)展開後的高頻等效電路。

於是米勒增益
Vc1 1
K =Δ ≅ − g m RC (7-160)
2Vπ 2

最後利用米勒定理可得出簡化的輸入等效電路,見圖 7-25(d)。由此圖可
看出高頻響應是被在 s = −ω HP 的極點所主宰,其中 ω HP 為
1
ω HP = (7-161)
CT RT

其中
Cπ 1
CT = + C μ ⎛⎜1 + g m RC ⎞⎟ (7-162)
2 ⎝ 2 ⎠

RT = 2 Rs 2rπ (7-163)

此結果與(7-149)式幾乎一致。此外,差動放大器單端輸出之高頻轉換函
數 Ad (s ) 亦可近似表示為
Vc1 Ad 0
Ad ( s ) =Δ ≅ (7-164)
Vs 1 + ( s ω H )
7.7 差動放大器 393

Cμ 2Rs Cμ
2Rs Vc1 Vc1

+ +
rπ Vπ Cπ RC rπ Vπ Cπ RC
gmVπ gmVπ
− −
0 0
Vs + Vs +

− + +

rπ Vπ Cπ RC rπ Vπ gmVπ RC
− gmVπ −

Cμ Cμ

(a) (b)

2Rs B1 Cμ C1 2Rs

+ +
+ Vc1 RC
Cπ − Cπ 1
Vs + 2rπ 2Vπ Vs +
− 2rπ 2Vπ C μ ⎛⎜1 + g m RC⎞⎟
− 2 gmVπ 2 ⎝ 2 ⎠

B2 RC

Cμ C2

(c) (d)

圖 7-25 單端激發差動放大器高頻分析之過程與結果。

其中 ω H ≅ ω HP ,而低頻增益 Ad 0 可由圖 7-25(c)中令所有電容開路而求


出,其結果為
1 rπ
Ad 0 = − g m RC (7-165)
2 Rs + rπ

以上二式之結果亦與(7-150)和(7-151)二式完全一致。

7.7.4 共集共基式差動放大器
如圖 7-26(a)所示為一經過修正的差動放大器,其頻寬較高。注意,此電
394 第7章 頻率響應

消去 Q1 的集極電阻,卻可 路只不過是消去 Q1 的集極電阻,卻可消除 C μ1 的米勒電容乘積效應。再


消除 Cμ1 的米勒電容乘積 者,因 C μ 2 有一端接地,所以 Q2 亦不會出現米勒效應。
效應。
另外,我們可以將圖 7-26(a) 視為一共集級 ( Q1 ) 其後連接一共基級
( Q2 ) , 因 此 這 個 電 路 即 為 一 共 集 共 基 組 態 (common-collector
common-base configuration)。
為了簡化問題,我們忽略基極散佈電阻 rb 的效應,因此可得到一等效
電路,見圖 7-26(b)。在節點○
E1 和○
E2 處建立一節點方程式可得

Vπ 1 = −Vπ 2 =Δ Vπ (7-166)

由此可進一步簡化等效電路,見圖 7-27(a)和(b)。可看出此電路存在兩個
實數極點,一個在輸入部份其頻率為 ω HP1 ,
1
ω HP1 = (7-167)
( Rs 2rπ )(Cπ 2 + Cμ )

另一個在輸出其頻率為 ω HP 2 ,
1
ω HP 2 = (7-168)
RC C μ

此二極點中是否有一為主宰將視特殊應用而定。倘若無主極點存在,則

BJT
Rs B1 Cμ C1

VCC +
Vs +
− rπ Vπ 1 Cπ
RC gmVπ 1

Vo
Rs
Q1 Q2 E1 E2

Vs +
− rπ Vπ 2 Cπ RC
gmVπ 2
B2 +
IQ
Vo
Cμ C2
−VEE BJT
(a) (b)

圖 7-26 共集共基式差動放大器:(a)電路,(b)高頻等效電路。
7.7 差動放大器 395

Rs B1 Cμ C1

+
Vs + 2rπ 2Vπ Cπ
− gmVπ
2

Vo
B2 Cμ C2
RC

(a)

Rs B1 C2

+ +
+ Cπ
Vs − 2rπ 2Vπ Cμ RC Cμ V
2 gmVπ o

(b)

圖 7-27 共集共基式差動放大器:(a)高頻等效電路,(b)化簡的結果。

吾人可利用全級轉換函數來計算 3dB 頻率 ω H 。至於精確全級轉換函數



AM
AVH (s ) = (7-169)
⎛ s ⎞⎛ s ⎞
⎜1 + ⎟ ⎜1 + ⎟
⎝ ω HP1 ⎠ ⎝ ω HP 2 ⎠
其中中頻增益 AM 為

AM = g m RC (7-170)
Rs + 2rπ

7.7.5 741 輸入級電路 f 選擇性閱讀 e

圖 7-28(a)為 741 運算放大器的輸入級,它是以共集( Q1 和 Q3 )共基( Q2 和


Q4 )組態出現的差動放大器。根據上一章的觀念,在對稱激發的條件下,
Q2 和 Q4 的共基端子為交流接地,於是可得到圖 7-28(b)之等效差模半電
396 第7章 頻率響應

VCC
C1
Rs RS
Rs
Q1 Q3
交流接地 Q1
Vs +

+ Vs

2 2 Vs +
Q2 Q4 −
2
+ Vo − Q2
B2 Vo
RC IQ RC 2
RC

−VEE
(a) (b)

圖 7-28 (a)741 輸入級,(b)圖(a)的等效差模半電路,注意此等效電路與圖 7-26(a)完全相同。

路。注意,此等效電路其實與圖 7-26(a)完全相同,故其高頻分析結果如
下:
Vo Vo 2 Ad 0
Ad ( s ) = = = (7-171)
Vs Vs 2 ⎛ s ⎞⎛ s ⎞
⎜1 + ⎟ ⎜1 + ⎟
⎝ ω HP1 ⎠ ⎝ ω HP 2 ⎠
其中低頻差模增益 Ad 0

Ad 0 = g m RC (7-172)
Rs + 2rπ
和第一個極點頻率 ω HP1 為
1
ω HP1 = (7-173)
( Rs 2rπ )(Cπ 2 + Cμ )
以及另一個極點頻率 ω HP 2 為
1
ω HP 2 = (7-174)
RC C μ

■例題 4
如圖 7-29(a)所示,差動放大器的頻寬可藉由在射極置入兩個相等電阻
RE 而被加大,也就是 ω H 可以增加)。但是,這也將使得低頻增益下降。
7.7 差動放大器 397

VCC

RC RC
+ Vo −
RC
Rs Rs
Vo
Vs − Vs Rs 2
+ +
Q1
− 2
2 RE RE
Vs +
2 − RE

Co Ro IQ

−VEE
(a) (b)

圖 7-29 例題 4:(a)電路圖,(b)等效差模半電路。

估計射極電阻對頻率響應的影響,可考慮圖 7-29(b)中的等效差模半電
路,並由此半電路之高頻分析直接計算在差模輸出的條件下差模增益
之高 3dB 頻率 ω H 。

解:
差模半電路之高頻等效電路顯示於圖 7-30(a)。因為這裡不適合使用
米勒定理,所以我們利用開路時間常數法來分析此電路。分析過程
如下:首先移去 C μ ,決定由 Cπ 所看到的電阻 R1o 。由圖 7-30(a)並
參考(7-115)式可得
⎛ R + RE ⎞
R1o = rπ ⎜ s ⎟ (7-175)
⎝ 1 + g m RE ⎠
接下來移去 Cπ ,決定由 C μ 所看到的電阻 R2 o 。由圖 7-30(b)中之迴
路○
L1 得

Vt = Vπ + Ve + Vc
⎛ 1⎞ (7-176)
= Vπ + ⎜ g m + ⎟ Vπ RE + ( I + g mVπ ) RC
⎝ rπ ⎠

由迴路○
L2 得
398 第7章 頻率響應

Rs BJT
B C
+ +
Vs Cμ
+ rπ Vπ Cπ Vo
2 − gmVπ RC
− 2

E

RE

(a)

R2o
Vt

+

I−
rπ I
L1
I I+gmVπ
+ −
rπ Vπ gmVπ
Vπ −
Rs RC Vc

+ ⎛ 1⎞
Vπ ⎜ g m + ⎟
L2 Ve RE ⎝ rπ ⎠
+

(b)

圖 7-30 例題 4:(a)差模半電路之高頻等效電路,(b)利用開路時間常數
法計算 Cμ看到之等效電阻 R2o。

⎛ V ⎞
Vπ + Ve = ⎜ I − π ⎟ Rs (7-177)
⎝ rπ ⎠


⎛ R R ⎞
Vπ ⎜1 + E + s ⎟ = IRs (7-178)
⎝ re rπ ⎠

注意(7-176)式,等號的右側只有 I 和 Vπ 兩個變數,於是將(7-178)式代回
(7-176)式消去 Vπ 即可解得
練習題 399

RE
1+ + g m RC
Vt re ⎛ R ⎞
R2 o =Δ = RC + Rs ≅ RC + Rs ⎜1 + C ⎟ (7-179)
I R R ⎝ RE ⎠
1+ E + s
re rπ
故整體的有效時間常數為
τ eff = Cπ R1o + C μ R2 o (7-180)
且 3dB 頻率 ω H 可近似為
1
ωH ≅ (7-181)
τ eff

7.1 一放大器的低頻響應可以用 L(s ) 描述 § 練習題


s ( s + 10)
L( s ) =
( s + 100)( s + 25)
計算其三分貝低頻 ω L 。

7.2 一放大器具有兩個頻率分別為 1 和 10 rad/s 的低頻零點以及兩個頻


率分別為 5 和 100 rad/s 的低頻極點,又中頻增益為−100。
(a) 計算此放大器之直流增益。
(b) 計算此放大器之三分貝頻率 ω L 。

7.3 附圖所示為一 MOS 共源放大器之高頻等效電路,其中 MOS 的轉導


值為 g m = 3 mA V 和輸出電阻為 ro = 15 kΩ 。兩寄生電容值分別為
C gs = 50pF 和 C gd = 5pF。
(a) 計算等效米勒乘積電容 C M 。
(b) 計算電壓增益的高 3dB 頻率 f H 。

MOSFET
R = 10kΩ G Cgd D
Vo
+
Vgs Cgs gmVgs ro
Vi + RD = 10kΩ
− −

習題 7.3 附圖。
400 第7章 頻率響應

7.4 附圖所示放大器之偏壓可使 I D = 1mA 和 g m = 1 mA V ,忽略 ro 之效


應。
(a) 欲使 C S 對應之極點頻率為 10Hz,問 C S 值為何?
(b) 計算 C S 所對應之零點頻率。
(c) 計算此放大器之直流增益。
(d) 若 RS 被一理想電流源取代,則極點和零點頻率分別為何?

7.5 如 圖 所 示 為 一 電 流 源 偏 壓 之 BJT 共 射 放 大 器 , 令 β = 100 ,


C μ = 2pF,和 f T = 400MHz。

VDD

ID = 1mA RD = 10kΩ

Vo

CS

Vi +

RS = 6kΩ

−VSS

習題 7.4 附圖。

+10V

10kΩ

Vo
10kΩ
Q

Vs +

0.5mA ∞

習題 7.5 附圖。
練習題 401

(a) 計算 Cπ 之值。
(b) 計算中頻電壓增益。
(c) 計算高 3 分貝頻率。
7.6 附圖所示電路中,電晶體的參數如下: rπ = 1 kΩ , β = 99 , Cπ =
110pF, C μ = 5pF, ro = ∞ 。為簡化問題,電晶體的偏壓不予考慮。
(a) 計算中頻電壓增益 AM 。
(b) 計算 3 分貝低頻 f L 。
(c) 計算 3 分貝高頻 f H 。

VCC

RC= 2kΩ

Vo
Rs=9kΩ

CC=5μF
Vs +

RE= 100Ω CE= 10μF

習題 7.6 附圖。

+10V

RC=5kΩ

Vo
Rs=10kΩ
CE=1μF

Vs +

IQ=1mA

−10V

習題 7.7 附圖。
402 第7章 頻率響應

7.7 附圖所示電路為一電流源偏壓之 BJT 共射放大器,令 β = 100 。


(a) 忽略旁路電容 C E 的效應,計算中頻小訊號電壓增益 AM 。
(b) 考慮旁路電容 C E 的效應,計算低 3dB 頻率 f L 。

7.8 參 考 圖 7-15 所 示 的 共 基 放 大 器 , 令 VCC = 5V , R1 = 10 kΩ ,


R2 = 40kΩ , RE = 6.5kΩ , RC = 1kΩ , RL = 1kΩ , Rs = 50Ω 。假設
電晶體之參數為 β = 80 , Cπ = 2pF, C μ = 0.5pF,試計算
(a) 中頻帶增益 AM 。
(b) 極點頻率 ω HP1 和 ω HP 2 。
(c) 高 3 分貝頻率 ω H 。

7.9 附圖顯示一共射共基串疊組態, β = 100 ,考慮以下三數據分別計


算三極點頻率以及高三分貝頻率 f H
(a) Rs = 10 kΩ , C μ = 0.2pF, Cπ = 6.2pF。
(b) Rs = 10Ω , C μ = 1pF, Cπ = 5pF。
(c) Rs = 2.5kΩ , Cπ = C μ = 0.8pF。

7.10 參 考 圖 7-26(a) 之 共 集 共 基 式 差 動 放 大 器 電 路 , 令 I Q = 2mA ,


Rs = 2.5 kΩ , RC = 10 kΩ , VCC = 10V。BJT 參數如下: β = 50 ,
Cπ = 4pF, C μ = 2pF。假設 Q1 和 Q2 的直流偏壓電流相等。試計算:

+10V

5kΩ
+1V
Vo
Q2

Rs
Q1

Vs +

1mA ∞

−10V

習題 7.9 附圖。
參考書目 403

(a) 中頻電壓增益 AM 。
(b) 兩極點頻率 f HP1 和 f HP 2 。
(c) 高 3dB 頻率 f H 。

參考書目
1. A. S. Sedra and K. C. Smith, “Microelectronic 6.P. R. Gray, P. J. Hurst, S. H. Lewis, and R. G.
Circuits,” 6th ed. Oxford University Press, Meyer. “Analysis and Design of Analog
2009. Integrated Circuits,” 5th ed., John Wiley and
2. J. Millman, and A. Grabel. “Microelectronics,” Sons, New York, 2009.
3rd ed. New York: McGraw-Hill Book Co., 7. B. Razavi, “Design of Analog CMOS Integrated
1999. Circuits,” 1st ed., McGraw-Hill Companies,
3. N. R. Malik, “Electronic Circuits; Analysis, Inc., 2001.
Simulation, and Design,” Englewood Cliffs, 8. H. W. Bode, “Network Analysis and Feedback
NJ: Prentice Hall, Inc., 1995. Amplifier Design,” Princeton, NJ; D. Van
4. D. A. Neamen, “Microelectronics: Circuit Nostrand Co., 1945.
Analysis and Design,” 4th ed. McGraw-Hill, 9. W. H. Hayt and J. E. Kemmerly, “Engineering
2009. Circuit Analysis,” 4th ed., New York;
5. T. C. Carusone, D. A. Johns and K. Martin, McGraw-Hill Book Co., 1986.
“Analog Integrated Circuit Design,” 2nd ed. 10.張文清,“SPICE 電子電路模擬”,四版,台
John Wiley and Sons, New York, 2011. 北鼎茂圖書,2009。
404 附錄 1

附錄 1-練習題解答
第一章
1.1 (a) I1 = 1mA, I 2 = I 3 = −0.5mA , I 4 = −1mA。
(b) PS = 3mW。
(c) PD1 = 1mW, PD 2 = PD 3 = 0.5mW, PD 4 = 1mW。
(d) PS = PD1 + PD 2 + PD 3 + PD 4 。

1.2 (a) V1 = 1V, g mV1 = 0.5mA。


(b) PD 3 = 0.5mW。
(c) PD = PD1 + PD 2 + PD 3 + PD 4 ,故滿足能量不滅原理。

1.3 (a) I S = 2mA, RP = 1 kΩ 。


(b) VS = 6V, RS = 2 kΩ 。

1.4 (a) Av = 100 V V 。

(b)不正確。
dvO 10 2
1.5 (a) Av = = 。
dvI 1 + (10 2 vI ) 2
(b) vI = 10mV:Av = 50 , vI = 30mV:Av = 10 。

1.6 (a) η = 60%。

(b) PD = 4W。

RL
1.7 (a) vO = vS 。
RS + RL

RL Ri
(b) vO = μ ⋅ vS 。
RO + RL RS + Ri

(c) Ri = ∞ , RO = 0 , μ = 1 。

1
1.8 (a) H ( s ) = 。
1 + sRC
1.9 (b) f = 400kHz:28dB, f = 4MHz:8dB。

1.10 (a) fT = 150kHz。

(b) θ ~
= 90°
練習題解答 405

1.12 (a) NM H = 2.4V, NM L = 0.4V 。

(b) NM H = NM L = 0.185V 。

第二章
2.1 (b) Rin = R1 。

2.2 vO = −8v I 。

2.4 R2 = 50 kΩ , C = 2 nF。

2.5 (b) R1 = 10 kΩ , R2 = 1 MΩ , C1 = 0.16 μ F , C 2 = 16pF 。

⎛R R ⎞ ⎛ R ⎞ ⎛ R4 R3 ⎞
2.6 vo = −⎜ v1 + v 2 ⎟ + ⎜1 + ⎟⎜ v3 + v4 ⎟ 。
⎝ R1 R2 ⎠ ⎝ ( R1 || R2 ) ⎠ ⎝ R3 + R4 R3 + R4 ⎠

R2 R + R2 R4 5 27
2.7 v0 = − v1 + 1 v 2 = − v1 + v2
R1 R1 R3 + R4 4 16

2.8 vo (t ) = cos(5 × 10 3 t − 90°)

2.9 15.9 kHz。

第三章
3.1 n = 1016 / cm 3 , p = 2.1 × 10 4 / cm 3 。

3.2 ρ = 0.48 Ω - cm 。

3.3 (a) σ = 2.4(Ω - cm) −1 。

(b) I = 240μA 。

3.4 σ A >σB >σC >σE >σD。

3.5 (a) Vbi = 0.58V。


Xp
(b) = 50 。
Xn

(c) W = 13.8μm , X p = 13.5μm , X n = 0.3μm 。

3.6 (a) η = 2 。

(b) I S = 5.6 × 10 −9 A 。

3.7 0.2nF 。
406 附錄 1

2
3.8 VIX = 7.5V, VIY = 21.25V, VOX = 7.5V, VOY = 10V, a = 。
11
3.9 (a) VO = 6.83V。

(b) ΔVO = ±38mV 。

(c) RL (min) = 1.5 kΩ 。

3.10 (a) RL (min) = 1.58 kΩ 。

(b) R = 308 Ω 。
3.11 VZ = 295V。

第四章

4.1 (a)順向主動區。
(b) α = 0.994 , β = 165 。

4.2 (a) Vbi (CBJ ) = 0.48V。

(b) v R (max) = 5.9V。

4.3 (a) VE = +3.3V , VC = +3.5V , I E = 1mA, I C = 0.81mA, I B = 0.19mA。

(b) VE = + 5.3V, VC = + 5.5V, I E = 1.6mA, I C = 1.13mA, I B = 0.47mA。

4.4 (a) I O = 200.9mA 。

(b) VO (min) = 2.2V 。

4.5 VB = 7.5V, VE = 6.8V, VC = 10.7V。

4.6 g m = (2aVBEQ + b)(1 + cVCEQ ) , rπ = β g m , ro = [c(aVBEQ2 + bVBEQ )]−1

4.7 (a) Vbi ( EBJ ) = 0.9V, Vbi (CBJ ) = 0.67V。

(b) Wd ( EBJ ) = 0.06 μm , Wd ( CBJ ) = 2.22 μm 。


(c) C j ( EBJ ) = 0.18 pF , C j ( CBJ ) = 0.47 pF 。
(d) Cb = 55pF 。
(e) Cπ = 55.2pF , C μ = 0.47 pF 。

4.8 (a) I E = 1.94mA。


(b) vo v s = −8.1 V V 。
練習題解答 407

(c) io ii = −44.9 A A 。

4.9 (a) RE = 14.3 kΩ 。

(b) RC = 10 kΩ 。

(c) g m = 40 mA V , rπ = 2.5kΩ , re = 25Ω 。


(d) Vo Vs = −95 V V 。

vo
4.11 (a) = 0.99 , Rin = 195.5 kΩ 。
vs

(b) vo (max) = 5.3V, vo (min) = −4V 。

4.12 (a)4.26mA。
(b) Rin = 5.8Ω 。

(c) vo vs = 8.9 V V 。

第五章
5.1 g m = 23.1 μA V , ro = 1.27 MΩ 。

5.2 (a) I DQ = 1.06mA , VGSQ = 4.4V 。

(b) Rin = 2.33MΩ 。

(c) vo vi = −3.3 V V 。

(d) Rout = 8.24 kΩ 。

5.3 (a) I DQ = 3mA , VDQ = 18V 。

(b) vo vi = −4.7 V V 。

5.4 (a) Rout = 0.95 kΩ 。

(b) vo vi = 0.82 V V 。

5.5 (a) VGSQ = 3V 。

(b) Rin = 0.5 kΩ 。

(c) vo vi ≅ 2.5 V V 。

5.6 (a) VS = −3V ,MOS 工作於飽和區。


408 附錄 1

(b) g m = 2 mA V 。

(c) Rin = 500Ω 。

(d) Rout = 2.5 kΩ 。


(e) vo vi = 1.67 V V 。

5.7 (a) VGSQ = 2V , VDSQ = 7 V 。

(b) g m = 2 mA V 。

(c) Rin = 0.5 kΩ 。

(d) vo vi = 10 V V 。

5.8 (a) I O = 0.51mA 和 VGS 2 = −1.19V 。

第六章
6.1 (a) I O = 0.91mA。

(b) I O = 1.11mA。

(c) I O = 1.01mA

6.2 I O = 2.33mA, VO = −3.65V 。

6.3 I O = 2.34mA

6.4 (a) VO = 3V。

(b) VCB 3 = 2.3V, VBC 4 = 6.3V 。

6.5 (a) I1 = 3.1mA, I 2 = 6.2mA, I 3 = 3.1mA。

(b) I1 = 3.1mA, I 2 = 4.9mA, I 3 = 3.1mA。


(c) I1 = 3.1mA, I 2 = 2.45mA, I 3 = 2.45mA。

6.6 I REF = 654 μA , R = 21.87 kΩ , RE = 8.3 kΩ 。

6.7 (a) I1 = 2mA, I 2 = 1mA, I 3 = 1mA, I 4 = 1mA, I 5 = 10 μA , V1 = −4V , V2 = 9.3V , V3 = 1V ,


V4 = −9.3V , V5 = −0.1V 。
(b) V3 = 9.8V , I 3 = 0.65mA 。

6.8 (a) VO = 1.5V。

(b) ΔI O = 1μA 。
練習題解答 409

(c) VO (min) = 0.7 V 。

6.9 (a) I REF = 3.86 mA 。

(b) VC1 = 0.63V 。

vo
(c) = −375 V V 。
vs

6.10 (a) Rid = 40kΩ 。

(b) vo vs = 40 V V 。

6.11 (a) VCB1 = 3V , VCB 3 = 3.6V 。


vo
(b) = 40 V V 。
vd
vo
(c) = −0.02 V V 。
vcm
(d) Rid = 20kΩ 。
(e) Ricm = 10 MΩ 。

6.12 (a) vo vd = 20 V V 。

(b) vo vcm = 0.23 V V 。

(c) vo = 0.08 sin 2π × 2000t − 0.046 sin 2π × 60t (V) 。

6.13 (a) I O = 4mA 。

(b) AV = −2 。

6.14 (a) I DQ = 360μA 。

(b) AV = −2,400 。

(c) AV = −100 , Rin = 49.5 kΩ 。

(d)4V。
6.15 (a) VGG = 5.94V。

(b) vo vi = 0.72 V V 。

(c) Rout = 0.4kΩ 。

6.16 Rout ≅ ( g m 3 ro 3 )( β 2 ro 2 ) 。
410 附錄 1

6.17 (a) I REF = 1mA, I Q1 = 1mA, I Q 2 = 2mA。


(b) I E1 = I E 2 = 0.5mA, I E 3 = I E 4 = I E 5 = 1mA, I E 6 = 5mA。
(c) Ps + = 135mW , Ps− = 150 mW 。

6.18 (a) re1 = re 2 = 50Ω , re 3 = re 4 = re 5 = 25Ω , re 6 = 5Ω 。


(b) Rid = (1 + β )(re1 + re 2 ) , Ri 2 = (1 + β )(re 3 + re 4 ) , Ri 3 = (1 + β )(re 5 + R4 ) , Ri 4 = (1 + β )(re 6 + R6 ) 。
vo1 [ R ( R + R2 )] v (R R ) v (R R ) v R6
(c) A1 =Δ = −α i 2 1 ,A2 =Δ o 2 = α 3 i 3 ,A3 =Δ o 3 = −α 5 i 4 ,A4 =Δ o = 。
vd re1 + re 2 vo1 re 3 + re 4 vo 2 re 5 + R4 vo 3 R6 + re 6
⎛ R ⎞
(d) Rout = R6 ⎜ re 6 + 5 ⎟。
⎝ 1+ β ⎠

第七章
7.1 ω L = 102 rad s 。

7.2 (a)直流增益 = −2 。
(b) ω L = 99 rad s 。

7.3 (a) CM = 95pF。

(b) f H = 109kHz。

7.4 (a) CS = 18.6 μF 。

(b) f LZ = 1.43 Hz 。

(c)直流增益 = −1.43 V V 。

(d) f LP 2 = 8.6 Hz, f LZ = 0 Hz。

7.5 (a) Cπ = 6pF。

(b) AM = −66.7 V V 。

(c) f H = 117 kHz 。

7.6 (a) AM = −19.8 V V 。

(b) f L = 318 Hz。

(c) f H = 160 kHz。

7.7 (a) AM = −40 V V 。

(b) f L = 1.28 kHz 。


練習題解答 411

7.8 (a) AM = 5 V V ,

(b) ω HP1 = 20 Grad s , ω HP 2 = 4 Grad s 。

(c) ω H = 4 Grad s 。

7.9 (a) f HP1 = 12.1MHz, f HP 2 = 968MHz, f HP 3 = 159 MHz, f H = 12MHz。


(b) f HP1 = 2.28GHz, f HP 2 = 0.91GHz, f HP 3 = 32MHz, f H = 32MHz。
(c) f HP1 = 53MHz, f HP 2 = 2GHz, f HP 3 = 40MHz, f H = 32MHz。

7.10 (a) AM = 99。


(b) f HP1 = 31.5MHz, f HP 2 = 8MHz。
(c) f H = 7.5MHz。
412 附錄 2

附錄 2-中文索引
1劃 內建電場, 136
一般性的阻抗轉換器, 85 內部補償, 90
內部電阻, 162
2劃
分立的, 124
二元數位電路, 38
分立時間訊號, 26
二氧化矽, 251
分立電路, 15, 209, 278
十倍, 34
分立電路放大器, 901
3劃 分貝, 27
三極區, 255, 274 分配律, 49
大小, 25 分壓定律, 237
大小值, 32 分壓器, 71
大小響應, 32 切換電容濾波器, 292
大型積體電路, 40 切換臨界電壓, 56
大量作用定律, 128 反向電流增益, 192
小型積體電路, 40 反向飽和電流, 148
小訊號近似, 159 反相位, 31, 64
小訊號電阻, 160 反相組態, 67
小訊號操作, 31 反相輸入端, 64

4劃 5劃
不等比較器, 47 片斷線性模型, 154
中子, 121 主宰的高頻極點, 368
中心抽頭, 169 主級線圈, 170
中性基極寬度, 194 主動, 28
中型積體電路, 40 主動區, 277
中間值, 87 主動模式, 186
中頻帶, 357 主極點近似, 359
互補式金氧半, 341 加強式, 251
介面電阻, 162 加權式加法器, 75
介電係數, 139, 152 功率放大器, 29
介電常數, 146, 177 功率散逸, 29
元件轉導參數, 259 功率增益, 28
內建電位, 137 包裝, 40
中文索引 413

半波整流器電路, 168 共基電流增益, 186


半絕緣基板, 276 共軛複數對, 33
半導體, 119 共集共基組態, 394
半導體國家技術藍圖, 251 共集組態, 234
半導體產業協會, 251 共價鍵結, 122
古典電容耦合式, 365, 375 共模半電路, 324
外質半導體, 127 共模排斥, 65
外觀比值, 259 共模排斥比, 101, 324
布林代數, 41 共模電壓, 315
平方定律, 275, 261 共模誤差電壓, 102
平直增益, 83 共模增益, 100, 324
打開電壓, 163 共模輸入電阻, 103
正回授, 65 同相位, 31, 64
v b

正常截止元件, 266 吊環追隨器, 238


正常導通元件, 266 因次, 119
正邏輯系統, 38 回授, 65
生命期, 143 多晶矽, 195, 251
6劃 多數載體, 138
交流等效電路, 215 多數載體元件, 163
交換律, 46 多輸出電流鏡, 305
全功率頻寬, 99 尖峰, 274
全級電壓增益, 228 有效時間常數, 367
全通濾波器, 82 有效基極寬度, 194
全部靜電位, 142 有效通道長度, 276
全部瞬時輸入, 30 有效通道深度, 269
全部瞬間二極體電壓, 159 次級線圈, 169
共同接地, 63 米勒定理, 22, 287, 367
共汲放大器, 291 米勒效應, 368
共射崩潰電壓, 203 米勒積分器, 73
共射組態, 188 自我一致的解, 205
共射短路電流增益, 363 自偏電路, 210
共射電流增益, 188 自然模式, 33
共基, 240
7劃
共基崩潰電壓, 202
串疊式, 330
共基組態, 188
414 附錄 2

串疊電流鏡, 311 定電壓降模型, 154


佛米位能, 263 延遲時間, 55
作用區, 276 延遲率, 97
低 3dB 頻率, 75, 359 延遲率失真, 99
低注入條件, 147 延遲率限制, 96
低通, 33 放大器增益, 27
低通濾波器, 74 放射係數, 149
低雜訊邊限, 58 注入效率, 187
克希荷夫, 17 波茲曼常數, 126, 134
克希荷夫定律, 17 波爾, 121
克希荷夫電流定律, 17 波德圖, 33
克希荷夫電壓定律, 17 直流, 65
夾止, 270, 276 直流偏壓點, 30
夾止區, 257 直接耦合, 65
夾止電壓, 269, 270 直接耦合元件, 65
夾止點, 256 直接耦合放大器, 389
完全游離, 128 矽, 120
完美的共模排斥, 65 矽晶片, 15
步階, 96 空乏式, 251
步階響應, 87 空乏式金氧半場效電晶體, 266
汲取, 305 空乏區, 137
汲極, 251, 268 空乏區寬度, 139
汲源飽和電流, 272 空乏電容, 151
狄摩根定律, 44 空間電荷, 137
空間電荷區, 137
8劃
表面反轉層, 253
供應, 305
表面反轉層, 253
取樣, 26
金半場效電晶體, 276
取樣持有電路, 292
金氧半場效電晶體, 251
受體, 127 金屬-氧化層-半導體, 251
受體原子濃度, 128 阻抗準位, 66
受體離子濃度, 128 阻抗轉換器, 71
固態材料, 119 非反相, 71
固態物理, 123 非反相積分器, 81
定電流源, 301 非反相輸入端, 64
中文索引 415

非線性失真, 27 峰值整流器, 174


非整流性接點, 162 峰值檢測器, 174
差動放大器, 76, 313
9劃
差動增益, 65, 78
施體, 127
差動輸入轉導放大器, 87
施體原子濃度, 127
差模輸入電阻, 103
施體離子濃度, 127
差模半電路, 323
柏桑方程式, 139
差模輸出, 317
相位平移, 83
差額輸入和單端輸出, 65
相角響應, 32
效率, 29
相量, 219
時域, 72
穿隧, 166
真值表, 40
突變接面, 139
砷, 120
負回授, 65, 278
砷化鎵, 36, 276
負回授機構, 279
純質半導體, 119
負阻抗轉換器, 80
純質載體濃度, 126
負載線, 155
能帶, 124
負載調節量, 165
能帶圖, 123
負邏輯系統, 38
能量不滅原理, 29
重疊原理, 76
能階, 124
10 劃 能隙, 124
韋勒電流源, 305 訊號, 25
乘積之和, 48 訊號反轉, 67, 243
倒置理論, 190 訊號失真, 26
原子核, 121 訊號放大, 26
埋藏層, 194 訊號接地, 215
射基接面, 183
訊號擺幅, 277
射基接面電容, 220
逆向主動, 184
射極, 183
逆向主動模式, 192
射極旁路電容, 227
逆向偏壓, 142
射極追隨器, 234
高 3dB 頻率, 74, 360
射極接地, 227
高阻抗節點, 81
射極電阻, 217
高通, 33
射極耦合邏輯, 40, 313
高通濾波器, 75
峰值逆向電壓, 169
高電場速度飽和效應, 131
416 附錄 2

高態輸入, 56 理想電壓源, 64
高態輸出, 56 理想模型, 154
高雜訊邊限, 58 荷電載體, 135
通道, 253, 268
11 劃
通道長度, 252
偏移消除, 64
通道長度調變參數, 262
偏移電壓, 55, 107, 193, 332
通道電阻, 269
偏壓, 209
通道寬度, 252
偏壓電流, 161
閉迴路增益, 66
基板, 251
閉迴路轉換函數, 71
基極, 183
閉路, 55
基極充電電容, 221
陶瓷, 40
基極穿越時間, 196
麥克風, 25
基極接地, 240
硒, 120
基極散佈電阻, 222
硒化鋅, 120
基極傳輸因子, 186
基極寬度, 183 12 劃
基極寬度調變效應, 201 傅立葉級數, 31
基體, 251 傅立葉轉換, 31

基體效應, 263 最大項, 51


最小項, 50
基體效應係數, 263
單一時間常數, 33
基體轉導, 284
單一時間常數低通響應, 91
崩潰區, 163
單一極點響應, 364
帶通濾波器, 115
單位晶胞, 121
帶溝, 124
單位增益放大器, 71
接面, 137
單位增益緩衝器, 87
接面二極體, 147
單位增益頻率, 75
接面定律, 143
單位增益頻寬, 91, 365
接面面積, 148
單側, 141
接面場效電晶體, 268
單晶結構, 121
梯度係數, 152
單端, 391
毫伏特, 26
場氧化層, 194
混合-π模型, 216
晶片, 251
理想二極體方程式, 148
晶格, 121
理想因子, 149
晶格常數, 121
中文索引 417

游離能, 122, 127 源極, 251, 268


焦耳, 124 源極追隨器, 291
發光二極體, 120 資訊, 25
結合律, 46 載體, 122
絕緣體, 119 運算放大器, 63, 313
虛接地, 66 閘, 56
虛短路, 66 閘氧化層單位面積的電容, 252
超大型積體電路, 40, 251 閘極, 251, 268
開迴路組態, 65 閘極至通道接面, 269, 271
開路, 55 隔絕島, 194
開路時間常數, 361 電子, 121
開路電壓增益, 59 電子伏特, 124
階數, 32 電子電洞對, 125
集基接面, 183 電子電路, 15
集基接面電容, 220 電子遷移率, 120, 130
集極, 183 電池加電阻模型, 154, 164
集極接地, 234 電抗, 222
順向主動, 184 電阻反射定律, 230, 317
順向主動模式, 191 電阻係數, 119
順向偏壓, 142 電流尺寸因子, 196
13 劃 電流追隨器, 244
傳導電子, 122 電流密度, 131
傳導電流, 122 電流增益, 27, 215, 302
傳導電流密度, 134 電流緩衝器, 244
傳輸閘, 292 電流鏡, 301
傳輸零點, 32 電洞, 123
塑膠, 40 電域, 25
微伏特, 26 電荷中性, 128
微安, 18 電荷控制方程式, 145
微處理機, 15 電場強度, 123
微微法拉, 221 電晶體-電晶體邏輯, 40
微電子學, 15 電源吸收, 306
微電腦, 15 電源轉換, 21
極點, 33 電路系統偏移, 113
極點頻率, 33 電路接地, 27
418 附錄 2

電壓至電流轉換器, 81 標準差, 333


電壓降模型, 154 歐姆, 16
電壓追隨器, 71 歐姆定律, 16
電壓控制電流源, 58 歐姆接點, 162
電壓增益, 27, 215 歐萊效應, 201
零點, 32 歐萊電壓, 201
飽和, 184 熱平衡, 128
飽和區, 255, 257, 274 熱破壞, 209
飽和速度, 131 熱產生, 125
飽和電流增益, 198 熱電壓, 134, 148
飽和模式, 192 磊晶層, 194, 276
線性放大器, 27
14 劃
線性度, 26
截止, 184
線性斜波訊號, 97
截止區, 277
線調節量, 165
截止模式, 192
緩衝放大器, 71
截波電路, 272
緩衝電路, 59
摻雜, 127
緩衝器, 234
漏電流, 127
耦合電容, 226
漸近響應, 34
膝蓋點, 164
端電流, 186
複數量, 32
端電壓, 135
複數頻率, 32
齊納二極體, 164
質子, 121
齊納並聯調節器, 165
遷移速度, 130
齊納崩潰, 166
遷移電流, 129
齊納電阻, 164
鋅, 120
15 劃 導納, 32
儀表放大器, 78 導通電阻, 55, 293
價軌道, 122 導電帶, 124
價電帶, 124 導電率, 132
增益頻寬乘積, 75, 357 導體, 119
寬頻放大器, 369
16 劃
撞擊游離化, 166
操作點, 29, 155, 205
數位至類比轉換器, 292
整流, 127
數位訊號, 26
中文索引 419

整體空間電荷中性, 139 壓力轉送器, 25


積分時間常數, 73 壓控開關, 55
積體電路, 15, 120 壓控電阻, 253, 254
積體電路放大器, 301 戴維寧, 21
蕭特萊, 143, 147 擊穿, 201
蕭基二極體, 162 檢視, 113
蕭基位障接面, 276 瞬時操作點, 30, 222
蕭基接點, 162 聲頻帶, 31
蕭基電晶體, 163
18 劃
諾頓, 21
臨界電壓, 253
輸入共模電阻, 325
擴展的和之乘積, 50
輸入共模範圍, 316
擴展的乘積之和, 49
輸入差動電阻, 78
擴散長度, 143
輸入差模電阻, 317
擴散率, 133
輸入偏移電流, 109, 334
擴散電流, 130
輸入偏移電壓, 107, 113, 332
擴散電容, 152
輸入偏壓電流, 109, 334
轉送器, 25, 78
輸入電阻, 59, 228
轉換函數, 32
輸出直流偏移電壓, 332
轉導, 58, 214
輸出電阻, 59, 219
離子, 127
輸出飽和電壓, 29
離子佈植, 195
霍爾係數, 135
離子佈植法, 266
霍爾測量, 125, 135
雜訊放大者, 74
霍爾電場, 135
雙串疊, 343
霍爾電壓, 135
雙埠網路, 27
靜電位圖, 184
雙載子接面電晶體, 147, 183
靜態點, 30
雙線包裝, 40
頻率補償, 64, 91
額定值, 209
頻率響應, 32, 228
額定輸出電壓, 95
頻寬, 357
鎵, 120
頻譜, 31
鍺, 120 19 劃
穩定的操作點, 277
17 劃
類比訊號, 25
儲存時間, 145
類比電路, 26
420 附錄 2

類比積體電路, 313 變量電阻, 160, 306, 311


變遷區域, 56
21 劃
邏輯 0, 38
纍增崩潰, 166
邏輯 1, 38
纍增過程, 166
邏輯反相器, 55
23 劃
27 劃
疊代法, 156
鑽石結構, 121
變動率限制, 90
英文索引 421

附錄 3-英文索引
A base, 183
abrupt junction, 139 base-width modulation effect, 201
ac current gain, 215 battery-plus-resistance model, 154, 164
ac equivalent circuit, 215 bias current, 161
acceptor atom concentration, 128 binary digital circuits, 38
acceptor ion concentration, 128 bipolar junction transistor(BJT), 147,183
acceptor, 127 Bode plots, 33
active mode, 186 body transconductance, 284
active region, 276, 277 body, 251
active, 28 body effect, 263
admittance, 32 body-effect coefficient, 263
all-pass filter, 82 Bohr, 121
amplifier gain, 27 Boltzmann constant, 126, 134
analog circuits, 26 Boolean algebra, 41
analog integrated circuits, 313 bootstrap follower, 238
analog signal, 25 breakdown region, 163
As, 120 buffer amplifier, 71

aspect ratio, 259 buffer, 59, 234


built-in electric field, 136
associative law, 46
built-in potential, 137
asymptotic response, 34
bulk resistance, 162
audio band, 31
buried layer, 194
avalanche breakdown, 166
avalanche process, 166 C
capacitively-coupled, 365, 375
B
carriers, 122
band gap, 124
cascode mirror, 311
band pass filter, 115
cascode, 330
bandwidth, 357
center-tapped, 169
base charging capacitance, 221
ceramic, 40
base spreading resistance, 222
channel length, 252
base transit time, 196
channel resistance, 269
base transport factor, 187
channel width, 252
base width, 183 channel, 253, 268
422 附錄 3

channel-length modulation effect, 262 conduction band, 124


channel-length modulation parameter, 262 conduction current density, 134
charge carrier, 135 conduction current, 122
charge control equation, 145 conduction electron, 122
charge neutrality, 128 conductivity, 132
chip, 301 conductor, 119
chopper circuit, 292 conjugate pairs, 33
circuit ground, 27 constant current source, 301
closed -loop gain, 66 constant-voltage-drop model, 154
closed, 55 contact resistance, 162
closed-loop transfer function, 71 coupling capacitor, 226
collector, 183
covalent bonding, 122
collector-base junction(CBJ), 183
current buffer, 244
common- base current gain, 186
current density, 131
common base, 240
current follower, 244
common ground, 63
current gain, 27, 302
common-base configuration, 188
current mirror with multiple outputs, 305
common-collector common-base configuration,
current mirror, 301
394
current scale factor, 196
common-collector configuration, 234
cutoff mode, 192
common-drain amplifier, 291
cutoff region, 277
common-emitter configuration, 188
cutoff, 184
common-emitter current gain, 188
common-emitter short-circuit current gain, 363 D
common-mode error voltage, 102 dc bias point, 30
common-mode gain, 100, 324 De- Morgan's laws, 44
common-mode half-circuit, 324 decade, 34
common-mode input resistance, 103, 325 decibels, 27
common-mode rejection ratio(CMRR), 101, 324 delay time, 55
common-mode rejection, 65 delta interconnection, 19
common-mode voltage, 315 depletion capacitance, 151
commutative law, 46 depletion layer width, 139
Complementary MOS(CMOS), 341 depletion region, 137
complete ionization, 128
depletion-type MOSFET, 266
complex frequency, 32
depletion-type, 251
complex quantity, 32
英文索引 423

device transconductance parameter, 259 drain-to-source saturation current, 272


diamond structure, 121 drift current, 129
dielectric constant, 146, 177 drift velocity, 130
differential amplifier, 76, 313 dual-in-line package(DIP), 140
differential gain, 65, 78
E
differential half circuit, 323
Early effect, 201
differential input resistance, 103
Early voltage, 201
differential -input single-ended-output, 65
effective base width, 194
differential output, 317
effective channel depth, 269
differential-input transconductance amplifier, 87
effective channel length, 276
diffusion capacitance, 152
effective time constant, 367
diffusion current, 130
efficiency, 29
diffusion length, 143
electric field intensity, 123
diffusivity, 133
electrical domain, 25
digital signal, 26
electron mobility, 120, 130
digital-to-analog converters, 292
electron, 121
dimension, 119
electron-hole pair, 125
direct current, 65
electronic circuits, 15
direct-coupled amplifier, 389
electronvolt(eV), 124
direct-coupled devices, 65
electrostatic potential diagram, 184
direct-coupled, 65
emission coefficient, 149
discrete circuit, 15, 209, 278
emitter bypass capacitor, 227
discrete, 124
emitter follower, 234
discrete-circuit amplifiers, 301
emitter resistance, 217
discrete-time signal, 26
emitter, 183
distributive law, 49
emitter-base junction(EBJ), 183
dominant high- frequency pole, 368
emitter-coupled logic(ECL), 313
dominant-pole approximation, 359
energy band diagram, 123
donior ion concentration, 127
energy bands, 124
donor atom concentration, 127
energy level, 124
donor, 127
enhancement-type, 251
doped, 127
epitaxial layer, 276
double cascade, 343
expanded product-of-sums form, 50
drain, 251
expanded sum-of-products form, 49
drain, 268
424 附錄 3

extrinsic, 127 Hall measurement, 126, 135

F Hall voltage, 135


high noise margin, 58
feedback, 65
high pass, 33
Fermi potential, 263
high-impedance node, 81
field oxide, 194
high-pass filter, 75
flat gain, 83
hole, 123
forward active mode, 191
hybrid- π model, 216
forward active, 184
forward-biased, 142 I
Fourier series, 31 IC chip, 40
Fourier transform, 31 ideal diode equation, 148
frequency compensation, 64, 91 ideal model, 154
frequency response, 32, 228 ideal voltage source, 64
frequency spectrum, 31 ideality factor, 149
full power bandwidth, 99 impact ionization, 166

G impedance level, 66
impedance transformer, 71
Ga, 120
in phase, 31, 64
GaAs, 120, 276
incremental resistance, 306, 311
gain-bandwidth product, 75, 357 v

incremental resistance, 66, 160


gate, 251, 268
inequality comparator, 47
gate-to- channel junction(GCJ), 269, 271
information, 25
generalized impedance converter(GIC), 85
injection efficiency, 187
George Simon Ohm, 16
input bias current, 109, 334
Germanium, 120
input common-mode range(ICMR), 316
grading coefficient, 152
input differential resistance, 78, 317
grounded-base, 240
input offset current, 109, 334
grounded-collector, 234
input offset voltage, 107, 332
grounded-emitter, 227
input resistance, 59, 228
Gustav Kirchhoff, 17
instantaneous operating point, 30, 222
H
instrumentation amplifier, 78
half-wave rectifier, 168 insulator, 119
Hall coefficient, 135 integrated-circuit amplifiers, 301
Hall field, 135 integrated-circuit(IC), 15, 120
英文索引 425

integration time constant, 73 linearly ramping signal, 97


internally compensated, 91 load line, 155
intrinsic carrier concentration, 126 load regulation(LOR), 165
intrinsic semiconductor, 119 logic inverter, 55
inverting configuration, 67 low noise margin, 58
inverting input terminal, 67 low pass, 33
ion implantation, 195, 266 lower 3dB frequency, 75, 359
ion, 127 low-injection condition, 147
ionization energy, 127 low-pass filter, 74
isolation island, 194
M
iteration method, 156
magnitude response, 32
J magnitude, 25, 32
Joule, 124 majority carrier device, 163
junction area, 148 majority carrier, 138
junction diode, 147 mass-action law, 128
Junction Field-Effect Transistor(JFET), 568 maxterm, 51
junction, 137 medium-scale integrated circuit(MSI), 40
metal-oxide-semiconductor field-effect
K
transistor(MOSFET), 251
Kirchhoff's current law(KCL), 17
metal-oxide-semiconductor, 251
Kirchhoff's law, 17
metal-semiconductor field-effect
Kirchhoff's voltage law(KVL), 17
transistor(MESFET), 276
Knee, 164
microampere, 18
L microcomputer, 15
large-scale integrated circuit(LSI), 40 microelectronics, 15
lattice constant, 121 microphone, 25
lattice, 121 microprocessor, 15
law of the junction, 143 μ
microvolt, 26
leakage current, 127 midband, 357
lifetime, 143 Miller effect, 368
light-emitting diode, 120 Miller integrator, 73
line regulation(LIR), 165 Miller theorem, 22
linear amplifier, 27 Miller’s theorem, 287, 367
linearity, 26 millivolt, 26
426 附錄 3

minterm, 50 open-loop configuration, 65


MOS diode, 251 operating point, 29, 155, 205
operational amplifier(op amp), 63, 313
N
order, 32
National Technology Roadmap for
out-of-phase, 31, 64
Semiconductor(NTRS), 251
output dc offset voltage, 107
natural modes, 33
output resistance, 59, 219
n-channel, 268
output saturation voltage, 29
negative feedback, 65, 278
overall space charge neutrality, 139
negative impedance converter(NIC), 80
overall voltage gain, 228
negative logic system, 38
negative-feedback mechanism, 279 P
neutral base width, 194 package, 40
neutron, 121 peak detector, 174
noise magnifier, 74 peak inverse voltage(PIV), 169
noninverting input terminal, 64 peak rectifier, 174
noninverting integrator, 81 permittivity, 139, 152
noninverting, 71 phase response, 32
nonlinear distortion, 27 phase shifter, 83
nonrectifying contact, 162 phasor, 219
normally-off device, 266 pi interconnection, 19
normally-on device, 266 pico farads, 221
Norton, 21 piecewise linear model, 154
nucleus, 121 pinch-off point, 256
pinch-off region, 257
O
pinch-off voltage, 269, 270
offset nulling, 64
pinch-off, 270, 276
offset voltage, 55, 107, 193, 332
plastic, 40
ohmic contact, 162
Poisson’s equation, 139
Ohm's law, 16
pole frequency, 33
on resistance, 55, 293
poles, 33
one-sided, 141
polysilicon, 195, 251
onresistance, 293
positive feedback, 65
open, 55
positive logic system, 38
open-circuit time constants, 361
power amplifier, 29
open-circuit voltage gain, 59
英文索引 427

power dissipation, 29 saturation, 184


power gain, 28 Schottky barrier junction, 276
pressure transducer, 25 Schottky contact, 162
primary winding, 170 Schottky diode, 162
principle of conservation of energy, 29 Schottky transistor, 163
principle of superposition, 76 Se, 120
probe, 113 secondary coil, 169
product-of-sums, 50 self-biasing circuit, 210
proton, 121 self-consistent solution, 205
punch-through, 201 Semiconductor Industry Association(SIA), 251
push-pull, 389 semiconductor, 119
semi-insulating substrate, 276
Q
Shockley, 143, 147
quiescent point, 30
signal amplification, 26
R signal distortion, 26
rated output voltage, 95 signal ground, 215
ratings, 209 signal inversion, 67, 243
reactance, 222 signal swing, 277
reciprocity theorem, 190 signal, 25
rectification, 127 silicon chip, 15
resistance reflection rule, 230, 317 silicon dioxide, 251
resistivity, 119 silicon, 120
reverse active mode, 192 simulation program for integrated-circuit
reverse active, 184 emphasis, 112
reverse current gain, 192 single-crystal structure, 121
reverse saturation current, 148 single-ended, 391
reverse-biased, 142 single-pole response, 364
single-time-constant low-pass response, 91
S
single-time-constant(STC), 33
sample-and-hold circuits, 292
sinks, 305
sampling, 26
slew-rate limiting, 96
saturation current gain, 198
slew rate, 97
saturation mode, 192
slew-rate distortion, 99
saturation region, 255, 274
slew-rate limitation, 90
saturation velocity, 131 small-scale integrated circuit(SSI), 40
428 附錄 3

small-signal approximation, 159 time-domain, 72


small-signal operation, 31 total electrostatic potential, 142
small-signal resistance, 160 total instantaneous diode voltage, 159
solid-state materials, 119 total instantaneous input, 30
solid-state physics, 123 transconductance, 58, 214
source absorption, 306 transducer, 78
source follower, 291 transducers, 25
source transformation, 21 transfer function, 32
source, 251, 268, 305 transition region, 56
space charge region, 137 transmission gate, 292
space charge, 137 transmission zeros, 33
spike, 74 triode region, 255, 274
square-law, 261, 275
truth table, 40
SR, 97
tunnel, 166
stable operating point, 277
turn-on voltage, 163
standard deviation, 333
two-port network, 27
step response, 87
step, 96 U
storage time, 145 unit cell, 121
substrate, 251 unity-gain amplifier, 71
sum-of-products, 48 unity-gain bandwidth, 91, 365
surface inversion layer, 253 unity-gain buffer, 87
switch-capacitor filter, 292 unity-gain frequency, 75
switching threshold, 56 upper 3dB frequency, 74, 360
systematic offset, 113
V
T valence band, 124
tee interconnection, 20 valence orbital, 122
terminal currents, 186 very-large-scale integration(VLSI), 40, 251
terminal voltage, 135 virtual ground, 66
thermal equilibrium, 128 virtual short circuit, 66
thermal generation, 125 voltage controlled current source, 58
thermal runaway, 209 voltage- controlled switch, 55
thermal voltage, 134, 148 voltage divider, 71
Thevenin, 21 voltage follower, 71
threshold voltage, 253 voltage gain, 27, 215
英文索引 429

voltage-controlled resistance, 253 Z


voltage-divider rule, 237 zener breakdown, 166
voltage-to-current converter, 81 Zener diode, 164
zener shunt regulator, 165
W
zeros, 32
weighted summer, 75
Zn, 120
wideband amplifiers, 369
ZnSe, 120
Widlar current source, 305
Wilson, 307, 312

You might also like