You are on page 1of 18

Bộ môn Điện tử Công nghiệp – Y sinh

CHƯƠNG 4
MẠCH ĐẾM LÊN, ĐẾM XUỐNG, ĐẶT TRƯỚC SỐ ĐẾM
VÀ GIẢI MÃ LED 7 ĐOẠN
4.1 MỤC ĐÍCH YÊU CẦU
1. Khảo sát các mạch đếm đặt trước số đếm, đếm lên đếm xuống và giải mã LED 7 đoạn.
2. Thiết kế các mạch đếm dùng các IC đếm.
4.2 NHIỆM VỤ GIẢNG VIÊN
1. Kiểm tra mục 4.3 xem học viên đã làm chưa? Đánh dấu vào “√/×” vào phiếu đánh
giá tương ướng với từng mục.
2. Hỏi nội dung bài mới để kiểm tra học sinh có đọc bài trước hay không? Đánh dấu
vào
“√/×” vào phiếu đánh giá của học viên được hỏi.
3. Trình bày mục đích bài thực hành, các nội dung trong bài thực hành, hướng dẫn
học viên thực hiện trình tự thực hành, ôn tập lại kiến thức về mạch đếm lên, đếm
xuống, đặt trước số đếm, giải mã LED 7 đoạn, bảng trạng thái, kí hiệu.
4. Thường xuyên đi quan sát học viên thực hiện.
4.3 NHIỆM VỤ HỌC VIÊN
Thực hiện đầy đủ các câu hỏi trong phần này và đọc bài trước khi đến lớp
1. Hãy cho biết bảng trạng thái của mạch đếm lên 4 bit ABCD (điền vào bảng 4-1).
2. Hãy cho biết bảng trạng thái của mạch đếm xuống 4 bit ABCD (điền vào bảng 4-2).
3. Hãy điền số thập phân, số BCD và mã 7 đoạn anode chung vào bảng 4-3:
4. Có bao nhiêu IC giải mã cho led 7 đoạn: ………… hãy nêu tên: ………………
5. Có bao nhiêu led 7 đoạn? Hãy nêu tên: …………………………………………
6. Hãy vẽ kí hiệu của led 7 đoạn làm cách nào để xác định led 7 đoạn loại gì?

7. Hãy vẽ mạch đặt trước số đếm 4 bit đồng bộ sử dụng FF JK

Chương 4. Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED 7 đoạn Page | 93
Bộ môn Điện tử Công nghiệp – Y sinh
Bảng 4-1. Trình tự đếm lên. Bảng 4-2. Trình tự đếm xuống.

Thập phân D C B A Thập phân D C B A

Bảng 4-3. Mã BCD và mã LED 7 đoạn anode chung.

Mã BCD Mã 7 đoạn led anode chung


Thập phân D C B A g f e d c b a Led sáng

Page | 94 Chương 4. Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED 7 đoạn
Bộ môn Điện tử Công nghiệp – Y sinh
4.4 KHẢO SÁT IC ĐẾM BCD ĐẶT TRƯỚC SỐ ĐẾM 74LS192
4.4.1 KHẢO SÁT DATASHEET CỦA IC 74LS192 HOẶC 40192
 Hãy tra cứu datasheet để biết sơ đồ chân, bảng trạng thái, chức năng và các thông
số của IC, sau đây là tóm tắt sơ đồ chân, sơ đồ logic và bảng trạng thái của IC:

Hình 4-1. Sơ đồ chân và sơ đồ kí hiệu của IC 74LS192.


Bảng 4-4. Bảng trạng thái hoạt động của IC 74LS192.

 Tên các tín hiệu:

 Hãy cho biết chức năng của các đường tín hiệu
- Đường MR, ̅̅̅̅
𝑇𝐶𝑈 , ̅̅̅̅
𝑇𝐶𝐷 :
- Đường ̅̅̅̅
𝑃𝐿 và P3P2P1P0:
- Chân cấp nguồn:
- Khi muốn đếm lên thì xung CP1 đưa đến ngõ vào nào:
- Khi muốn đếm xuống thì xung CP1 đưa đến ngõ vào nào:
4.4.2 SƠ ĐỒ CHÂN IC 74LS192 (HOẶC 40192) TRÊN BỘ THÍ NGHIỆM
 Có 2 IC 74LS192 gắn trên bộ thí nghiệm mang số thứ tự là IC24 và IC25 có sơ
đồ kết nối với các tên như hình 4-2, nguồn IC đã được cung cấp.
 Do có 2 IC nên các ngõ ra của IC thứ 2 đặt tên từ Q4 đến Q7 để ghép thành
mạch đếm 8 bit. Các ngõ vào độc lập.
 Hình ảnh 2 IC trên bộ thí nghiệm như hình 4-3.

Chương 4. Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED 7 đoạn Page | 95
Bộ môn Điện tử Công nghiệp – Y sinh

Hình 4-2. Sơ đồ kết nối và tên các ngõ vào ra của 2 IC 74LS192.

Hình 4-3. Hình ảnh của 2 IC 74LS192 trên board mạch và tên các tín hiệu vào ra.
4.4.3 MẠCH ĐẾM BCD – ĐẾM LÊN – HIỂN THỊ TRÊN LED 7 ĐOẠN
a. Kết nối mạch điện như hình 4-4:

Hình 4-4. Mạch đếm BCD – đếm lên sử dụng IC 74LS192.


b. Quan sát hoạt động của mạch:
 Quan sát ngõ vào xung CP1 và các ngõ ra rồi điền vào bảng 4-5.
Bảng 4-5. Các trạng thái đếm lên.
Đếm OUTPUTS
CP1 Q3 Q2 Q1 Q0 TCU TCD
0
1
2
3

Page | 96 Chương 4. Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED 7 đoạn
Bộ môn Điện tử Công nghiệp – Y sinh
4
5
6
7
8
9
10

4.4.4 MẠCH ĐẾM BCD – ĐẾM XUỐNG – HIỂN THỊ TRÊN LED 7 ĐOẠN
a. Kết nối mạch điện như hình 4-5:

Hình 4-5. Mạch đếm BCD – đếm xuống sử dụng IC 74LS192.


b. Quan sát hoạt động của mạch:
 Quan sát ngõ vào xung CP1 và các ngõ ra rồi điền vào bảng 4-6.
Bảng 4-6. Các trạng thái đếm xuống.
Đếm OUTPUTS
CP1 Q3 Q2 Q1 Q0
0
1
2
3
4
5
6
7
8
9
10
4.4.5 MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ 1 ĐẾN 5 (0001 
0101)
a. Trình tự đếm như hình 4-6:

Hình 4-6. Trình tự đếm từ 1 đến 5.


Chương 4. Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED 7 đoạn Page | 97
Bộ môn Điện tử Công nghiệp – Y sinh
b. Kết nối mạch điện như hình 4-7:

Hình 4-7. Mạch đếm từ 1 đến 5 sử dụng IC 74LS192.


c. Quan sát hoạt động của mạch:
 Với mạch đếm này ta phải sử dụng trường hợp đặt trước số đếm là ở các ngõ
vào P3P2P1P0 = 0001 bằng các SW tương ứng. Khi có xung thì mạch đếm tăng
giá trị đếm từ 0001 đến 0101, khi có xung tiếp theo thì mạch sẽ tăng đến giá
trị là 0110 và ta lấy giá trị này qua cổng NAND để nạp lại số 0001 và mạch
lặp lại trình tự đếm.
 Quan sát ngõ vào xung CP1 và các ngõ ra rồi điền vào bảng 4-7.
Bảng 4-7. Các trạng thái đếm từ 1 xuống 5.
Đếm OUTPUTS
CP1 Q3 Q2 Q1 Q0
0
1
2
3
4
5
6
7
 Hãy cho biết chức năng của ngõ vào PL chức năng của cổng NAND trong sơ
đồ mạch.
4.4.6 MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ 2 ĐẾN 7 (0010 
0111)
a. Trình tự đếm như hình 4-8:

Hình 4-8. Trình tự đếm từ 2 đến 7.


b. Kết nối mạch điện như hình 4-9:
 Với mạch đếm này ta phải sử dụng trường hợp đặt trước số đếm ở các ngõ vào
P3P2P1P0 = 0010 bằng các SW tương ứng. Trạng thái điều khiển nạp lại là
Q3Q2Q1Q0 = 1000.

Page | 98 Chương 4. Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED 7 đoạn
Bộ môn Điện tử Công nghiệp – Y sinh

Hình 4-9. Mạch đếm từ 2 đến 7 sử dụng IC 74LS192.


c. Quan sát hoạt động của mạch:
 Quan sát ngõ vào xung CP1 và các ngõ ra rồi điền vào bảng 4-8.
Bảng 4-8. Các trạng thái đếm từ 2 đến 7.
Đếm OUTPUTS
CP1 Q3 Q2 Q1 Q0
0
1
2
3
4
5
6
7
d. Hãy giải thích hoạt động của mạch:

4.4.7 MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ 5 XUỐNG 1 (0101 


0001)
a. Trình tự đếm như hình 4-10:

Hình 4-10. Trình tự đếm từ 5 xuống 1.


b. Kết nối mạch điện như hình 4-11:
 Với mạch đếm này ta phải sử dụng trường hợp đặt trước số đếm ở các ngõ
vào P3P2P1P0 = 0101 bằng các SW tương ứng. Mạch đếm bắt đầu từ 0101 và
khi có xung thì giá trị đếm giảm xuống cho đến khi bằng 0000 thì ta sử dụng
trạng thái này để nạp lại số 0101.
c. Quan sát hoạt động của mạch:
 Quan sát ngõ vào xung CP1 và các ngõ ra rồi điền vào bảng 4-9.

Chương 4. Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED 7 đoạn Page | 99
Bộ môn Điện tử Công nghiệp – Y sinh

Hình 4-11. Mạch đếm từ 5 xuống 1 sử dụng IC 74LS192.


Bảng 4-9. Các trạng thái đếm từ 5 đến 1.
Đếm OUTPUTS
CP1 Q3 Q2 Q1 Q0
0
1
2
3
4
5
6
7

8.4.8 HÃY THIẾT KẾ MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ 7 XUỐNG 1 (0111 
0001)

8.4.9 HÃY THIẾT KẾ MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ 7 XUỐNG 3 (0111 
0011)

8.4.10 HÃY THIẾT KẾ MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ 6 XUỐNG 4 (0110 
0100)

8.4.11 HÃY THIẾT KẾ MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ 7 XUỐNG 1 (0111 
0001)

8.4.12 HÃY THIẾT KẾ MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ 1 ĐẾN 8 (0001 
1000)

8.4.13 HÃY THIẾT KẾ MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ 3 ĐẾN 8 (0011 
1000)

8.4.14 HÃY THIẾT KẾ MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ 2 ĐẾN 9 (0010 
1001)

Page | 100 Chương 4. Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED 7 đoạn
Bộ môn Điện tử Công nghiệp – Y sinh
4.5 THIẾT KẾ CÁC MẠCH ỨNG DỤNG
4.5.1 THIẾT KẾ MẠCH ĐẾM LÊN TỪ 00 (0000 0000) ĐẾN 99 (1001 1001)
a. Kết nối mạch điện như hình 4-12:
 Trong sơ đồ mạch này sử dụng 2 IC giải mã có trên bộ thí nghiệm.
 Ngõ ra TCU của IC đếm hàng đơn vị được nối với ngõ vào CPU của IC
đếm hàng chục – khi đếm lên và ngõ ra TCD của IC đếm hàng đơn vị được
nối với ngõ vào CPD của IC đếm hàng chục – khi đếm xuống.

Hình 4-12. Mạch đếm từ 00 đến 99 sử dụng 2 IC 74LS192.


b. Quan sát hoạt động của mạch:
c. Hãy giải thích hoạt động của mạch:

4.5.2 HÃY THIẾT KẾ MẠCH ĐẾM TỪ 00 ĐẾN 59 DÙNG 2 IC ĐẾM 74LS192


4.5.3 THIẾT KẾ MẠCH ĐẾM TỪ 01 (0000 0001) ĐẾN 12 (0001 0010)
a. Kết nối mạch điện như hình 4-13:

Chương 4. Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED 7 đoạn Page | 101
Bộ môn Điện tử Công nghiệp – Y sinh

Hình 4-13. Mạch đếm từ 01 đến 12 sử dụng 2 IC 74LS192.



Mạch này còn được gọi là mạch đếm giờ từ 1 đến 12 giờ rồi về lại 1 giờ.
b. Quan sát hoạt động của mạch:
 Quan sát hoạt động của mạch và ghi các giá trị vào bảng 4-10.
Bảng 4-10. Các trạng thái đếm giờ.
CP1 OUTPUTS
Q5 Q4 Q3 Q2 Q1 Q0 Led 7 đoạn
0
1
2
3
4
5
6
7
8
9
10
11
12
13
c. Hãy giải thích hoạt động của mạch:

4.5.4 HÃY THIẾT KẾ MẠCH ĐẾM TỪ 12 ĐẾN 25 DÙNG 2 IC ĐẾM 74LS192


4.5.5 HÃY THIẾT KẾ MẠCH ĐẾM TỪ 25 ĐẾN 35 DÙNG 2 IC ĐẾM 74LS192

Page | 102 Chương 4. Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED 7 đoạn
Bộ môn Điện tử Công nghiệp – Y sinh

4.5.6 THIẾT KẾ MẠCH ĐẾM TỪ 99 (1001 1001) XUỐNG 00 (0000 0000)


a. Kết nối mạch điện như hình 4-14:

Hình 4-14. Mạch đếm từ 99 xuống 00 sử dụng 2 IC 74LS192.


b. Quan sát hoạt động của mạch:
c. Hãy giải thích hoạt động của mạch:

4.5.7 THIẾT KẾ MẠCH ĐẾM TỪ 59 (0101 1001) XUỐNG 00 (0000 0000)


a. Kết nối mạch điện như hình 4-15:

Hình 4-15. Mạch đếm từ 59 xuống 00 sử dụng 2 IC 74LS192.


b. Quan sát hoạt động của mạch:
c. Hãy giải thích hoạt động của mạch:

Chương 4. Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED 7 đoạn Page | 103
Bộ môn Điện tử Công nghiệp – Y sinh
4.5.8 HÃY THIẾT KẾ MẠCH ĐẾM LÊN TỪ 00 ĐẾN 99 CÓ MỘT PHÍM START/STOP
a. Kết nối mạch điện như hình 4-16:
 Mạch có một nút nhấn điều khiển start/stop có chức năng: khi đang ngừng đếm
nếu ta nhấn nút “start/stop” thì mạch bắt đầu đếm, khi mạch đang đếm nếu ta
nhấn nút “start/stop” thì mạch sẽ ngừng đếm.
 Chú ý nút nhấn “start/stop” chính là nút “MONO1”.

Hình 4-16. Mạch đếm từ 00 đến 99 sử dụng 2 IC 74LS192 có thêm nút start/stop.
b. Quan sát hoạt động của mạch:
c. Hãy giải thích hoạt động của mạch:

4.5.9 HÃY THIẾT KẾ MẠCH ĐẾM LÊN/XUỐNG (00 


99) CÓ MỘT PHÍM UP/DN
a. Kết nối mạch điện như hình 4-17:
 Nút nhấn điều khiển UP/DN có chức năng: khi đang đếm lên nếu ta nhấn nút
“UP/DN” thì mạch đếm xuống, khi đang đếm xuống nếu ta nhấn nút
“UP/DN” thì mạch đếm lên.

Hình 4-17. Mạch đếm lên/xuống sử dụng 2 IC 74LS192 có thêm nút UP/DN.

Page | 104 Chương 4. Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED 7 đoạn
Bộ môn Điện tử Công nghiệp – Y sinh
 Chú ý: nút mono1 chính là nút “UD/DN”.
b. Quan sát hoạt động của mạch:
c. Hãy giải thích hoạt động của mạch:
4.6 KHẢO SÁT IC GIẢI MÃ LED 7 ĐOẠN ANODE CHUNG 74LS247
4.6.1 KHẢO SÁT DATASHEET CỦA IC GIẢI MÃ 74LS247
 Hãy khảo sát datasheet để biết sơ đồ chân, bảng trạng thái, các thông số của IC,
sau đây là sơ đồ chân, sơ đồ logic và bảng trạng thái của IC như hình 4-18:

Hình 4-18. Sơ đồ chân IC 74LS247 và hiển thị số tương ứng với mã nhị phân.
Bảng 4-11. Bảng trạng thái của IC 74247.

 Hãy cho biết IC giải mã 74LS247 cho led 7 đoạn loại gì:
- Chức năng tín hiệu điều khiển LT:
- Chức năng tín hiệu điều khiển RBI và BI:
- Chân cấp nguồn Vcc và GND:

Chương 4. Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED 7 đoạn Page | 105
Bộ môn Điện tử Công nghiệp – Y sinh
4.6.2 SƠ ĐỒ CHÂN IC 74LS247 TRÊN BOARD MẠCH

 Có 1 IC 74LS247 gắn trên bộ thí nghiệm mang số thứ tự là IC22, có sơ đồ kết


nối với các tên như hình 4-19, nguồn IC đã được cung cấp.
 IC giải mã led anode chung nên các ngõ ra tích cực mức thấp.

Hình 4-19. Sơ đồ kết nối và tên các ngõ vào ra của IC 74LS247.

Hình 4-20. Hình ảnh của IC 74LS247 trên board mạch và tên các tín hiệu vào ra.
4.6.3 MẠCH ĐẾM BCD HIỂN THỊ BẰNG LED 7 ĐOẠN
a. Kết nối mạch điện như hình 4-21:

Hình 4-21. Mạch đếm BCD có giải mã hiển thị led 7 đoạn.
Chú ý: Các ngõ ra của IC giải mã 74LS247 được nối với các ngõ vào led 7 đoạn
loại anode chung chưa giải mã.
b. Quan sát hoạt động của mạch:
 Hãy chuyển đổi các trạng thái của SW1, SW2, SW3 để kiểm tra các trạng thái
có trong bảng trạng thái ở trên.
 Khi mạch đang đếm ta nhấn RSTH thì mạch sẽ hoạt động như thế nào?
 Khi thực hành mạch như hình 4-21 nhưng nếu led 7 đoạn chỉ hiển thị các số 1,
3, 5, 7, 9 hoặc 0, 2, 4, 6, 8 thì mạch bị hiện tượng gì?

Page | 106 Chương 4. Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED 7 đoạn
Bộ môn Điện tử Công nghiệp – Y sinh
 Nếu led 7 đoạn chỉ hiển thị các số như hình 4-22 thì bị hiện tượng gì? Giải thích?

Hình 4-22. Các hiện tượng hiển thị led 7 đoạn.


4.6.4 MẠCH ĐẾM BCD TỪ 00 ĐẾN 99 HIỂN THỊ LED 7 ĐOẠN
a. Kết nối mạch điện như hình 4-23:

Hình 4-23. Mạch đếm BCD từ 00 đến 99 có giải mã hiển thị led 7 đoạn.
Chú ý: các ngõ ra của IC đếm hàng đơn vị (IC17) được nối với các ngõ vào của IC đã
giải mã kết nối sẵn trên bộ thí nghiệm.
b. Quan sát hoạt động của mạch:
 Hãy quan sát kết quả đếm trên 2 led 7 đoạn xem có đúng từ 00 đến 99
hay không?
 Hãy cho biết xung cấp cho mạch đếm hàng chục tên là gì và khi nào thì
mạch đếm hàng chục tăng giá trị?
4.6.5 MẠCH ĐẾM BCD TỪ 00 ĐẾN 99 HIỂN THỊ 7 ĐOẠN CÓ XOÁ SỐ 0 VÔ NGHĨA
a. Kết nối mạch điện như hình 4-24.
b. Quan sát hoạt động của mạch:
 Hãy quan sát kết quả đếm xem có đúng với trình tự đếm như sau hay không: khi
bắt đầu đếm thì ta nhấn nút reset. Led 7 đoạn hàng chục tắt, led 7 đoạn hàng đơn
vị sáng. Khi có xung clock thì giá trị đếm tăng cho đến khi giá trị hàng đơn vị
bắt đầu chuyển trạng thái từ 9 về 0 và hàng chục bắt đầu sáng số 1 là đúng. Quá
trình đếm tiếp tục tăng cho đến khi bằng 99 thì tự động về lại 00.
c. Giải thích nguyên lý xóa số 0:
 Nguyên lý xoá số 0 vô nghĩa hàng chục là: trong bảng trạng thái của IC giải mã
nếu ngõ vào RBI = 0 và cùng các trạng thái 4 ngõ vào đều bằng 0000 thì led tắt.

Chương 4. Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED 7 đoạn Page | 107
Bộ môn Điện tử Công nghiệp – Y sinh

Hình 4-24. Mạch đếm từ 00 đến 99 hiển thị led 7 đoạn và xóa số 0 vô nghĩa.
4.6.6 MẠCH ĐẾM TỪ 000 ĐẾN 999 HIỂN THỊ LED 7 ĐOẠN CÓ XOÁ 2 SỐ 0 VÔ NGHĨA
 Sinh viên hãy tự vẽ mạch và ráp mạch đếm từ 0 đến 999 có xoá 2 số 0 vô
nghĩa hàng trăm và hàng chục.
 Chú ý: xoá hàng trăm trước. Nếu hàng trăm vô nghĩa thì mới kiểm tra và
xoá hàng chục, nếu hàng trăm có nghĩa thì không được xoá hàng chục.
 Sử dụng 2 IC đếm 7490 và 1 IC 7493.
4.6.7 MẠCH ĐẾM TỪ 00 ĐẾN 99 HIỂN THỊ 7 ĐOẠN CÓ ĐIỀU KHIỂN ĐẾM/ NGỪNG
a. Kết nối mạch điện như hình 4-25:

Hình 4-25. Mạch đếm từ 00 đến 99 hiển thị led 7 đoạn đếm/ngừng đếm.
 Mạch sử dụng cả 2 IC giải mã có sẵn trên bộ thí nghiệm.
b. Quan sát hoạt động của mạch:

Page | 108 Chương 4. Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED 7 đoạn
Bộ môn Điện tử Công nghiệp – Y sinh
 Khi LED1 tắt tương ứng với ngõ ra Q ở mức 0 khóa cổng AND  xung
CP1 không qua được cổng AND nên mạch ngừng đếm.
 Khi LED1 sáng tương ứng với ngõ ra Q ở mức 1  mở cổng AND
xung CP1 qua được cổng AND nên mạch đếm theo xung CP1.
 Để chuyển đổi trạng từ ngừng đếm sang đếm hoặc ngược lại ta nhấn nút
MONO1 để kích Flip-Flop lật trạng thái.
4.6.8 MẠCH ĐẾM TỪ 00 ĐẾN 59 HIỂN THỊ 7 ĐOẠN VÀ CÓ NÚT ĐIỀU KHIỂN ĐẾM/
NGỪNG
 Sinh viên hãy tự thiết kế mạch.
4.7 KHẢO SÁT IC GIẢI MÃ LED 7 ĐOẠN LOẠI CATHODE CHUNG 4511
4.7.1 KHẢO SÁT DATASHEET CỦA IC GIẢI MÃ 4511
 Hãy khảo sát datasheet để biết sơ đồ chân, bảng trạng thái, các thông số của IC, sau
đây là sơ đồ chân, sơ đồ logic và bảng trạng thái của IC như hình 4-26:

Hình 4-26. Sơ đồ chân và bảng trạng thái của IC giải mã 4511.


 Hãy cho biết IC giải mã 4511 cho led 7 đoạn loại gì:
- Chức năng tín hiệu điều khiển LT:
- Chức năng tín hiệu điều khiển BI:
- Chức năng tín hiệu điều khiển LE:
- Chân cấp nguồn Vcc và GND:
4.7.2 SƠ ĐỒ CHÂN IC 4511 TRÊN BỘ THÍ NGHIỆM
 Có một IC 4511 gắn trên bộ thí nghiệm mang số thứ tự là IC23, sơ đồ kết nối với
các tên như hình 4-27, nguồn IC đã được cung cấp.

Hình 4-27. Sơ đồ kết nối và tên các ngõ vào ra của IC 4511.
Chương 4. Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED 7 đoạn Page | 109
Bộ môn Điện tử Công nghiệp – Y sinh

Hình 4-28. Hình ảnh của IC HFE4511 trên board mạch và tên các tín hiệu vào ra.
4.7.3 MẠCH ĐẾM BCD HIỂN THỊ BẰNG LED 7 ĐOẠN
a. Kết nối mạch điện như hình 4-29:

Hình 4-29. Mạch đếm BCD.


Chú ý: Các ngõ ra của IC giải mã 4511 được nối với các ngõ vào led 7 đoạn loại
cathode chung chưa giải mã.
b. Quan sát hoạt động của mạch:
 Hãy chuyển đổi các trạng thái của SW1, SW2, SW3 để kiểm tra các trạng thái
có trong bảng trạng thái ở trên.
 Quan sát ngõ vào xung CP1 và các ngõ ra để kiểm tra lại bảng trạng thái đếm
và cho biết mạch đếm đúng hay sai.
7.5.4 MẠCH ĐẾM 0000 ĐẾN 9999 HIỂN THỊ 4 LED 7 ĐOẠN
 Sinh viên hãy dùng 2 IC đếm 7490, 2 IC đếm 7493, 2 IC giải mã 74247,
4511 cùng với 2 IC 74247 đã có sẵn, các cổng logic để ráp mạch đếm từ
0000 đến 9999 hiển thị trên 4 led 7 đoạn.

4.8 CÂU HỎI KIỂM TRA ĐÁNH GIÁ


Câu 4-1: Cho biết dạng sóng ngõ ra của 2 chân 12 và 13 của IC 74LS192 so với xung CK.
Câu 4-2: So sánh 2 IC đếm 74LS90 và 74LS192.
Câu 4-3: Hãy thiết kế mạch đếm lên theo trình tự: đếm từ 1 đến 8 rồi sau đó đếm từ 2
đếm 8 rồi lặp lại.
Câu 4-4: Hãy so sánh 2 IC 74LS90 và 74LS192.
Câu 4-5: So sánh sự khác nhau của 2 IC giải mã 4511 và 74LS247.
Câu 4-6: Tra cứu IC 4553 và cho biết chức của IC.
Câu 4-7: Thiết kế mạch đếm giây - đếm phút cho đồng hồ số.

Page | 110 Chương 4. Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED 7 đoạn

You might also like