You are on page 1of 3

Lab 4: Thiết kế các mạch tổ hợp (Tiếp)

1. Mục tiêu của bài thí nghiệm


Bài thực hành này thực hiện 1 bộ mã hóa sử dụng mạch tổ hợp. Mạch điện được thực
hiện thông qua lập trình Verilog HDL chạy trong Quartus II và trên bo mạch LP-2900.
Đầu vào được đưa vào thông qua các chuyển mạch switch, kết quả được hiển thị trên các
đèn LED.
2. Các kiến thức cần trang bị trước khi thực hiện bài thí nghiệm này
Bảng biểu diễn chức năng yêu cầu bằng bảng chân lý, hiểu cấu trúc và cách viết một
chương trình Verilog HDL ở mức cơ bản.
3. Các kiến thức và kỹ năng sẽ có được sau khi hoàn thành thí nghiệm
- Sinh viên hiểu nguyên lý và thực hiện được bộ mã hóa
- Cách thực hiện thiết kế từ những yêu cầu đặt ra,
- Kỹ năng sử dụng công cụ hỗ trợ thiết kế mạch Quartus II, phân tích, tổng hợp, và
đánh giá kết quả.
- Thực hiện nạp code và cấu hình trên KIT để thực hiện chức năng của chương trình

4. Nội dung
Priority Encoder (Bộ mã hóa ưu tiên)
Bộ mã hóa là một mạch điện logic tổ hợp. Bộ mã hóa nhận 2n dữ liệu đầu vào và mã hóa
thành n bit dữ liệu đầu ra. Nó sẽ thực hiện mã hóa nhị phân tương ứng với đầu vào, khi
đầu vào ở mức cao (giá trị logic l). Ví dụ với bộ mã hóa thông thường 8:3 với 8 đầu vào
từ I0 đến I7 và 3 đầu ra từ Y0 đến Y2.
Ví dụ: Nếu I = 0000_0001 => Y = 000.
I = 0000_0010 => Y = 001.
Tuy nhiên, bộ mã hóa thông thường có 1 vấn đề. Nếu có nhiều hơn một đường dữ liệu
vào ở mức cao, nó sẽ mã hóa sai. Nó chỉ mã hóa đúng khi chỉ có duy nhất 1 đường đầu
vào bằng 1.
Ví dụ: Nếu I = 0000_1001 => Y = ? (Không xác định)
Do đó, để giải quyết nhược điểm kể trên, chúng ta sẽ ưu tiên mức độ của mỗi đầu vào.
Nếu có nhiều hơn đầu vào ở mức cao, mã đầu ra sẽ tương ứng với đầu vào có mức độ ưu
tiên được chỉ định cao nhất. Bộ mã hóa dạng này được gọi là bộ mã hóa ưu tiên (Priority
Encoder).
Hãy thiết kế 1 bộ mã hóa ưu tiên 8:3 với tín hiệu Enable tích cực mức cao, trong đó đầu
vào I0 có độ ưu tiên cao nhất và I7 có độ ưu tiên thấp nhất. Mạch thực hiện chức năng khi
Enable en ở mức cao.
Viết testbench cho bộ mã hóa trên khi tín hiệu en = 1.

Bảng chân lý
Các đầu vào được gán vào 8 SW, từ SW1 đến SW9. Tín hiệu Enable được gán vào button
tích cực mức cao PS3.
3 tín hiệu đầu ra được gán vào 3 led đơn.

5. Yêu cầu file submit


Bỏ tất cả các file sau vào 1 folder duy nhất và nén lại. Đặt tên: Tên sinh viên_Labx_Tên
lớp.rar
Ví dụ: LeVanThuan_Lab4_TDH1-K13.rar.
Folder submit có chứa:
 File báo cáo .pdf (ý tưởng thực hiện, ảnh nếu có)
 Folder Project (chứa mã nguồn, testbench, binary .sof)
 File ảnh .jpg chụp ảnh màn hình kết quả testbench.
 File .jpg chụp lại kết quả trên KIT.

You might also like