You are on page 1of 11

TRƯỜNG ĐẠI HỌC HÀNG HẢI VIỆT NAM

KHOA ĐIỆN – ĐIỆN TỬ

TÀI LIỆU HƯỚNG DẪN


THỰC HÀNH – THÍ NGHIỆM

TÊN HỌC PHẦN : ĐIỆN TỬ TƯƠNG TỰ - SỐ


MÃ HỌC PHẦN : 13330
HỆ ĐÀO TẠO : ĐẠI HỌC CHÍNH QUI

Hải phòng, ngày ..../…/2022 Hải phòng, ngày ..../…/2022 Hải phòng, ngày ..../…/2022
TRƯỞNG KHOA TRƯỞNG BỘ MÔN NGƯỜI BIÊN SOẠN

PGS.TS. Trần Anh Dũng TS. Đặng Hồng Hải PGS.TS. Trần Sinh Biên

HẢI PHÒNG - 2022


CÁC BÀI THỰC HÀNH - THÍ NGHIỆM
TÊN BÀI ĐỊA SỐ GHI
STT TRANG
THỰC HÀNH – THÍ NGHIỆM ĐIỂM TIẾT CHÚ
Xây dựng một số mạch ứng dụng khuếch đại thuật 107-
1 2 4
toán. A6
107-
2 Xây dựng một số mạch tổ hợp. 3 6
A6
107-
3 Xây dựng mạch dao động và bộ đếm. 5 8
A6

PHẦN I: GIỚI THIỆU CHUNG VỀ THỰC HÀNH - THÍ NGHIỆM CỦA MÔN HỌC
1) Mục tiêu chung của phần thực hành – thí nghiệm môn học.
- Giúp sinh viên nắm bắt, tiếp cận nội dung bài giảng trên lớp một cách khoa học với các
thiết bị thực của hệ thống.
- Cung cấp cho sinh viên kỹ năng thiết kế mạch mới, cải hoán mạch cũ đối với các mạch
tương tự, số; Kỹ năng kiểm tra và kiểm nghiệm tính năng của mạch điện tử tương tự và
điện tử số.
- Rèn luyện kỹ năng hoạt động nhóm và sự tỉ mỉ, cần mẫn cho sinh viên.
- Giúp cho sinh viên khá và giỏi phát huy tối đa kiến thức của bài học.
2) Giới thiệu các bộ thực hành – thí nghiệm
2.1. KIT thí nghiệm mạch logic.
Module thực hành – thí nghiệm bao gồm các phần tử logic cơ bản (Cổng OR, AND, NOR,
NAND); Flip – Flop loại RS, JK,... cần thiết cho các bài thực hành – thí nghiệm. Các dây kết
nối được đấu nối trên bề mặt của KIT thực hành – thí nghiệm để thuận tiện cho sinh viên.

1
a) Nguồn cung cấp cho bộ KIT:
KIT thí nghiệm mạch logic sử dụng nguồn
110V/ 50 Hz

b) Nguồn phát tín hiệu số.

c) Các phần tử logic cơ bản:

d) Bộ chuyển đổi hệ thập phân sang BCD:

e) Bộ chuyển đổi BCD sang hệ thập phân.

f) Các loại Flip-Flop và màn hình hiển thị

2
g) Bộ cộng đầy đủ

h) Bộ phát xung.

2.2. Các thiết bị khác.


Ngoài việc thực hành trên KIT thực hành – thí nghiệm, sinh viên được yêu cầu đấu nối
các mạch trên testboard. Giảng viên hướng dẫn sẽ phát cho mỗi nhóm sinh viên các linh kiện
điện tử phục vụ cho quá trình thực hành – thí nghiệm, bao gồm: Testboard, IC 74LS47, IC
74LS151, IC 74LS153, IC 74LS192, IC NE555, IC 74LS00, IC 74LS20, Tụ điện, điện trở các
loại, led bảy vạch, led đơn, nguồn cung cấp, …
3) Phương pháp đánh giá kết quả thực hành – thí nghiệm của sinh viên.
- Sinh viên sẽ được chia theo nhóm nhỏ, mỗi một nhóm sẽ được phát thiết bị để xây dựng
mạch, triển khai thực hành – thí nghiệm một cách độc lập.
- Sau khi được Giảng viên hướng dẫn, sinh viên tiến hành làm các bài thực hành – thí nghiệm
theo yêu cầu. Khi hết thời gian cho phép, các nhóm sẽ tiến hành bảo vệ bài thực hành – thí
nghiệm có sự hỗ trợ của các thiết bị đo.
- Viết báo cáo kết quả các bài thực hành – thí nghiệm.
4) Công tác chuẩn bị của Sinh viên.
- Chuẩn bị kỹ phần lý thuyết đã học có liên quan tới bài thực hành – thí nghiệm.
- Nghe Giảng viên hướng dẫn về vấn đề an toàn khi làm việc với mạch số.
- Xây dựng các bài sẽ thực hành – thí nghiệm theo yêu cầu trên giấy trước khi lắp mạch thật.
- Chuẩn bị giấy để ghi lại kết quả thực hành – thí nghiệm.
5) Cán bộ phụ trách hướng dẫn thực hành – thí nghiệm.
- Cán bộ hướng dẫn tiến hành hướng dẫn chung cho cả lớp về Module thực hành – thí nghiệm,
dụng cụ, các nội quy, quy định về an toàn trong phòng thực hành – thí nghiệm.
- Hướng dẫn các nhóm tiến hành từng nội dung yêu cầu thực hành – thí nghiệm.
- Kiểm tra và đánh giá kết quả thực hành – thí nghiệm của các nhóm.
6) Tài liệu tham khảo
[1]. Trần Sinh Biên, Điện tử số, Nhà xuất bản Hàng Hải, 2015.
[2]. Cảm nang tra cứu linh kiện điện tử.

3
PHẦN II: NỘI DUNG CHI TIẾT CÁC BÀI THTN
Bài 1: Xây dựng một số mạch ứng dụng khuếch đại thuật toán
1) Mục tiêu
1.1. Ứng dụng được mạch khuếch đại thuật toán để xây dựng một số mạch cơ bản như mạch
khuếch đại thuận, mạch khuếch đại đảo, mạch cảm biến ánh sáng.
1.2. Luyện cho học sinh kỹ năng kết nối mạch và xây dựng, kiểm nghiệm tính năng mạch điện
tử.
1.3. Giúp sinh viên hiểu sâu hơn về những kiến thức đã học.
2. Công tác chuẩn bị của sinh viên
2.1. Đọc kỹ lại phần lý thuyết giảng viên đã giảng trên lớp, tài liệu hướng dẫn thực hành – thí
nghiệm, đối chiếu với các thiết bị tại phòng thực hành – thí nghiệm.
2.2. Tìm hiểu hoạt động phần tử khuếch đại thuật toán, cách đấu nối mạch.
3. Trang bị cần thiết
3.1. Card logic test (test board), IC LM324, led đơn, điện trở các loại, quang trở, dây đồng một
lõi loại nhỏ.
3.2. Đồng hồ vạn năng, nguồn cung cấp.
4. Các nội dung, quy trình
Nội dung: (Sinh viên thực hiện một trong ba sơ đồ để triển khai mạch thực)
4.1. Xây dựng mạch khuếch đại thuận (không đảo) sử dụng khuếch đại thuật toán (Thực
hiện trên testboard)
Xây dựng mạch khuếch đại thuận sử dụng IC LM324 (Mạch sử dụng nguồn đôi ±12V).

Hình 1.1. Mạch khuếch đại thuận sử dụng khuếch đại thuật toán.
a) Xác định hệ số khuếch đại của mạch và so sánh với lí thuyết.
b) Thay đổi biến trở, quan sát hiện tượng của LED, giải thích hiện tượng. Tiến hành đo giá trị
điện áp ra khi thay đổi biến trở.
c) Tại sao khi biến trở thay đổi tới một vị trí nhất định thì điện áp ra không thay đổi nữa?
4.2. Xây dựng mạch khuếch đại đảo sử dụng khuếch đại thuật toán (Thực hiện trên testboard)
Xây dựng mạch khuếch đại sử dụng IC LM324 (Mạch sử dụng nguồn đôi ±12V).

4
Hình 1.2. Mạch khuếch đại đảo sử dụng khuếch đại thuật toán.
a) Xác định hệ số khuếch đại của mạch và so sánh với lí thuyết.
b) Dự đoán và quan sát hiện tượng của LED, giải thích hiện tượng. Tiến hành đo giá trị điện áp
vào, ra khi thay đổi biến trở.
c) Tại sao khi biến trở thay đổi tới một vị trí nhất định thì điện áp ra không thay đổi nữa?
4.3. Xây dựng mạch cảm biến ánh sáng sử dụng khuếch đại thuật toán (Thực hiện trên
testboard)
+ Xây dựng mạch cảm biến ánh sáng sử dụng
IC LM324 (Mạch sử dụng nguồn đơn +5V).

Hình 1.3. Mạch cảm biến ánh sáng sử dụng khuếch đại thuật toán.
a) Thay đổi cường độ ánh sáng chiếu vào quang trở; Quan sát và giải thích hiện tượng của LED.
b) Tiến hành đo tín hiệu vào, ra trong từng trường hợp để kiểm chứng kết quả.
Quy trình:
- Giảng viên giới thiệu, hướng dẫn cho sinh viên về Module thực hành – thí nghiệm.
- Hướng dẫn cách đấu nối để xây dựng mạch.
- Hướng dẫn sinh viên cách đo, kiểm nghiệm tính năng của mạch.
5. Kết luận, các yêu cầu đạt được đối với sinh viên sau khi thực hành – thí nghiệm
- Tiến hành nối dây và lắp ráp mạch thực hành – thí nghiệm.
- Tiến hành thực hành – thí nghiệm.
- Trả lời các câu hỏi liên quan, đo đạc và ghi chép lại kết quả thực hành – thí nghiệm.
- Xử lí một số tình huống do cán bộ hướng dẫn đề nghị.
- Đề xuất ý kiến cá nhân.
- Nộp báo cáo ghi chép các thông số rút ra từ bài thực hành – thí nghiệm.

5
Bài 2: Xây dựng một số mạch tổ hợp
1) Mục tiêu
1.1. Hệ thống hoá các phương pháp xây dựng mạch từ các phần tử logic khác nhau, các mạch tổ
hợp thường gặp để thoả mãn các quan hệ hàm cho trước.
1.2. Xây dựng các mạch tổ hợp sử dụng KIT thí nghiệm mạch logic, các mạch tổ hợp thường
gặp (mạch giải mã, dồn kênh, phân kênh)
1.3. Giúp sinh viên hiểu sâu hơn về những kiến thức đã học và kỹ năng xây dựng mạch.
2) Công tác chuẩn bị của sinh viên
2.1. Đọc kỹ lại phần lý thuyết giảng viên đã giảng trên lớp, tài liệu hướng dẫn thực hành – thí
nghiệm, đối chiếu với các thiết bị tại phòng thực hành – thí nghiệm.
2.2. Tìm hiểu các cổng logic cơ bản, các mạch tổ hợp thường gặp như mạch giải mã, dồn kênh,
phân kênh; cách phân tích, thiết kế mạch tổ hợp.
3) Trang bị cần thiết
3.1. KIT thực hành – thí nghiệm mạch logic, testboard, IC 74LS00, IC 74LS151, IC 74LS20.
3.2. Nguồn điện 110V/ 50 Hz, nguồn 5 VDC.
3.3. Dây nối, LED đơn, điện trở các loại, đồng hồ vạn năng.
4) Các nội dung, quy trình
Nội dung:
4.1. Rút gọn hàm và xây dựng mạch với chức năng tương đương (Thực hiện trên KIT thực
hành – thí nghiệm mạch logic)

Hình 2.1. Mạch tổ hợp chưa rút gọn.


4.2. Cho bảng chân lí
Đầu vào Đầu ra
A B C Q1(A,B,C) Q2(A,B,C)
0 0 0 0 0
0 0 1 0 1
0 1 0 0 1
0 1 1 1 0
1 0 0 0 1
1 0 1 1 0
1 1 0 1 0
1 1 1 1 1

6
a) Dùng các phần tử logic để thành lập mạch thực hiện hàm Q1(A,B,C) (Thực hiện trên KIT thí
nghiệm mạch logic).
b) Dùng mạch dồn kênh (MUX) với 8 đầu vào thông tin (74LS151) để thực hiện hàm Q1 (Thực
hiện đấu nối trên Testboard).
c) Dùng các phần tử logic để thành lập mạch thực hiện hàm Q2(A,B,C) (thiết kế trên
giấy).
d) Dùng mạch giải mã “1 từ 16” hay còn gọi là mạch “vào 4 ra 16”- IC 74LS154 và các phần tử
NAND 4 đầu vào(IC 74LS20) để thành lập mạch thực hiện các hàm Q1 và Q2 (thiết kế trên
giấy).
4.3. Câu hỏi mở rộng:
a) Nếu không có MUX 8 đầu vào thông tin IC74LS151 hãy thành lập nó từ 2 MUX 4 đầu vào
thông tin IC74LS153 (thiết kế trên giấy).
b) Hãy thành lập mạch giải mã “1 từ 32”(giải mã vào 5 ra 32) từ 2 IC 74LS154 (thiết kế trên
giấy).
Quy trình:
- Giảng viên giới thiệu, hướng dẫn cho việc đọc giá trị điện trở, sơ đồ chân linh kiện.
- Hướng dẫn sinh viên lắp ráp linh kiện trên testboard và KIT thực hành – thí nghiệm mạch
logic.
- Hướng dẫn sinh viên các bước thiết kế các sơ đồ mạch số sử dụng các phần tử logic cơ
bản.
5. Các yêu cầu cần đạt được đối với Sinh viên sau khi thực hành – thí nghiệm
- Quan sát thiết bị, nhận dạng thiết bị, so sánh với nội dung đã tìm hiểu;
- Tiến hành nối dây và lắp ráp mạch thực hành – thí nghiệm.
- Chỉnh định các tham số điều khiển nếu có;
- Tiến hành thực hành – thí nghiệm;
- Quan sát các đèn chỉ thị, đồng hồ. Nhận xét và so sánh với nội dung đã học; kiểm nghiệm
tính năng của mạch.
- Xử lý một số tình huống do cán bộ hướng dẫn đề nghị;
- Đề xuất ý kiến cá nhân;
- Nộp bản báo cáo ghi chép các thông số rút ra từ bài thực hành – thí nghiệm.

7
Bài 3: Xây dựng mạch dao động và bộ đếm
1) Mục tiêu
1.1. Đấu nối được các mạch dao động theo sơ đồ.
1.2. Xây dựng các bộ đếm với hệ số đếm bất kỳ dựa vào các kiến thức đã học về bộ đếm.
1.3. Luyện cho học sinh kỹ năng xây dựng và lắp ráp mạch điện tử.
1.4. Giúp sinh viên hiểu sâu hơn về những kiến thức đã học và kỹ năng xây dựng mạch.
2) Công tác chuẩn bị của sinh viên
2.1. Đọc kỹ lại phần lý thuyết giảng viên đã giảng trên lớp, tài liệu hướng dẫn thực hành – thí
nghiệm, đối chiếu với các thiết bị tại phòng thực hành – thí nghiệm.
2.2. Tìm hiểu các cổng logic cơ bản, IC chuyên dụng; cách phân tích, thiết kế bộ đếm.
3) Trang thiết bị cần thiết
- Card logic test (test board);
- IC 74LS00, IC 555, IC 74LS192, IC 7447;
- Led đơn, led 7 đoạn; Đồng hồ vạn năng.
- Tụ điện một chiều loại 10 F, 100 F (giá trị của tụ điện có thể được điều chỉnh để
tín hiệu đầu ra thoả mãn yêu cầu bài ra); điện trở các loại.
- Dây đồng một lõi loại nhỏ.
4) Các nội dung và qui trình
Nội dung:
4.1. Xây dựng mạch dao động (Sinh viên thực hiện một trong hai phần 4.1a hoặc 4.1b)
4.1a. Xây mạch dao động từ phần tử NAND (Xây dựng trên testboard)
Xây dựng mạch dao động tạo sóng vuông:

Q1

Q2

Hình 3.1. Sơ đồ mạch dao động sử dụng phần tử NAND


Bằng cách chọn giá trị điện trở hợp lý sao cho trạng thái 2 cổng không phải là 0 (có điện
thế nhỏ hơn 0.8V) mà ở trong vùng tuyến tính (giữa 0.9V và 1.6V đối với TTL) để sự nạp của 2
tụ điện C1,C2 sẽ khiến cho các ngõ vào chuyển mạch giữa logic 0 và 1.
Chú ý: Chọn R1=R2=R; C1=C2=C dạng sóng thu được sẽ đối xứng,Tần số f=1/2(R+R3)C
4.1b. Tạo mạch dao động sử dụng Timer 555 theo sơ đồ (Xây dựng trên testboard)
Mạch dùng 2 điện trở và 1 tụ điện để xác định tần số và chu trình làm việc của tín hiệu
ra. Khi vừa cấp nguồn 5V, tụ điện ở điện thế 0V tức dưới ngưỡng Vcc/3. Mức thấp này tồn tại

8
ở ngõ lật (Triger) làm cho Timer 555 lật và ngõ ra lên cao, đồng thời tụ nạp về hướng Vcc qua
hai điện trở R1và R2, nhưng khi tụ nạp đến điện thế của tụ bằng ngưỡng trên 2Vcc/3 FlipFlop
lật trạng thái và ngõ ra xuống thấp (xấp xỉ 0V). Lúc bấy giờ transistor T2 dẫn và tụ phóng điện
qua điện trở R2 về hướng 0V. Khi điện thế của tụ bằng ngưỡng dưới Vcc/3 FlipFlop trở lại trạng
thái ban đầu và ngõ ra lên cao, transistor T2 ngưng và tụ lại nạp lên về hướng Vcc. Kết quả là ở
ngõ ra ta thu được tín hiệu xung vuông.

+5V

R1
100k
1 Gnd555Vcc 8
2 Trg Dis 7
3 Out Thr 6
4 Rst Ctl 5
R2
10k

+
C1 C2
.01uF .01uF

Hình 3.2. Mạch dao động sử dụng IC 555


4.2. Thiết kế bộ đếm đồng bộ, thuận, Kđ = 10 sử dụng IC 74192. (Xây dựng trên Testboard )
4.3. Thiết kế bộ đếm đồng bộ, thuận, Kđ = 10, sử dụng các JK-FF (Thiết kế trên giấy)
Kiểm chứng kết quả có thoả mãn bảng chân lý hay không. Chú ý: các đầu vào lấy giá trị logic
“0” hoặc “1” được thực hiện bằng cách kết nối với các đầu ra SW REGISTER trên module.
4.4. Câu hỏi mở rộng:
- Sử dụng IC 74192 đê xây dựng bộ đếm có hệ số đếm Kđ=100, Kđ=1000 (thiết kế trên giấy).
- Từ mạch đếm đã xây dựng, thiết kế bộ đếm ngược, bộ đếm với hệ số đếm bất kỳ (thiết kế trên
giấy).
Quy trình:
- Giảng viên giới thiệu, hướng dẫn cho việc đọc giá trị điện trở, sơ đồ chân linh kiện.
- Hướng dẫn sinh viên lắp ráp linh kiện trên testboard.
- Hướng dẫn sinh viên các bước thiết kế các sơ đồ mạch.
5) Các yêu cầu cần đạt được đối với sinh viên sau khi thực hành – thí nghiệm
- Quan sát thiết bị, nhận dạng thiết bị, so sánh với nội dung đã tìm hiểu;
- Tiến hành nối dây và lắp ráp mạch thực hành – thí nghiệm;
- Chỉnh định các tham số điều khiển nếu có;
- Tiến hành thực hành – thí nghiệm;
- Quan sát các đèn chỉ thị, đồng hồ. Nhận xét và so sánh với nội dung đã học;
- Xử lý một số tình huống do cán bộ hướng dẫn đề nghị;
- Đề xuất ý kiến cá nhân;
- Nộp bản báo cáo ghi chép các thông số rút ra từ bài thực hành – thí nghiệm.

9
Sơ đồ chân của một số IC trong bài thực hành – thí nghiệm

Vcc D4 D5 D6 D7 A B C Vcc 4B 4A 4Y 3B 3A 3Y

74LS151 74LS00
d3 d2 d1 d0 y -y -g Gnd
1A 1B 1Y 2A 2B 2Y Gnd

Vcc Y0 Y2 Y2 Y3 Y4 Y5 Y6

Vcc
74LS138
A B C -G2A -G2B G1 Y7 Gnd 74LS00
Gnd

Vcc

74LS20 Vcc 2D 2C NC 2B 2A 2Y

Gnd 74LS20
1A 1B NC 1C 1D 1Y Gnd

Vcc f g a b c d e

74LS47 74LS192
B C LT RB/OP RB/IP D A Gnd
Vcc A0 A1 A2
-0
-1
-2

74LS154
-3
-4

A3 -E1 -E0 -15 -14 -13 -12 -11


-5
-6
-7
-8

LM324
-9 -10 Gnd

10

You might also like