You are on page 1of 90

Embedded Systems

MBA.Tech. – EXTC
Sem VII
AY 2022-2023
Instructor: Dr. Prashant Kharote
Let us discuss what we already learnt in DLD
and MPMC
Unit
Syllabus
Description Duration
1 08
Introduction of Embedded Systems (ES): 8/16-bit microcontrollers block diagram, basic requirements of ES, Design of embedded
systems, system on chip concept, VLSI and ASCI concepts, Memory, Sensors and Actuators, Communication Interface, Embedded
Firmware, Other System Components used in ES.
2 Hardware Software Co-Design and Program Modeling: Fundamental Issues in Hardware Software Co-Design, Embedded 08

communication protocols (X-bee, RS-232, IrDA, I2C and CAN) Computational Models in Embedded Design, Introduction to Unified
Modeling Language, Hardware Software Trade-offs, and Higher-level programming models.

3 Embedded Firmware & Hardware Design and Development: Embedded Firmware Design Approaches, Embedded Firmware
Development Languages, Programming in Embedded C, Hardware Design: Analog electronics and digital electronics components, 08
Electronic design automation (EDA) tools, Schematic design using OrCad, PCB layout design.

4 Real-Time Operating System (RTOS) Basics: Operating System Basics, Types of OS, Tasks, Process and Threads, Multiprocessing and
Multitasking, Task Scheduling, Threads and Processes Scheduling: Putting them altogether, Task Communication, Task Synchronization, 04
Device Drivers, How to Choose an RTOS.

5 The Embedded System Development Environment: The Integrated Development Environment (IDE), Types of Files Generated on Cross
Compilation, Disassembler/Decompiler, Simulators, Emulators and Debugging, Target Hardware Debugging, Boundary Scan 08

6 Trends in the Embedded Industry: Processor Trends in Embedded System, Embedded OS Trends, Development Language Trends, Open
Standards, Frameworks and Alliances, RTOS examples: VxWorks/MicroOS/OS-II
08

7 Case study: DSP/microprocessor based, or FPGA based system design. 03


Total hours 45
Text Books:

1. Raj Kamal (2017), “Embedded Systems”, Tata McGraw Hill Education Private Limited, 4th Edition, 2017

2. Shibu K V (2009), “Introduction to Embedded Systems”, Tata McGraw Hill Education Private Limited, 6th Edition,
2014

Reference Books:

1. James K Peckol (2015), “Embedded Systems - A Contemporary Design Tool”, John Weily Publicatoin, 3rd Edition,
2015.

2. Frank Vahid, and Tony Givargis (2014), “Embedded System Design”, John Wiley Publication, 2nd Edition, 2014

3. David E. Simon (1999), “An Embedded Software Primer”, Addison-Wesley Professional Publication, 5th Edition, 1999.
ICA (100 Marks)
1. M1 (20M)
2. M2 (20M)
3. Weekly Lab Performance and submission (20M)
4. Lab Exam and Viva (20M)
5. Model building (HW/SW) for ATM/ AVM/Digital camera/Setup box etc. using
simulation tool (20M)
Unit 1
Introduction of Embedded Systems (ES):
• 8/16-bit microcontrollers block diagram

• Basic requirements of ES

• Design of embedded systems, system on chip concept, VLSI and ASCI concepts

• Memory, Sensors and Actuators

• Communication Interface, Embedded Firmware, Other System Components used in ES.


Introduction to Embedded systems
• An embedded system is an electronic system, which includes a single chip microcomputers
(Microcontrollers) like the ARM or Cortex or Stellaris LM3S1968.
• It is configured to perform a specific dedicated application.
• An embedded system is some combination of computer hardware and software, either fixed in
capability or programmable, that is designed for a specific function or for specific functions within a
larger system.
• Here the microcomputer is embedded or hidden inside the system. Every embedded microcomputer
system accepts inputs, performs computations, and generates outputs and runs in ―real time.
• Ex: Cell phone, Digital camera, Microwave Oven, MP3 player, Portable digital assistant & automobile
antilock brake system Industrial machines, agricultural and process industry devices, automobiles,
medical equipment, household appliances, airplanes, vending machines and toys as well as mobile
devices are all possible locations for an embedded system etc.
Characteristics of an Embedded System
The important characteristics of an embedded system are
• Speed (bytes/sec) : Should be high speed
• Power (watts) : Low power dissipation
• Size and weight : As far as possible small in size and low weight
• Accuracy (% error) : Must be very accurate
• Adaptability: High adaptability and accessibility.
• Reliability: Must be reliable over a long period of time
Harvard architecture
• It has separate buses for instruction as well as data fetching.
• Easier to pipeline, so high performance can be achieved.
• Comparatively high cost.
• Since data memory and program memory are stored physically in different locations,
no chances exist for accidental corruption of program memory.

Von-Neumann architecture
• It shares single common bus for instruction and data fetching.
• Low performance as compared to Harvard architecture.
• It is cheaper.
• Accidental corruption of program memory may occur if data memory and program
memory are stored physically in the same chip.
RISC (Reduced Instruction Set Computing)
• It contains a lesser number of instructions.
• Instruction pipelining and increased execution speed.
• Orthogonal instruction set (allows each instruction to operate on any register and use any addressing
mode).
• Operations are performed on registers only, only memory operations are load and store.
• A larger number of registers are available.
• Programmer needs to write more code to execute a task since instructions are simpler.
• It is single, fixed-length instruction.
CISC (Complex Instruction Set Computing)
• It contains a greater number of instructions.
• The instruction pipelining feature does not exist.
• Non-orthogonal set(all instructions are not allowed to operate on any register and use any addressing
mode).
• Operations are performed either on registers or memory depending on the instruction.
• The number of general-purpose registers are limited.
• It is variable-length instruction.
Let’s consider a Computer
❖ A computer is a system that has the following or more components.
❖ A microprocessor.
❖ A large memory comprising the following two kinds:
❖ (a) Primary memory (semiconductor memories - RAM, ROM and fast accessible caches)
❖ (b) Secondary memory [(magnetic memory located in hard disks, diskettes and cartridge tapes, optical
memory in CD-ROM or memory stick (in mobile computer)] using which different user programs can load
into the primary memory and can be run.
❖ I/O units such as keyboard, mouse, digitizer, scanner, touch screen, modem, fax cum modem etc.
❖ Output units like LCD screen, video monitor, printer, etc.
❖ Networking units like Ethernet card, front-end processor-based server, bus drivers, etc.
❖ Operating system (OS).
Embedded system
❖ Three main embedded components:

1) Embeds hardware to give computer-like functionalities


2) Embeds main application software
- Generally, into flash or ROM
- The application software performs concurrently the number of tasks.
3) Embeds a real-time operating system (RTOS)
- which supervises the application software tasks running on the hardware
- organizes the access to system resources according to priorities and timing constraints of
tasks in the system.
Components of Embedded System
• An embedded system has 3 main components embedded into it:
1. Hardware – The hardware consists of
1. CPU
2. Read Only Memory
3. Random Access Memory
4. I/O ports
5. Serial Communication ports
6. Timers
7. Interrupt Controller
8. I/O devices
9. Networking units / bus drivers
2. Application Software – An application software may concurrently perform a series of tasks or
processes or threads
3. Real Time Operating System –
1. The OS supervises the application software running on hardware
2. Organizes access to resource according to priorities of tasks in the system.
3. Provides a mechanism to let the processor run the process as scheduled and context switch between
various processes.
15
Layered architecture of Embedded System
• Every embedded system consists of custom-built hardware built around
a Central Processing Unit (CPU).
• This hardware also contains memory chips onto which the software is
loaded.
• The software residing on the memory chip is also called the ‘firmware’.
• The operating system runs above the hardware, and the application
software runs above the operating system.
• The same architecture is applicable to any computer including a desktop
computer. However, there are significant differences.
• It is not compulsory to have an operating system in every embedded
system.
• For small appliances such as remote-control units, air-conditioners, toys
etc., there is no need of an operating system, and we can write only the
software specific to that application.
• For applications involving complex processing, it is advisable to have an
operating system. 16
Components of Embedded System Hardware
Input devices Interfacing /
Driver Circuits

System Application Specific Circuits


Power Supply, Reset, Oscillator
Program
Timers Data and
Circuits Memory

Serial
Processor Communicat
ion Ports

Interrupt Parallel
Controller Ports

Outputs Interfacing / Driver


Circuits

17
Architecture of Embedded System
• The CPU consists of
• ALU – To perform arithmetic and logical operations
• General purpose registers – which constitute processor’s internal memory, which can be
used for data manipulation and arithmetic operation.
• Control unit – Fetches instructions from memory, decodes and executes them.
• A program counter points to the next instruction to be executed.
• Stack pointer points to stack memory
• Instruction decoder
• Memory address register and data register
• The processors are designed with RISC / CISC, Harvard / Von Neumann architecture

18
Architecture of Embedded System
• Memory
• Memory is divided into program memory and data memory.
• Program memory is a Read only memory and stores firmware (program code) permanently
where as data memory is read / write holds the data dynamically.
• In a microcontroller, both program memory and data memory are internal, if the internal memory
is not sufficient, external memory chips can be used.
• EEPROM memory can be additionally used as programmable memory.
• Clock
• A clock or oscillator circuit is required to be provided as input for clock signal.
• In some processors, the clock is inbuilt.
• All events of the processor are related to the clock.
• Higher the clock frequency, greater the speed of the processor.
• Watchdog timer
• A watchdog timer helps in reset function of the processor.
• The timer is set to a large value and is decremented slowly. When it reaches zero, the processor is
reset through a reset signal.
19
Architecture of Embedded System
• I/O Devices
• I/O devices can be classified as programmed I/O or interrupt driven I/O.
• In programmed I/O, the processor sends the data to the device by itself.
• In interrupt driven I/O, the processor is driven by an interrupt signal and an ISR is executed. The ISR
transfers the data from input device to memory or memory to output device.
• Generally the data transfer between I/O devices and memory is coordinated by the CPU. In cases where this
transfer is not efficient, the function is carried out by a special device called DMA controller.
• Sensors and Transducers:
• Embedded systems need to convert real life information into digital signals. This is achieved through
sensors and transducers.
• E.g., Temperature sensors convert temperature into electrical voltage (used in air conditioners, boilers,
ovens etc).
• Light sensors convert light intensity into electrical voltage
• Accelerometer converts acceleration into voltage
• Pressure sensors convert pressure level into voltage
• Microphone and speakers convert acoustic energy into voltage level
• ADC & DAC: The analog signal can be converted to digital signal through sampling and quantization
technique (ADC). The reverse process is called DAC. 20
Classification of embedded systems
1. Small Scale embedded systems:

Designed with 8 or 16 bit microcontroller.

They have little hardware or software complexities.

The system may be battery operated and will utilize low memory (mostly that provided by
processor on chip).

The software design is generally through assembly language and considers low power
dissipation requirements.

21
2. Medium Scale embedded systems:
Designed with single or few 16 or 32 bit microcontrollers, DSPs or RISCs.

They may also employ single purpose processors for various functions.

Medium scale embedded systems have both hardware and software


complexities.

Tools like High level programming languages - C/C++, RTOS, debugger, simulator
etc. are used for the complex design.

22
3. Sophisticated embedded systems:
These systems have enormous complexities and may need several ASIPs, scalable or
configurable processors, and programmable logic arrays.

They are used for cutting-edge applications that need software and hardware co-design and
components that have to be integrated.

Certain software functions such as encryption, TCP/IP protocol, etc., the software implements
some of the functions of the hardware resources.

Development tools for these systems may not be readily available at a reasonable cost or may
not be available at all.

23
Processor in the system
❖ Heart of the embedded system.

❖ For an embedded system designer, knowledge of microprocessor and microcontroller is a


must.

❖ A processor is mostly in the form of an IC chip.

❖ It could be in core from in ASIC or at a SOC.

❖ Core – part of the functional circuit on the VLSI chip.


Important factors while selecting a processor
1. Instruction set.

2. Maximum bits in an operand (8 or 16 or 32) in a single arithmetic or logical operation.

3. Clock frequency in MHz and processing speed in MIPS.

4. Processor ability to solve the complex algorithms used in meeting the deadlines for their
processing.
CORE OF EMBEDDED SYSTEMS
❖ Almost 80% of the embedded systems are processor/controller based.
❖ The processor may be a microprocessor or a microcontroller or a digital signal processor,
depending on the domain and application.

❖ An embedded system processor chip or core can be one of the following:


1. General Purpose Processor (GPP).
2. Application Specific System Processor (ASSP).
3. Multiprocessor system using General Purpose processors (GPP) and Application
specific Instruction Processors (ASIPs).
4. GPP cores or ASIP cores are integrated into either an Application Specific Integrated
Circuit (ASIC) or Very Large Scale Integrated Circuit (VLSI) circuit or an FPGA core
integrated with processor units in a VLSI (ASIC) chip.
General Purpose Processor (GPP)
a. Microprocessor.
b. Microcontroller.
c. Embedded Processor.
d. Digital Signal Processor (DSP).
e. Media Processor.
Microprocessor
❖ Single VLSI chip that has a CPU and may also have some other units that are additionally
present and that result in faster processing of instructions.
❖ A microprocessor is a silicon chip representing a central processing unit.
❖ A microprocessor is a dependent unit, and it requires the combination of other hardware
like memory, timer unit, interrupts controller, etc. for proper functioning.
❖ Developers of microprocessors.

Intel – Intel 4004 – November 1971(4-bit).


Intel – Intel 4040.
Intel – Intel 8008 – April 1972.
Intel – Intel 8080 – April 1974(8-bit).
Motorola – Motorola 6800.
Intel – Intel 8085 – 1976.
Zilog - Z80 – July 1976.
Microcontroller
❖ A microcontroller is a functional computer system-on-a-chip. It contains a processor,
memory, and programmable input/output peripherals.
❖ Microcontrollers include an integrated CPU, memory (a small amount of RAM, program
memory, or both) and peripherals capable of input and output.

❖ INTEL: 8031,8032,8051,8052,8751,8752

❖ PIC: 8-bit PIC16, PIC18, 16-bit DSPIC33 / PIC24, PIC16C7x

❖ Motorola: MC68HC11
Embedded Processor
❖ Special microprocessors & microcontrollers often called, Embedded processors.

❖ An embedded processor is used when fast processing, fast context-switching & atomic ALU
operations are needed.

❖ An operation during which a processor can simultaneously read a location and write it in the
same bus operation. This prevents any other processor or I/O device from writing or reading
memory until the operation is complete.

❖ Examples : ARM 7, INTEL i960, AMD 29050.


Digital Signal Processor
❖ DSP as a GPP is a single chip VLSI unit.

❖ It includes the computational capabilities of microprocessor and multiply & accumulate


units (MAC).

❖ DSP has large number of applications such as image processing, audio, video &
telecommunication processing systems.

❖ It is used when signal processing functions are to be processed fast.

❖ Examples: TMS320Cxx, SHARC, Motorola 5600xx


Media Processor
❖ In Media Services a media processor is a component that handles a specific processing
task, such as encoding, format conversion, encrypting, or decrypting media content.
Application Specific System Processors (ASSPs)

❖ ASSP is dedicated to specific tasks and provides a faster solution.

❖ An ASSP is used as an additional processing unit for running the application in place of
processing using embedded software.

❖ Typically, a set top box processor ; mpeg video-processor ; network application processor
; mobile application processor

❖ Examples : IIM7100, W3100A


Multiprocessor system using GPP and ASIPs
❖ Multiple processors are used when a single processor does not meet the needs of different
task that have to be performed concurrently.

❖ The operations of all the processors are synchronized to obtain an optimum performance.

❖ Eg. Cell-phone, Multiprocessor system for Real time performance in a video-conference


system, Embedded firewall cum router.
Application Specific Instruction Processor (ASIP)
❖ A processor designed for specific application on a VLSI chip.
❖ Using VLSI tools, a processor itself can be designed.
❖ ASIP is the one that does not use the GPP (standard available CISC or RISC
microprocessor or microcontroller).
❖ The processor on chip incorporates a section of the CISC or RISC instruction set.
❖ This specific processor may have especially configurable instruction-set for an application.
❖ An ASIP can also be configurable.
SYSTEM-ON-CHIP (SOC)
❖ A system-on-a-chip (SoC) is a system on a VLSI chip that has all needed analog as
well as digital circuits, processors and software.
❖ A system-on-a-chip (SoC) is a microchip with all the necessary electronic circuits and
parts for a given system, such as a smartphone or wearable computer, on a single
integrated circuit (IC).
❖ System-on-a-chip technology is used in small, increasingly complex consumer
electronic devices.
❖ Some such devices have more processing power and memory than a typical 10-year-
old desktop computer.
❖ For example, single-chip mobile phone.
Mobile Phone on a SoC

Embeds:
➢ Multiple processors,
➢ memories,
➢ multiple standard source
solutions (IP Cores),
➢ Logic and analog units
IP Core
❖ An IP (intellectual property) core is a block of logic or data that is used in making a field
programmable gate array ( FPGA ) or application-specific integrated circuit (ASIC) for a
product.

❖ As essential elements of design reuse , IP cores are part of the growing electronic design
automation ( EDA ) industry trend towards repeated use of previously designed components.

❖ Ideally, an IP core should be entirely portable - that is, able to easily be inserted into any
vendor technology or design methodology.

❖ Universal Asynchronous Receiver/Transmitter (UART), central processing units


(CPUs), Ethernet controllers, and PCI interfaces are all examples of IP cores.
FPGA Core
❖ An FPGA consists of a large number of programmable gates on a VLSI chip.
❖ There is a set of gates in each FPGA cell, called 'macro cell'.
❖ Embedded system designed with a view of offering enhancing functionalities
in future, then FPGA core can be used in the circuits.
❖ Each cell has several inputs and outputs.
❖ All cells interconnect like an array (matrix).
❖ Each interconnection is programmable through the associated memory RAM
in a FPGA programming tool.
❖ A concept is using FPGA (Field Programmable Gate Arrays) core along with
single or multiple processors.
Sensors
❖ Processing of physical data starts with capturing this data.
❖ Sensors can be designed for every physical and chemical quantity
➢ Including weight, velocity, acceleration, electrical current, voltage,
temperatures etc.
➢ Chemical compounds.
Sensors

Stimulus (s) Signal (S)

Physical Sensing Conditioning Target


Medium Element Handling

Temperature Resistance Voltage Information


Sensors
❖ A Sensor is a device that measures or detects a real-world condition, such as
motion, heat, or light and converts the condition into an analog or digital
representation.
❖ The sensor output usually is in analog form.
❖ To interface sensor to embedded product, we need ADC to convert sensors
analog output voltage to digital form.
❖ The sensor output voltage span seldom equals the analog-to-digital converter
(ADC) input voltage span.
❖ Sensor data is lost and/or ADC dynamic range is not fully utilized because
spans are unequal, start at different DC voltages or both.
❖ So, the sensor output signal must be processed before reaching ADC.
Sensors
❖ Resistive
❖ Optical
❖ Position
❖ Thermal
Resistive Sensors
❖ A resistive sensor is a transducer or electromechanical device that converts a
mechanical change such as displacement into an electrical signal that can be
monitored after conditioning.

❖ Resistive sensors are among the most common in instrumentation.

❖ These Transducers do NOT generate electricity.

❖ Hence, they are called passive devices. The simplest resistive sensor is the
potentiometer.

❖ Other resistive sensors include strain gauges, thermocouples, photoresistors, and


thermistors.
Examples of Resistive Transducers
❖ Sliding contact devices
❖ Wire resistance strain gauge
❖ Thermistors
❖ Thermocouples
❖ Light Dependent Resistors (LDRs)
Device Action Application
Light Dependent Resistance falls with Light operated switches
Resistor increasing light level

Thermistor Resistance falls with Electronic


increased temperature thermometers

Strain gauge Resistance changes with Sensor in an electronic


force balance
Moisture detector Resistance falls when wet Damp meter
Resistive Sensors
Optical Sensors

❖ Electronic detectors convert light, or a change in light, into an electronic signal.

❖ Advantages of Optical Sensors


➢ Greater Sensitivity
➢ Compact and light
➢ Wide dynamic range
➢ Both point and distributed configuration.
➢ Freedom from electromagnetic interference.
➢ Multiplexing capabilities.
Optical Sensors Measurements
TEMPERATURE CHEMICAL
SPECIES
PRESSURE FORCE
FLOW RADIATION
LIQUID LEVEL pH
DISPLACEMENT HUMIDITY
VIBRATION STRAIN
ROTATION VELOCITY
MAGNETIC ELECTRIC FIELDS
FIELDS
ACCELERATION ACOUSTIC
FIELDS
Different optical sensors
❖ Proximity Sensors
❖ Ambient Light Sensors
❖ Fingerprint (Biometrics)
❖ Strain Sensors
❖ Pressure Sensors
❖ Displacement And Position Sensors
BIOMETRICS
YOUR FACE, FINGERS AND EYES

• IMAGE CAPTURE
• IMAGE PROCESSING
• FEATURE EXTRACTION
• FEATURE COMPARISON
Position Sensors
❖ Position Sensors is a device that provides the position measurement of a
component.
❖ A position sensor can be:
➢ Linear
➢ Angular
➢ Multi-axis
❖ Some of the well-known position sensors are:
➢ Linear Variable Differential Transformer
➢ Hall Effect Sensor
➢ Proximity Sensor
➢ Potentiometer
➢ Ultrasonic Sensor
Thermal Sensors
❖ The most commonly used type of all the sensors are those which detect
Temperature or heat.
➢ Thermistors: Works on the principle that resistance of some materials changes
with the change in their temperature.
➢ Thermocouple: Very popular device used for measurement of temperature.
➢ Light Dependent Resistor: The light dependent resistor consists of a length of
material (cadmium sulphide) whose resistance changes according to the light
level.
Design Issues with Sensors
❖ Calibration
➢ Relating measurements to the physical phenomenon.
➢ Can dramatically increase manufacturing costs.

❖ Nonlinearity
➢ Measurements may not be proportional to physical phenomena.
➢ Correction may be required.
➢ Feedback can be used to keep an operating point in the linear region.
Design Issues with Sensors

❖ Sampling
➢ Aliasing (A high frequency sinusoid sampled at a low rate
looks just like a low frequency sinusoid.)
➢ Missed events

❖ Noise
➢ Analog signal conditioning
➢ Digital filtering
➢ Introduces latency
Actuators

❖ Hardware devices that convert a controller command signal into a change in a


physical parameter.
❖ The change is usually mechanical (e.g., position or velocity).
❖ An actuator is also a transducer because it changes one type of physical
quantity into some alternative form.
❖ An actuator is usually activated by a low-level command signal, so an
amplifier may be required to provide sufficient power to drive the actuator
Actuators

❖ An actuator is a type of motor that is responsible for moving or controlling a


mechanism or system.
❖ It is operated by a source of energy, typically electric current, hydraulic
fluid pressure, or pneumatic pressure, and converts that energy into motion.
❖ An actuator is a mechanism by which a control system acts upon an
environment. The control system can be simple (a fixed mechanical or electronic
system), software-based (e.g. a printer driver, robot control system), a human, or
any other input.
Actuators

Logical Mechanism
Signal Signal Processing Electric Hydraulic
& Amplification Final Actuation
Pneumatic
Element

Actuator
Sensor
Types of Actuators
1. Electrical actuators
• Electric motors
• DC servomotors
• AC motors
• Stepper motors
• Solenoids
2. Hydraulic actuators
• Use hydraulic fluid to amplify the controller command
signal
3. Pneumatic actuators
• Use compressed air as the driving force
➢A solenoid is a coil wound into a tightly packed helix.

➢In physics, the term solenoid refers to a long, thin loop of wire, and wrapped
around a metallic core.

➢which produces a magnetic field when an electric current is passed through it.
Communication Interface
❖ Serial communication (RS232, RS485, CAN, I2C, SPI).
❖ Parallel communication
Embedded Firmware
❖ The embedded software is responsible for controlling the various peripherals of the
embedded hardware to get the desired result as per specified functional requirements.
❖ The embedded software is generally embedded into ROM; hence it is also called
embedded firmware.
❖ Considered as the mastermind of the embedded system.
❖ Embedded firmware – RTOS, Drivers & Application programs.
❖ Embedded software can be developed by using any of the Processor/controller-specific
language such as
❖ Assembly language
❖ Machine language
❖ Processor /controller independent high-level language like C, C++, and JAVA.
❖ Combination of assembly language and high-level language.
Embedded Memories

❖ An essential integral part of a processor/controller-based


embedded system.
❖ On-chip memory - Some processors/controllers contain built-
in memory.
❖ Off-chip memory – External memory
❖ Embedded system designers must be aware of the different
types of memories.
❖ In a most embedded systems, two types of memory are used,
ROM and RAM.
❖ ROM (Program storage memory)
➢ Stores the program instruction and constant data (Look up table).
ROM

❖ Can be classified into different types, depending on the


fabrication, erasing and programming technique.
➢ Masked ROM
➢ PROM (OTP)
➢ EPROM
➢ EEPROM
➢ FLASH
➢ NVRAM
Masked ROM
❖ One-time programmable memory.
❖ Non-volatile
❖ Makes use of hardwired technology for storing data.
❖ Memory is factory programmed by masking and metallization process at
the time of production itself, according to the data provided by end user.
❖ Primary advantage – low cost for mass production.
❖ Best storage to store embedded software for high volume low cost
embedded product.
❖ Limitation – inability to modify the device firmware against upgrades.
PROM (OTP)
❖ One-time programmable memory or it can be programmed only once by end user.

❖ It consist of fuses arranged in matrix form.

❖ Widely used for commercial production of embedded systems whose proto-typed


versions are proven and the code is finalized.

❖ Cost effective solution for commercial production.

❖ Can not be reprogrammed.


EPROM
❖ OTPs are not useful during the development phase of embedded
products (not economical).

❖ EPROM gives the flexibility to re-program the same chip.

❖ Needs EPROM programmer to program.

❖ Programming is tedious & time-consuming process.

❖ Not suitable for rapid product development.


EEROM

❖ Information can be modified by using electrical signals at the register/Byte level.

❖ Can be erased and reprogrammed on board itself.

❖ By using chip erase mode, the chip can be erased in a few milliseconds.

❖ Provides greater flexibility for the system design.

❖ Limitation – limited storage density compared to standard ROM.


Flash memory
❖ Latest ROM technology and used in today’s most embedded systems.
❖ Variation of EPROM technology.
❖ Flash memory, also known as flash storage, is a type of nonvolatile memory that erases
data in units called blocks and rewrites data at the byte level.
❖ Flash memory is widely used for storage and data transfer in consumer devices,
enterprise systems and industrial applications.
❖ It combines the re-programmability of EPROM and the high capacity of standard
ROMs.
❖ Typical erasable capacity of FLASH is 1000 cycles.
NVRAM

❖ Non-volatile RAM is a battery backup random access memory.

❖ It has static RAM based memory and a small tiny battery for providing
supply to the memory in the absence of external power supply.

❖ Lifespan - around 10 to 15 years.


Cache
❖ Cache is a smaller and fast memory component in the computer which is
inserted between the CPU and the main memory.
❖ Cache memory is a chip-based computer component that makes
retrieving data from the computer's memory more efficient.
❖ It acts as a temporary storage area that the computer's processor can
retrieve data from easily.
Read-write Memory/ Random Access Memory (RAM)
❖ RAM is the data memory or working memory of the processor/controller.

❖ Most of the microcontrollers have on chip RAM.

❖ Volatile Memory.

❖ Its not Sequential Access Memory (SAM) like magnetic tapes and CDROMs.

❖ 2 Types of RAM
➢ Static RAM (SRAM)
➢ Dynamic RAM (DRAM)
Static RAM Vs Dynamic RAM
Static RAM Dynamic RAM
Stores data in the form of Stores data in the form of
voltage. charges.
Basic element – flip-flops Basic element – MOS
transistor gates.
Fastest Slower than SRAM.
Costly Cheaper
Refreshing circuitry not Refreshing circuitry is
required. required for restore
charge.
Functions Assigned to the ROM or EPROM or Flash
1.Storing 'Application' program from where the processor fetches the instruction codes.

2.Storing codes for system booting, initializing, Initial input data and Strings.

3.Storing Codes for RTOS.

4.Storing Pointers (addresses) of various service routines.


Functions Assigned to the Internal, External and Buffer RAM

1. Storing the variables during program run.

2. Storing the stacks.

3. Storing input or output buffers for example, for speech or image.


Functions Assigned to the EEPROM or Flash
❖ Storing non-volatile results of processing
Functions Assigned to the Caches
1. Storing copies of the instructions, data and
branch-transfer instructions in advance
from external memories and

2. Storing temporarily the results in write


back caches during fast processing.
Power-supply (Battery technology, Solar)
❖ Embedded system operates either through
a 230 V mains supply or through a battery.

❖ Components in the embedded system


require different DC voltages such as +12
volts, -12 volts, +5 volts, +3.3 volts etc.

❖ A power supply unit is required which


provides stable DC voltages from the mains
to battery.
Power-supply (Battery technology, Solar)
❖ AC adapter for conversion of mains supply
to +5 volts or +12 volts DC supply giving a
current up to 500 mA.

❖ The batteries give different voltages but the


output is not stable and the power supply
unit has to derive the necessary voltages
from the DC voltage.

❖ Voltage regulators are used for this


purpose. (I/P- DC voltage, O/P – stable
fixed voltage)
Power-supply (Battery technology, Solar)
❖ DC-DC converters convert an unregulated
voltage to a constant DC voltage.

❖ 2 types of DC-DC converters used in


embedded system
➢ Liner regulators.
➢ Switching regulators.
Linear regulators
❖ Output voltage is less than the input
voltage.

❖ Need less number of components, hence


these regulators cost less and give low
noise.

❖ Less efficient and waste more power.

❖ E.g. LM78xx series


Switching regulators
❖ Step-up or step-down the input voltage lever, or
invert the voltage level.

❖ For instance, they can produce 5 volts DC by


taking 3.6 volt input from a battery.

❖ More efficient as they waste less power during


conversion.

❖ More components are required and hence these


regulators are more noisy, occupy more space and
costly.

❖ E.g. Maxim’s MAX 603, 604, 1615, 724 etc


Power-supply (Battery technology, Solar)
❖ For designing the PSU of an embedded system, the
power supply requirement for different
components has to be identified and the total
current rating has to be estimated.

❖ Some devices, particularly the processors, take


more power during startup.

❖ Flash devices draw high current during write


operations.
How to reduce power consumption of ES
❖ Use low power devices.

❖ Processor and peripherals such as UART,


memory devices to be put into low power
mode when they are not in use.

❖ If the hardware contains lot of ICs such as


gates, flip-flops etc, the component count
can be reduced using PLDs or FPGAs.
Power-supply (Battery technology, Solar)
❖ DC-DC converters convert an unregulated
voltage to a constant DC voltage.

❖ 2 types of DC-DC converters used in


embedded system
➢ Liner regulators.
➢ Switching regulators.
Linear regulators
❖ Output voltage is less than the input
voltage.

❖ Need less number of components, hence


these regulators cost less and give low
noise.

❖ Less efficient and waste more power.

❖ E.g. LM78xx series


Switching regulators
❖ Step-up or step-down the input voltage lever, or
invert the voltage level.

❖ For instance, they can produce 5 volts DC by


taking 3.6 volt input from a battery.

❖ More efficient as they waste less power during


conversion.

❖ More components are required and hence these


regulators are more noisy, occupy more space and
costly.

❖ E.g. Maxim’s MAX 603, 604, 1615, 724 etc


Power-supply (Battery technology, Solar)
❖ For designing the PSU of an embedded system, the
power supply requirement for different
components has to be identified and the total
current rating has to be estimated.

❖ Some devices, particularly the processors, take


more power during startup.

❖ Flash devices draw high current during write


operations.
How to reduce power consumption of ES

❖ Use low power devices.

❖ Processor and peripherals such as UART,


memory devices to be put into low power
mode when they are not in use.

❖ If the hardware contains lot of ICs such as


gates, flip-flops etc, the component count
can be reduced using PLDs or FPGAs.

You might also like