You are on page 1of 5


378 第 十 七 届 计 莫 机 工 程 与 工 艺 年 会 暨 第 三 届 微 处 理 器 技 术 论 坛 论 文 集

种基于 PL I 技术 的 系 统 级 验 证 方 法




李 睿辞 万江华 刘 衡竹 .
王慧丽

( 国 防 科 技 大学 计 算 机学 院 长沙 4 1 00 7 3 

摘 要 : 传统的 系 统 级 调 试 与 验 证 的 方 法 是 以 软 硬 件 联合 的 ,
FP GA 原 型 验证 但 是 长 期

 ,

以 来该 方 法 一

直存 在 错误 定 位 困 难 源 代 码修 改 不 便 与 验证 迭代 周 期 长 等 不 足
、 。 为 了 改善


FP GA 原 型 验证 的 以 上 缺 点 本 文 设 计 并 实 现 ,

种基 于 PU 技术 的 系 统 级 验证 方 法 。 采用

PU 接 口 连接 调 试 软 件 与 硬 件 仿 真 环 境 通过 共 享 , 内 存机制 实 现 不 同 进程 间 的 通 信 最 终达 到

 ,

对 芯 片 系 统 级 调 试 、 验 证 以 及 RTL 代码 维护 等 目 的 。 对于 多 核 处理 器 , 同样可以 采用 这 一




口 技 术 来提 高 多 核 芯 片 仿 真 调 试 与 验证 的 、 工作 效率 

关键 字 :
PU ; 系 统 级验证 共 享 内 存 多 核 处 理 器

 ; ;

_ 验 证环 境 , 并 以 此 结 合 之前 优化 的 单 核 验 证 方 法 

1 引 言 进行 了 性 能 分 析 

PL 是 V e og 硬 件 描 述 语 言 与 C 吾 言 的 交


集 成 电 路设 计 规 模 益 提 益增 大 复杂度
I ril i
日 、 日

升 芯 片 设 计 不 断 面 临 新 的 挑 战 验 证 与 调 试 也逐

互 接 口 该 接 口 协 议 由 各 大 E DA 工 具 厂 商 支 持 ,
, 

渐成 为 设 计 过程 中 的 瓶 颈 从 传 统 的 验 证 方 法学  C 语 言 具有 编 程 简 洁 灵 活 的 特点 可 以 更 方 便 

[ ]

、 ,

来看 基于 模 拟 的 验 证 方 法 仍 然处 于 主 流 地 位 而  的 实 现 在
, ,
V er
i log 中 较为 复杂 的控制 逻辑 。 设计


对 于 系 统级 的 验 证 与 调 试 ,

种 常 用 的 方 法 即 为中 ,
PU 接 口 主要 用 于 在 V er
il o g 硬件描述 中 调用


软硬件联合 的 FP GA 原 型 验证 。 其优 点 在 于 可 重 语言 实 现 的 函 数 而 C ,
C 函 数 主 要 用 于 共享 内 存


复 编 程 能 挂 接真 实 的 外 围 逻 辑 运 行 速度 快  的 开 辟

/O 缓 冲 队列 的 实 现 数据 的 同 步传输 以


[ ]

、 I 、 、 、

等 。 尽 管 如 此 还 是 存 在 发 现 问 题 后 定 位 错 误 不 及 用 户 调 试界 面 的 实 现
, 

便 迭 代 周 期 长 等缺 点
、 。
 

本文 首 先 以 单 核 Y H FT _
Ma r
ix D S P

为 例 从 ,
2 单 核验 证


利 于 芯 片 系 统级 验 证 的 角 度 出 发 提 出 ,

种通过


PU (
Pro gramm i n g  Lan gu age  Int e r
fac e , 编 程 语 言 接 2 . 1 系 统级 验 证环 境



技术连接集成开发环境 与 模拟器


口 RTL

2 h 

的 系 统级芯 片 验证方 法 前者用 于产



N C Si m ) _

生 芯 片 外 部 的 实 时 调 试激励 后 者 用 于 芯 片 ,
RTL  集 成开发环境 (
I DE ,  I nt
e g r at
ed  D ev e l o p i n


级仿 真 。 在此基础 上 以 四 核 ,
Y H FT Q -
M B a s e D S P  E n v i ro n m e n t
) , 是对 芯 片 系 统 级 验 证 调 试 的 软 件

 、

种 加 速 多 核 芯 片 仿 真 速 度 的 系 统 级  支持 平 台

为例 提 出
[ ]

, 


作 者 简 介 李 睿婷 女 硕 士 研究 生 研 究 方 向 为 微 处 理 擇 设 计 万 江 华 男 副 研 究 员 研 究方 向 为 微处 理 器 体 系 结

, , 
 , ; , , ,

构 刘 衡 竹 男 教授 博 士 生 导 师 研 究 方 向 为微 处 理 器 体 系 结 构 和 嵌 人 式 与 S 0 C 芯 片 设 计技 术 王 慧 丽 女 研 究 方 向
; , , , , 
 ; , ,

为 微处 理 器 设 计 。
 

通 信 地址 :
4 1 00 7 3 湖 南 长 沙 国 防 科技 大学计 算 机 学 院 。 Ema i l: 9040 1 240 7 @ q q 
.  c om


种基于 PU 技 术 的 系 统 级 验 证 方 法 3 7 9


如图 所示 是传 统 原 型 验证 原 理 支持 流 水 线 控 制 运行 停止 单步
1 1

FP GA
[ ]


, (
2 ) : 、 、 

图 芯片通 过 , JT AG 仿真器 与 调试主 机相 连 。 调 (


3 ) 支持断点 调试功 能 硬件 断点 与 软件 断

 :

试芯 片 时 主 机 中 ,
I DE 调用 底 层 软件驱动 函 数 , 点 的设置与 取消 

向 J TA G 仿 真 器 发 送 调 试 命令 仿 真 器 通 过 标 准 , (
4 ) 査 看 和 修 改 寄 存 器 与 存 储器 

J TAG 接 口 与 芯 片进行通 信 。 调试命令 经 ET 对 该 设 计 方 案 与 ,


FPG A 原 型 验证相 比 在 物 理

 ,

芯 片 各 功 能 部 件 进 行 调 试 若发 现 功 能 有 误 需 要 环 境 的 真实 性 上 可 能 稍 有 不 及 主 要原 因 是 该 方

 , , , .

通 过 逻 辑 推 理 诊 断 错误 原 因 回 归 模 拟 验 证 方法  案 仍 然 是 基 于 N C ve r
i lo g 的 模拟验证 但其 


, , 。

将 问 题重 现 然后 对设 计 代 码 进行 修 改 并 将 其 再 能 够 更 圩 的 完 善 在, ,
FP GA 验证 阶 段 之前 的 验证



次进 行 逻 辑 综 合 进 而 烧 人 F PGA 。 如 此 重 复 迭 代 工 作 

操 作 直 到 验 证 结 果 全 部 正 确  除 此 之外 该 设 计 方 案
, 。 ,

个重 要 的 优 势 在 于 


基于 PU 技术 的 验证 方法 可 以 直接 实 现 对模 拟

 ,

^ 板
调 駐 机 J 1  芯 片 在 级 的 调试 与 验 证 。 这 样 就 能更 直 观

 ,

|  丨 g A的 定位错 误来 源


F P GA  减验 证 迭 代 周 期 。
、 方便源 代 码 维 护
体 现 了 其较优越 的 先进 性

定 程度 上 削





 仿真器 G





驱 胃 接  [ + 2 2 . 工 作原 理


动   口 S D RA M旱


J U U
^图


U _ _ 

2 描述 了 基 于 pu 技 术 通 过共享 内 存 连


接 I DE 与 Y H FT M atr
ix D S P -

仿 真测 试平 台 的 系 统


 F P GA H M ^ i E


级 验证 环 境

其 工 作 原 理 分别 以 流 水 线 控 制



[ ]

2 . 1 . 2 基于 P LI 验 证 的 先 进 性 分 析  和 查 看 寄 存 器 或 存储 器 两 类 命 令 举 例 说 明 

本 文提 出 了 一

种基于 PU 接 口 技 术 的 系 统 级 以 流 水 线 控 制 命 令
s to
p 为 例 其工 作 原理

 ,

验证方 法 设计原理 图 如 图 ,
2 所示 

-   
 (

) 用户点击 I DE 界面 s op
t 选项 调 用 底 层

 ,

集 鮮 t 环 境 I DE
 共 享
至 数据 包 写 入共享


软 件 驱 动 函 数 将 调 试 命 令
( )
__ 
sto
, p

 ^ ^L 内 存 n putq u e u e 缓 冲 队 列

y 驱动程 序 
1 l


丨 1 

  厂


命令 数据 包 对 另 进程 


 4〇 1 ?] 3 ^11


> ]
( ) (
子 程 序
_

I :

| 1

因 此 通过 接 口 调用相应
 拟器 可见 PU t as k
— —




) 。 , ,

f i


 T  1  1  TT  U
l j

V 生成 ET 串 行 输 入 的 外 部 测 试激 励

— __




“ ”

MH P I ? 3 在 芯 片 顶 层验证模块 中 mpo r


t C 


pf

( )

 4  程
| f j J

通道传送解 析 命令后 生 成



序 经

ET


st o
II p
|  f | | 

爾 模块根据激励产 生 相 应 动
l U u u u n n  I

上中
_趙

的芯 片外部 激励 ,
et 

作

 

  — J
, 并 最 终 使 芯 片 内 部 流 水线 暂 停 

设 计 原 理 图以 查 看 寄 存 器 命 令 为 例 其工
s h ow re
g i st er 

图 2

控 制 命令


集成 开 发 环 境 i de 中 调 试 界 面 封装 了 多 个




调试 函 数 包括针 对特定 , 目 标 系 统 的 调 试信息 。

驱 动 程 序 的 调试 功 能 主 要 依 靠 与 其 相 连 的 共 轴



存 区 将 解 析数 据 包 经 过 ,
PU 接 口 传送 给 目 标系 f
核响应 寄 命
f 


统 以 标 准 串 行 输人 的 方式 形成 ^ ^ 1

ET E nm k 〇n
, , ( ti
2 


的 串 “  TD 〇 


Te s t , 仿真调 试 的 外 部 测 试 激 励 发 送 给 内 ) , 核处 f  _
队列 缓 冲 最 终 显 在 

0 U 1WT Q U E U E

不 I D E
理器 其中 主要 为 雖 以 下 功 能 支持


I DE DSP :

北宣 由 衣 的 苗 户
、 界 面 上 供程 序 员 査 看 对 照 结 果 ,
。 如 果 发 现结 果


有 误 可 以 直 接调 出 此 时 的 NC # 真界 面 通



_
Si m ,
380 第 十 七 届 计 算 机 工 程 与 工 艺 年 会 暨 第 三 届 微 处 理 器 技 术 论 坛 论 文 集

过 波 形或者 源 代 码 实 时 观 测 和 査 找 错误 产 生 的  2 ,
. 3 . 2 同 步读 写指针


原因 8 9

通 过 共 享 内 存 在 不 同 进 程 间 传 输 数 据
_

[ ]




_
除 了 以 上两 调 命々外 调试驱
〒 虽 然 可 以 提 高 验证效 率 但 是 不 同 进 程 间 的 读 写


^ 〒 , ,

牛驱动 函数 步 、 行 、

速 率 不 尽 相 同 容 易 导 致 数 据丢 失 因 此 在每 

i ,
。 ,

块/ 写 存 储 器 等 等 通过这些 驱 函数 配 nET
个 读/ 写 队 列 中 用 队 列 的 头 / 尾 指 针 定 健 据 并



?胃 娜 J

E :
片 片 进 行 验f
iW 试 。 而
且不 同 进 程 间 读 写 指 针 达 到 同 步 

是 部件根据 不 關 试 命 令 生 成 芯 片 外
t as k .  V ,
ET
所谓 读 写 指 针 i 樹 而 言 J , 姻 3 所示 读 指

 ,

部激励 的 V enlo g 任务 。

针 是 指 当 ,
D SP 读 取供 I DE 写 入 调 试 数据 命 令 包




的 I N PUTq U E U E 缓 冲 中 的 队列 头指针 和尾指针 ,

代 码 维 护 更 加 方 便 直观 更 能 快速定位 出 错位 置
以及 当 读取供 写入返 回 数据包 的

DE D sp 

大提 了 验 证 效 率 也 S 得 全芯 片 的 說 级 验
腿雨收 丽 缓 冲 巾 的 队列 头 尾指针 写指


产 = 、 ;

vE M tn ^ o

针 是 指 当 ,
I DE 向 I N PU T Q U E U E 缓 冲 中 写 入 调试


2 . 3 技 术 支 撑 命令 数 据 包 的 队 列 头 尾 指 针 以 及 当 D SP 向 
 、 ,

OU TPUTQ U E U E 缓 冲 中 写 人 返 回 数 据 包 的 队 列

 .

2 . 3 . 1
共 享 缓 冲 队 列 头 尾 指 针 、 


共享 内 存 是 内 核 为 了 在 多 个 进 程 间 交 为 了 同 步 读 写 指 针 以 单 个 缓 冲 队列 为 例 将



, , ,

换信息 而 留 出 的 一

块 内存区 。 每 个 要 访 问 该 段 内  共享 区 域 内 部 划 分 为 三 部 分 分 别存 放 队 列 头 指

 , :

存 的 进程 都 可 以 把 它 映 射 到 自 己 的 地址空 间 。 如针 尾 指 针 和 传 输 的 数 据 结 构 这 样
、 , 读 操作就


果 一

个进 程 更 新 了 段 中 的 数 据 那 么 其 他 进 程 立 以 队列 头 指 针 为 索 引 读 取 当 前 相 应 的 数 据 , 。 如图


即 会 看 到 更新  4 所 示 。 

共享缓 冲 队列 是 通 过 在 共 享 内 存 区 形 成 两 t ,



 
个位宽 深 度 、

致的 FI F O , 实现 I DE 与 NC _
Si m
 ^ 
I l
| 

,  '  — —  —

不 同 进程 间 的 通 信 传 递 调 试 解 析 数 据 包 , 。 两 个 「 名 f : 


31 
Da t a s t ru c t  F i e l d
FI F O , 读 写分离 确 保数据通 信正 确 高 效 , 、 :

个 _






为 输 人 队列 I N P UT Q U E UE , 用 以将 I DE 的 调 试命  L 」 . . . 」 … — — 
  

令传 送 给 处 理 器 (
I DE 写 内 核读
, ) ; 另 一

个 为 输图 4 北享 内
存 区 域划 分


撒列
试命 令 的 删 值 細
OU IP U T Q U E U E , 用 以 将 处 理 器执行完 调
mE 獅 ( 浦写 ,
mE


其 中 ,

阶共 ^ 存 首 地址 ;
* fro nt : 队列 头

读 ) 。 通 信 结 构麵 3 所示 

多 核加 速




m m I

B  I NPU TQUE U E f


 i

设计原 理



 3 1
 

l D

D 
 S YH FT Ma r
ix D S P  的 四 核


Q M B a s e  为  Y H FT



_


r ^ - ,

  ,

- - 

同 构 处 理 器 。 为 了 提高仿真速度 本 文提 出 ,




|  I 丨 丨
o t po
o t q u eue
丨 |  | |

 J [ _
可加速多核芯 片 仿 真 速度 的 模 拟 环境 并且可以

 ,

卜 结合 第 二 节 的 系 统 级 验 证 方法加速 多 核 芯 片 的验


   

为 设计 原 理 图
图 3 单核 通 倍 结 构 图 证 。 图 5 

YH FT Q M B as e -

模 拟 环境 的 主要 特点 有 

这 样 用 共享 内 存 机 綱 接 取代 统经 TAG


 (

)
5 个 NC _
Si m 觀器 其中 ,
NC _
S i mO  

仿 真器 连 接 FP GA 聽 U 验证 使 错误査 找 更 t
N 目 个模拟器側 仿真 日 个单核
, :

G _
S i m3 Y H pT 

_

观 系 统 级 验 证 更 高 效 源 代 码 维 护 更方 便 。
M a 个用 于 仿真 片 外访 存 接 口  E M I F 。
’ ,

t ix
r , 另 -

种基于 PU 技 术 的 系 统 级 验 证 方 法  3 8 1



園 丨
共 每7& 存 .

j]  # de fm e  QU EU E _
D EFIN E(nam e ,

yp e ) 
成 H I N PU O EUE 
T I
ou t pu toj ede I I

 S tr uct  n am e {   


开  - - - - 

 s t r u c t t ype

data ar r 
r, 晉 豕 
  



 r ww w  

S 1 * 

S  Y  m


 .
t r ear ; 

7 £


a i nt m ax s ze
 i 

P 點



  ?T 





_
l et r i x 

藏爸鮮倉

# de fi ne
In
pu Q ueue
 t


#  … - … …

门  Q U EU E D EF IN E I n
( put Q u eue Jnpu D ata)
_ 

S  g  定 义 npu Q ueue 矣 型 队 列 备纳 D ata 





/ I t , I npu t

一 ̄ "  ̄

廿能 AK 
共 享缓冲 队列



1 f
hv
^ ^
型数据结 构 * 

V3  0  #d ef
in e  Ou pu Q ueue
t 
 t

 和+
QUEUE D EFINE (0 utpu Q ueue 0 uq U t D a t a)

rs
荽 另 _
t ,



2  .
 3 3  bd —_ i  定 义  npu Q ueue 类 型 队 列 S 纳


I t  , I nputD at a  


l 
麵 据,  

 ^



L 1 P0  L I D O  DMA O 3 . 3 模 拟 速 度 评估

1 1  YU FT

Ma t r i x  C o r e O  3

. 3 . 1 速 度 评 估 方 案



 册 通 过进程 通 信 的 方 式 实 现
( NC S i m〇 )

Y H FT Q M B a s e

if I 间 
 -

全 芯 片 模 拟 环 境 之后 对 模 拟 环 境 通 信 速 度 进

 的
— '

 ?


.  m 行 评 估 。 为 了 使 测 评更充分 以 ,
li p 为 例 根据

 ,

 1

 功能的不 同 考虑 了 三 种 不 同 的 测 试激励 ,

1 ^ 1 3



分别 为 通 信密 集 型 运 算 密 集 型 和 两 者 的 折 中 型 、 

图 5 设 计原 理 图 通信密 集 型 旨 在 统计 L P 与 E M F 两 者 
 (

) :
1 I


2 ) 单核 内 部 L1 P 、 L1 D 、
D MA 与 E M IF 之 间 间 频 繁 通 信对 模 拟 速 度 的 影 响


L1 P 的 连 续 读请


的 数据 交 互 通 过 共享 内 存 实现  求 以 及 E M F 连 续 返 回 的 5 2 位 取指 包 。
I 1 


3 ) 数据 共 享 机 制 是 位 宽 深 度 致 的 共 享  2 运 算 密集 型 L P 与 E M F 之 间 的 通 信 量

 、

( ) :
1 I

缓 冲 队 列 较 少 而 单 核 内 部 的 运 算 量 庞 大 指 令 高 并 行 多 

, ( ,

4 在 系 统 级验证 调 试 多 核全 芯 片 更 高 效 次循 环 ) 。


( ) 、 ,



_

并 且 不 必 通过 FPGA 直 接 在 RTL 级 实 现 源 代 码  3 折 中 型 通 信 量 和 运 算 量 处 于 上 述 两 种


 ( ) :

维 护 情 况 较 为 折 中 的 水 平



在 以 上 三 种 测 试 激励 下 统计 模 拟 速 度 进 行

 ,

队列 类型复用
3 2 .

仿 真效率分析 

单核 与 外 部 存 储 接 口 存 在 数 据 通 道 的 有3 . 3 . 2 统 计 报告 及 分 析


DM A 三 大部 分 每 个 功 能 部 件 与 E MIF
L1 P L 1 D 、 、 ,
 模 拟 环 境效 率 分析 在 多进程 模 拟 环 境 下 不 
 : ,

之间 经 两 个共享 队 列 缓 冲 (
I N PUTQ U E U E 与  同 测 试 激励 的 仿真效 率 不 尽 相 同 由 表 可 以 看

 。

O UTPUTQ U E U E ) , 则单核与 E M IF 的 通 信需 要 6
出 在 数 据 通 信 占 主 体 的 情 况 下
, , 通 信 效 率 折损 


3 * 2 ) 个 队列 ,
3 种 数 据类 型 为 ,
〇 313 _
3 加1 ( ; 1
自 定  主要 是 因 为 进 程 间 的 相 互 通 信 本 身 就 耗 费 了 时


义数据 结 构 体 ( 三 部 分数据 类 型 各不 相 同 间 然 而 在 内 核 运 算 占 主体 时 仿 真效率迅 速提 
 ) , 因 .

; , ,

此 四 核互连则 需

24 个缓 冲 队 列 为 了 减 少 程 序  升 这是 由 于 在各 个 模 拟 器 中 仿 真 的 单 核 处 于 高


 ,

复杂 度 单核 内 的 三 种 数 据 结 构 队 列 复用 复
[ ]

速运算 状 态 因 此 由 进 程 间 通 信 而 耗 费 的 时 间 就


 。

用 方 法 如 下 显 得 微 不 足 道 而 折 中 型 则 体 现 了 两 者 共 同 
:
; ,

优势 


382 第 + 七 届 计 算 机 工 程 与 工 艺 年 会 暨 第 三 届 微 处 理 器 技 术 论 坛 论 大 集



表 1
不 同 测 试 激 励 下 的 通 信 效 率 统计


原 全 芯 片 仿真  现模 拟 环 境


激 励类 型   一

仿 真效 率


进程数 (
NC )  耗 时 秒  进程 数 (

〉 (
N C ) 耗 时 ( 秒 )
 

通 信 密 集 型  1 . 30  5  45  0 . 6 67

运 算 密 集 型  1 1 2 00 5  1 50 8 . 000

折 中 型 1  440  5  62  7 . 1 00

20 1 1 

4 结 束 语 [
4 ]  C aden c e  VPI/ VHPI  M . i xed -
Lang u a ge  I nt e rfac e U s e r

Gu i d e  an d  Refe r en c e .  20 1 1 

本文 首 先 以 YH FT ix  为 例
Ma rt
介绍 了 一


 C ad en c e V PI  U s e r  Gu d e  an d  Refe r

_


5 ]
.  i e nc e . 20 1 1 

通过 PLI 接 口 与 进程 间 通 信技术 所 实 现 的 系 统 级  [
6 ]  C ad e n ce .  S y s t e mV e r
i l og  D P I  E ng i n e er
in gN
ot e b oo k 

验证/ 调 试 方 案 该 方案对 芯 片 系 统 级尤 其 是 ,
RTL  2 0 1 1 

级 全 芯 片 调 试 验 证 以 及 源 代 码 维 护 具有 重 大 意  、 [
7 ]  C adenc e  VPI  U se r  Gu de  and  Refe r
. e n c e 20 00 i



义 。 在 此基 础 上 进 ,

步以 YH FT Q M B as e -

为例  ,

8 ] W .  Ric h ard  St ev e n s UNI X . 
网 络编程 杨 继张 译 北

 ?

搭 建 了 四 核 处 理 器 的 模 拟 环 境 旨 在更 大 限 度 的 i S ^^ ,
: fli M± ,
2 〇〇 〇 

谭浩 强 程序 设计 第 二 版 北京 清华大学


提高 多 核 处 理 器 仿 调 试 的 工 作效 率 。  [
9 ]
. C + + ( )

本 文 的 设 计方 案 虽 然 改 善 了  FPGA 原 型 验

 ,


W 

证 中 错误 雜 酬 誠 赚 改 不 便 肖 雜 賊 、

周账的不足 。 但 是 从性 能统 计来 看 进 程 间 通
,
 ,

信 密 集 时 仿 真 效 率仍 然 存 在 较 大 的 提 升 空 间


 Al an  H unt e Ar

nfaw

i e i ge nm  E du ar d  C emy
J ani c k  f

f
1 1
; ] ,
i:  

这 是 因 为 不 同 进 程 的 通 信本 身 就 存 在,
g 验 证方 法 学 夏 宇 闻 杨

定 的 延  N gh i ti n al e .  Sy s t em V e r
il o g .

时 此 外 数 据 同 步 技 术 的 应 用 也 削 减 了 通 信 效雷 陈 先 勇 徐 伟 俊 杨 鑫 译 北 京 北 京 航 空 航 天
, ,

 , , , ,

率 。 这也 是本设 计 方案 中 的 难 点 所在 出 版 社 。 ,
2 0 07 


1 2 ] 陈海 燕 郭 阳 刘 祥远 陈 吉 华 , , ,

集成 电 路 计 算 机 辅


助设 计 与 验 证 实 践 长 沙 国 防 科 技 大 学 出 版

 .

社 ,
20 1 0 



]  C aden c e  P . ro

ra mmi n
g
I^

ua
ge
I n t er
fac e  ( PU ) .

 [
1 3 ] 陈吉 华 郭 阳 陈 海 燕 桌 成 电 路计算 机 辅 助 设 计
, 
 ,

2〇 U '

r,    与 验证算 法 ?
长 沙 国 防 科 技 大学 出 版社
: ,
20 1 0 

 C a de n c e  P L


2 ]
U.G I i z a rd  ser  u i de . 20 1 1 


3 ]  C a de n c e .  PLI  W i z a rd  Kn own  Pr obl em s and  S ol u ti ons 



You might also like