You are on page 1of 86

Chöông 4: HEÄ TUAÀN TÖÏ

I. Giôùi thieäu:
Heä tuaàn töï laø heä maø ngoõ ra khoâng chæ phuï thuoäc
vaøo caùc ngoõ vaøo maø coøn phuï thuoäc vaøo 1 soá ngoõ ra ñöôïc
hoài tieáp trôû thaønh ngoõ vaøo thoâng qua phaàn töû nhôù.

Ngoõ vaøo COÅN Ngoõ ra


(INPUT) G (OUTPUT)
LOGIC
PHAÀN TÖÛ
NHÔÙ

Phaàn töû nhôù thöôøng söû duïng laø Flip_Flop.


Heä tuaàn töï ñöôïc chia thaønh 2 loaïi:
- Heä tuaàn töï ñoàng boä (Synchronous)
- Heä tuaàn töï baát ñoàng boä (Asynchronous)
NguyenTrongLuat
II. Maïch Choát (Latch) vaø Flip-Flop (FF):
Latch (choát): laø maïch tuaàn töï maø noù lieân tuïc xem
xeùt caùc ngoõ vaøo vaø laøm thay ñoåi caùc ngoõ ra baát
cöù thôøi ñieåm naøo khoâng phuï thuoäc vaøo xung clock.

Flip_Flop: laø maïch tuaàn töï maø noù thöôøng laáy maãu
caùc ngoõ vaøo vaø laøm thay ñoåi caùc ngoõ ra taïi nhöõng
thôøi ñieåm xaùc ñònh bôûi xung clock.

Caùc maïch choát vaø FF coù 2 ngoõ ra Q vaø Q. Hai ngoõ


ra naøy coù giaù trò logic laø buø cuûa nhau.
NguyenTrongLuat
1. Caùc maïch choát:
Baûng hoaït ñoäng:
a. Choát SR: coù 2 loaïi
S R Q+ Q +
* Coång NOR:
0 0 Q Q
0 1 0 1
1 0 1 0
R 1 1 0 0 Caám
(reset) Q
söû duïng
Q+ laø traïng thaùi keá tieáp cuûa

Kyù hieäu:
Q
S S Q
(set)

R Q

NguyenTrongLuat
Baûng hoaït ñoäng:
* Coång NAND:
S R Q+ Q +
0 0 1 1 Caám
S 0 1 1 0 söû duïng
(set) Q 1 0 0 1
1 1 Q Q

Kyù hieäu:

R Q
S Q
(reset)

R Q

NguyenTrongLuat
b. Choát SR coù ngoõ vaøo cho pheùp:

S
(set) Q

C
(enable)
Q
R
(reset)
Baûng hoaït ñoäng: Kyù hieäu choát SR coù ngoõ vaø
C S R Q+ Q+ cho pheùp tích cöïc cao:
0 X X Q Q
S Q
1 0 0 Q Q
1 0 1 0 1 C
1 1 0 1 0 R Q
1 1 1 1 1
NguyenTrongLuat
* Khaûo saùt giaûn ñoà xung:

Q
(Cho Q ban ñaàu laø
0)
Kyù hieäu choát SR coù ngoõ vaøo cho pheùp tích cöïc thaáp:
C S R Q+ Q+
S Q
1 X X Q Q
C 0 0 0 Q Q
R Q 0 0 1 0 1
0 1 0 1 0
0 1 1 1 1
NguyenTrongLuat
c. Choát D:

D
(set) Q

C
(enable)
Q

Kyù hieäu choát D: Baûng hoaït ñoäng:

D Q C D Q+ Q +
0 X Q Q
1 0 0 1
C Q 1 1 1 0
NguyenTrongLuat
2. Flip_Flop (FF):
Traïng thaùi keá tieáp cuûa ngoõ ra FF seõ thay ñoåi theo
ngoõ vaøo vaø traïng thaùi tröôùc ñoù cuûa ngoõ ra taïi thôøi
ñieåm thay ñoåi cuûa xung clock (caïnh leân hoaëc caïnh xuoáng)

X Q X Q

CK Q CK Q

Xung clock caïnh Xung clock caïnh


leân xuoáng
* Baûng ñaëc tính vaø phöông trình ñaëc tính:
Bieåu dieãn moái quan heä cuûa ngoõ ra keá tieáp Q+ phuï
thuoäc vaøo caùc ngoõ vaøo vaø traïng thaùi ngoõ ra hieän taïi Q.
* Baûng kích thích:
Bieåu dieãn giaù trò cuûa caùc ngoõ vaøo caàn phaûi coù khi
ta caàn ngoõ ra chuyeån töø traïng thaùi hieän taïi Q sang traïng
thaùi keá tieáp
NguyenTrongLuat
Q +
.
a. Flip_Flop D (D-FF):
Baûng hoaït ñoäng:

D Q CK D Q+ Q +
0, 1, X Khoâng thay ñoåi
0 0 1
CK Q
1 1 0

D Q CK D Q+ Q +
0, 1, X Khoâng thay ñoåi

CK Q 0 0 1
1 1 0

NguyenTrongLuat
* Khaûo saùt giaûn ñoà xung:

CK

Q
(Cho Q ban ñaàu laø
0)
* Baûng ñaëc tính vaø
phöông trình ñaëc tính: * Baûng kích thích:

D Q Q+ Q Q+ D
0 0 0 0 0 0
0 1 0 0 1 1
1 0 1 1 0 0
1 1 1 1 1 1

Q+ = D D = Q+
NguyenTrongLuat
b. Flip_Flop T (T-FF):
Baûng hoaït ñoäng:
T Q T Q
T Q +

0 Q
CK Q Q CK Q

* Baûng ñaëc tính vaø


phöông trình ñaëc tính: 1 * Baûng kích thích:

T Q Q+ Q Q+ T
0 0 0 0 0 0
0 1 1 0 1 1
1 0 1 1 0 1
1 1 0 1 1 0

Q+ = T  Q T = Q  Q+
NguyenTrongLuat
c. Flip_Flop SR (SR-FF):
* Baûng hoaït ñoäng:
S Q S R Q+ S Q
0 0 Q
CK 0 1 0 CK
1 0 1
R Q X R Q
1 1
Baûng ñaëc tính vaø pt ñaëc tính:
S R Q Q+ * Baûng kích thích:
0 0 0 0
0 0 1 1 Q Q+ S R
0 1 0 0
0 1 1 0 0 0 0 X
1 0 0 1 0 1 1 0
1 0 1 1 Q+ = S + R Q 1 0 0 1
1 1 0 X 1 1 X 0
1 1 1 X SR = 0
NguyenTrongLuat
d. Flip_Flop JK (JK-FF):
* Baûng hoaït ñoäng:
J Q J K Q+ J Q
0 0 Q
CK 0 1 0 CK
1 0 1
K Q Q K Q
1 1
Baûng ñaëc tính vaø pt ñaëc tính:
J K Q Q+ * Baûng kích thích:
0 0 0 0
0 0 1 1 Q Q+ J K
0 1 0 0
0 1 1 0 0 0 0 X
1 0 0 1 0 1 1 X
1 0 1 1 1 0 X 1
1 1 0 1 1 1 X 0
1 1 1 0 Q+ = J Q + K Q

NguyenTrongLuat
e. Caùc ngoõ vaøo baát ñoàng boä:
- Caùc ngoõ vaøo naøy seõ laøm thay ñoåi giaù trò ngoõ ra
töùc thôøi, baát chaáp xung clock.
- Coù 2 ngoõ vaøo vaøo baát ñoàng boä: Preset (Pr) vaø Clear
(Cl).
+ Khi ngoõ vaøo Preset tích cöïc thì ngoõ ra Q ñöôïc set leân 1.
+ Khi ngoõ vaøo Clear tích cöïc thì ngoõ ra Q ñöôïc xoùa veà 0.

J Pr Q J Pr Q

CK CK

K Cl Q K Cl Q

+ Khi ngoõ vaøo Preset vaø Clear khoâng tích cöïc thì
FF môùi hoaït ñoäng.
NguyenTrongLuat
III. Boä ñeám (COUNTER):
1. Giôùi thieäu:
- Boä ñeám laø heä tuaàn töï coù 1 ngoõ vaøo xung clock
vaø nhieàu ngoõ ra. Ngoõ ra cuûa boä ñeám chính laø ngoõ ra
cuûa caùc Flip-Flop caáu thaønh boä ñeám.
- Noäi dung cuûa boä ñeám taïi 1 thôøi ñieåm goïi laø traïng
thaùi cuûa boä ñeám. Khi coù xung clock vaøo boä ñeám seõ
chuyeån traïng thaùi töø 1 traïng thaùi hieän taïi chuyeån sang 1
traïng thaùi keá tieáp. Cöù tieáp tuïc nhö vaäy seõ taïo ra 1 voøng
- Giaûn
ñeám kheùpñoà traïng thaùi cuûa
kín.
boä ñeám: Q2Q1Q0
Bieåu dieãn caùc traïng thaùi 000
coù trong voøng ñeám vaø höôùng
chuyeån traïng thaùi cuûa boä 110 100
ñeám.
- Modulo cuûa boä ñeám:
Laø soá caùc traïng thaùi khaùc
nhau trong voøng ñeám: m ≤ 2n 010 011
NguyenTrongLuat
- Boä ñeám noái tieáp (boä ñeám baát ñoàng boä): laø
boä ñeám maø ngoõ ra cuûa FF tröôùc seõ laø ngoõ vaøo xung
clock cho FF sau.
- Boä ñeám song song (boä ñeám ñoàng boä): laø boä
ñeám maø ngoõ vaøo xung clock cuûa caùc FF ñöôïc noái
2. Boä chung vôùi tieáp
ñeám noái nhau.(Asynchronous Counter): :
- Boä ñeám noái tieáp thöïc hieän caùc voøng ñeám leân hoaëc
xuoáng:
+ Ñeám leân (Count Up): noäi dung boä ñeám taêng theâm
1 khi coù xung clock.
+ Ñeám xuoáng (Count Down): noäi dung boä ñeám giaûm
ñi -1Boä
khi coù
ñeámxung
ñöôïcclock.
taïo töø caùc FF ñeám 2, gheùp noái tieáp
vôùi nhau.
1 T Q 1 J Q

CK

CK Q 1 K Q
NguyenTrongLuat
a. Boä ñeám ñaày ñuû (m = 2n):
* Gheùp Cki+1 = Qi
Q0(LSB) Q1 Q2(MSB)

1 T Q 1 T Q 1 T Q

CK CK Q CK Q CK Q

Khaûo saùt giaûn ñoà xung:


ñaây laø boä ñeám leân (Count Up)

CK
Q0
(LSB)
Q1
Q2
(MSB)
NguyenTrongLuat
Q0(LSB) Q1 Q2(MSB)

1 J Q 1 J Q 1 J Q

CK CK CK CK

1 K Q 1 K Q 1 K Q

Khaûo saùt giaûn ñoà xung:laø boä ñeám xuoáng (Count Down)

CK
Q0
(LSB)
Q1
Q2
(MSB)
NguyenTrongLuat
* Gheùp Cki+1 = Qi
+ Boä ñeám xuoáng (Count Down):
Q0(LSB) Q1 Q2(MSB)

1 J Q 1 J Q 1 J Q

CK CK CK CK

1 K Q 1 K Q 1 K Q

+ Boä ñeám leân (Count Up):


Q0(LSB) Q1 Q2(MSB)

1 T Q 1 T Q 1 T Q

CK CK Q CK Q CK Q
NguyenTrongLuat
b. Boä ñeám khoâng ñaày ñuû (m 2n):
- Boä ñeám khoâng ñaày ñuû thöïc hieän döïa vaøo boä ñeám
ñaày ñuû.
Ta caàn xaùc ñònh traïng thaùi keá tieáp khoâng mong muoán
cuûa voøngtraïng
- Duøng ñeámthaùi
khoâng ñaày
naøy ñeåñuû.
taïo ra tín hieäu taùc ñoäng tích
cöïc vaøo caùc ngoõ vaøo baát ñoàng boä Preset hoaëc Clear ñeå
ñöa boä ñeám trôû veà traïng thaùi ban ñaàu (thường gọi laø
traïng thaùi
Vd: Söû reset).
duïng T-FF coù xung clock caïnh xuoáng vaø ngoõ vaøo
Preset, Clear tích cöïc cao; thieát keá boä ñeám leân coù m = 5 vaø bắt
ñaàu từ giaù trò 0.
Q2 Q1 Q0 Z Ta gọi Z laø tín hiệu để reset bộ đếm.
0 0 0 0 Z Q 2Q 1
0 0 1 0 Q0 00 01 11 10
0 1 0 0
0 1 1 0
0 X
1 0 0 0 1 X 1
1 0 1 1
1 1 0 X
1 1 1 X Z = Q2 Q0
NguyenTrongLuat
Q0(LSB) Q1 Q2(MSB)

0 0 0

1 T Pr Q 1 T Pr Q 1 T Pr Q

CK Ck
Cl Q Ck
Cl Q
Ck
Cl Q

haûo saùt giaûn ñoà xung: Z

CK
Q0
(LSB)
Q1
Q2
(MSB)
NguyenTrongLuat
Vd: Söû duïng JK-FF coù xung clock caïnh Q2 Q1 Q0
xuoáng vaø ngoõ vaøo Pr, Cl tích cöïc thaáp; 0 1 0
thieát keá boä ñeám xuoáng coù m = 5 vaø bắt 0 0 1
ñaàu từ giaù trò 2. 0 0 0
1 1 1
Tín hiệu reset: Z = Q2 Q1 (tích cöïc thaáp) 1 1 0
1 0 1
Q0(LSB) Q1 Q2(MSB)

1 1

Pr Pr Pr
1 J Q 1 J Q 1 J Q

CK CK CK CK

1 K Cl Q 1 K Cl Q 1 K Cl Q

NguyenTrongLuat
IC 74393: 2 boä ñeám leân đầy đủ 4 bit

3
1QA
1 4
1CK 1QB
2 5
1CLR 1QC
6 CLR CK QD Q C Q B Q A
(MSB) 1QD 1 X 0 0 0 0
11 0 0, 1, NO CHANGE
2QA
0 COUNT UP
13 10
2CK 2QB
12 9
2CLR 2QC
8
(MSB) 2QD

NguyenTrongLuat
IC 7490: goàm 2 boä ñeám - boä ñeám 2 vaø boä ñeám 5
(ñeám leân)
5

VCC
14 12
CKA QA
1 11
CKB QB
9 Reset/Set INPUT OUTPUT
QC MR1 MR2 MS1 MS2 QD Q C Q B Q A
8
(MSB)QD 1 1 0 X 0 0 0 0
2 0 0 0 0
MR1 1 1 X 0
X X 1 1 1 0 0 1
3
MR2 1 X 1 X
6 X 1 X 1 Counting
MS1 1 X X 1
7 X 1 1 X
MS2
GND
10
NguyenTrongLuat
3. Boä ñeám song song (Synchronous Counter): :
- Laø boä ñeám maø caùc FF ñeàu söû duïng chung nguoàn
xung clock; khi coù xung clock vaøo thì taát caû caùc ngoõ ra FF
ñeàu thay ñoåi.
- Khi thieát keá boä ñeám, chæ quan taâm ñeán traïng thaùi
hieän taïi vaø traïng thaùi keá tieáp cuûa FF, maø khoâng quan
taâm ñeán daïng xung clock (caïnh leân hoaëc caïnh xuoáng).
- Coù theå thieát keá boä ñeám coù voøng ñeám baát kyø.

Baûng haøm kích thích:


* SR-FF * JK-FF
* D-FF:
Q Q+ S R J K
D = Q+
0 0 0 X 0 X
* T-FF: 0 1 1 0 1 X
1 0 0 1 X 1
T = Q  Q+ 1 1 X 0 X 0
NguyenTrongLuat
* Caùc böôùc thieát keá:
ø phaùt bieåu baøi toaùn xaùc ñònh soá FF söû duïng vaø daõy ñeám.
- Laäp baûng chuyeån traïng thaùi chæ roõ moái quan heä giöõa
traïng thaùi hieän taïi vaø traïng thaùi keá tieáp (döïa vaøo daõy
ñeám).
T/t hieän taïi T/t keá tieáp Caùc
Qn-1 … Q1 Q0 Q+n-1 … Q+1 Q+0 ngoõ vaøo
FF
0 … 0 0

1 … 1 1
- Tìm caùc giaù trò ngoõ vaøo FF caàn phaûi coù töø giaù trò
hieän taïi Qi vaø keá tieáp Q+i cuûa töøng FF (döïa vaøo baûng
kích thích cuûa FF).
- Tìm bieåu thöùc ruùt goïn cuûa moãi ngoõ vaøo FF phuï thuoäc
vaøo caùc bieán traïng thaùi hieän taïi.
- Thöïc hieän sô ñoà logic.
NguyenTrongLuat
a. Boä ñeám ñaày ñuû (m = 2n):
Vd: Söû duïng T-FF kích theo caïnh leân, thieát keá boä
ñeám coù daõy ñeám sau: Q2Q1Q0 : 010, 101, 110, 001, 000, 111, 100,
011, 010, …
T2 Q2Q1
T/t hieän T/t keá tieáp Caùc ngoõ Q0 00 01 11 10
taïi Q+2 Q+1 Q+0 vaøo 0 1 1 1 1
Q02 Q01 Q00 1 1 1 T
1 2 T11 T10
0 0 1 0 0 0 0 0 1 1
0 1 0 1 0 1 1 1 1
0 1 1 0 1 0 0 0 1 T1 Q2Q1
1 0 0 0 1 1 1 1 1 Q0 00 01 11 10
1 0 1 1 1 0 0 1 1 0 1 1 1 1
1 1 0 0 0 1 1 1 1
1 1 1 1 0 0 0 1 1 1 1 1

T2 = Q0 T1 = Q0 + Q2 T0 = 1
NguyenTrongLuat
T2 = Q0 T1 = Q0 + Q2 T0 = 1

Q2(MSB) Q1 Q0(LSB)

T2 Q2 T1 Q1 1 T0 Q0

CK2 Q2 CK1 Q1 CK0 Q0


CK

NguyenTrongLuat
b. Boä ñeám khoâng ñaày ñuû (m < 2n):
Caùc traïng thaùi coù trong voøng ñeám seõ thieát keá nhö
boä ñeám ñaày ñuû; coøn caùc traïng thaùi dö khoâng coù
trong voøng ñeám seõ giaûi quyeát theo 2 caùch sau:
* Caùch 1: Caùc traïng thaùi dö coù traïng thaùi keá tieáp laø
tuøy ñònh. Khi thieát keá caàn khôûi ñoäng giaù trò ban ñaàu cho
boä ñeám; giaù trò naøy phaûi laø 1 trong nhöõng traïng thaùi
coù trong voøng ñeám.
Vd: Thieát keá boä ñeám duøng D-FF
T/t hieän T/t keá tieáp
caïnh leân, coù ngoõ vaøo Pr vaø Cl
taïi Q+2 Q+1 Q+0
tích cöïc cao, coù giaûn ñoà traïng
Q02 Q01 Q00 1 0 0
thaùi sau:
Q2Q1Q0 0 0 1 X X X
0 1 0 1 1 0
000
0 1 1 0 1 0
1 0 0 0 1 1
110 100 1 0 1 X X X
1 1 0 0 0 0
1 1 1 X X X
010 011 D2 D1 D0
NguyenTrongLuat
D2 = Q2 Q0 D1 = Q2  Q1 D0 = Q2 Q1
Q2(MSB) Q1 Q0(LSB)

0 0
D2 Pr Q2 D1 Pr Q1 D0 Pr Q0

Ck2 Cl Q2 Ck1Cl Q1 Ck0 Cl Q0


CK
0

RS
NguyenTrongLuat
* Caùch 2: Cho caùc traïng thaùi dö khoâng coù voøng ñeám
coù traïng thaùi keá tieáp laø 1 trong nhöõng traïng thaùi coù
trong voøng ñeám. QQQ 2 1 0

001 000

101 110 100

111 010 011

T/t hieän T/t keá tieáp Caùc ngoõ


taïi Q+2 Q+1 Q+0 vaøo T2 = Q0 + Q2 Q1
Q02 Q01 Q00 1 0 0 T
1 2 T01 T00
0 0 1 1 1 0 1 1 1
0 1 0 1 1 0 1 0 0 T1 = Q2  (Q1 Q0)
0 1 1 0 1 0 0 0 1
1 0 0 0 1 1 1 1 1
1 0 1 1 0 0 0 0 1 T0 = Q0 + Q2 Q1
1 1 0 0 0 0 1 1 0
1 1 1 1 0 0 0 1 1
NguyenTrongLuat
* Phaân tích boä ñeám song song:
- Töø sô ñoà logic cuûa boä ñeám xaùc ñònh haøm kích
thích (bieåu thöùc cuûa caùc ngoõ vaøo cuûa töøng FF phuï
thuoäc- vaøo
Laäpcaùc ngoõ
baûng ra Qthaùi:
traïng i) töø traïng thaùi hieän taïi Qi vaø
giaù trò ngoõ vaøo ta xaùc ñònh ñöôïc traïng thaùi keá tieáp cuûa
FF Q+i.
- Töø baûng chuyeån traïng thaùi xaùc ñònh ñöôïc giaûn
ñoà traïng thaùi hoaëc khaûo saùt giaûn ñoà xung cuûa boä
ñeám.
QA QB QC

JA QA JB QB JC QC

CK CK CK
CK
1 KA QA KB QB 1 KC QC

NguyenTrongLuat
T/t hieän Caùc ngoõ vaøo T/t keá tieáp
JA = QB QC
taïi JA KA JB KB JC KC Q+A Q+B Q+C
KA = 1 QA0 QB0 QC0 0 1 0 0 1 1 0 0 1
0 0 1 0 1 1 1 1 1 0 1 0
JB = QA QC
0 1 0 0 1 0 0 1 1 0 1 1
0 1 1 1 1 1 1 1 1 1 0 0
KB = QA + QC
1 0 0 0 1 0 1 0 1 0 0 0
JC = QA 1 0 1 0 1 0 1 0 1 0 0 0
1 1 0 0 1 0 1 0 1 0 0 0
KC = 1 1 1 1 1 1 0 1 0 1 0 0 0

110
101 111

000
QAQBQC
100 001

011 010
NguyenTrongLuat
IC 74193: boä ñeám leân/xuoáng ñoàng boä 4
bit

15 3
A QA
1 2
B QB
10 6 CLR LOAD UP DOWN MODE
C QC 1 X X X RESET (Asyn.)
9 7
D (MSB) QD
0 0 X X PRESET (Asyn.)
0 1 1 1 No change
11 0 1 1 COUNT UP
LOAD
0 1 1 COUNT DOWN
14
CLR
5 13
UP CO CO (Carry Out) = QDQCQBQAUP
4 12
DOWN BO BO (Borrow Out) = QDQCQBQADOWN

NguyenTrongLuat
NguyenTrongLuat
IV. Thanh ghi dòch (Shift Register):
Thanh ghi dòch laø heä tuaàn töï coù khaû naêng löu tröõ
vaø dòch chuyeån döõ lieäu.

NguyenTrongLuat
1. Thanh ghi dòch nhaäp noái tieáp - xuaát noái tieáp (SISO):

SERIN SEROUT
D Q D Q D Q

Ck Q Ck Q Ck Q
CK

2. Thanh ghi dòch nhaäp noái tieáp – xuaát song song (SIPO):
1Q 2Q nQ
SERIN
D Q D Q D Q

Ck Q Ck Q Ck Q
CK

NguyenTrongLuat
3. Thanh ghi dòch nhaäp song song - xuaát noái tieáp (PISO):
SERIN X1 Y D Q
1D X0
S

Ck Q

X1 Y D Q
2D X0
S

Ck Q

X1 Y D Q SEROUT
nD X0
S
SHIFT / LOAD
CLOCK Ck Q 38
4. Thanh ghi dòch nhaäp song song - xuaát song song (PIPO):
SERIN X1 Y D Q 1Q
1D X0
S

Ck Q

X1 Y D Q 2Q
2D X0
S

Ck Q

X1 Y D Q nQ
nD X0
S
SHIFT / LOAD
CLOCK Ck Q 39
IC 74164: SIPO – Thanh ghi dịch nối tiếp thaønh song song

1 3
A QA
2 4
B QB
5 Inputs Outputs
QC
6 CLR CLK A B QA QB … QH
QD 0 X X X 0 0 0
10
9
CLR QE 1 0 X X QA0 QB0 QH0
11
8 1 1 1
CLK QF 1 QAn QGn
12
1 0 X
0 QAn QGn
QG 13 1 X 0
0 QAn QGn
QH

NguyenTrongLuat
NguyenTrongLuat
IC 74165: PISO – Thanh ghi dịch song song thaønh nối tiếp
1
SH/LD
15
CLK INH
2 Inputs Output
CLK
10 SH/LD CLKINH CLK SER A … QA QB … QH
SER H
11 0 X X X a… h a b h
A
12 1 0 0 X X QA0 QB0 QH0
B
13 1 0 1 X
C 1 QAn QGn
14
D 1 0 0 X
0 QAn QGn
3
E 1 1 X X X
4 QA0 QB0 QH0
F
5 7
G QH
6 9
H QH
NguyenTrongLuat
NguyenTrongLuat
VI. Boä ñeám thanh ghi dòch (Shift Register Counter):
1. Boä ñeám voøng (Ring Counter):
Q2 Q1 Q0

Pr
D2 Q2 D1 Q1 D0 Q0

Ck Q2 Ck Cl Q1 Ck Cl Q0
CK

RS
CK
Q2 Clock Q2 Q1 Q0
1 1 0 0
Q1 2 0 1 0
3 0 0 1
Q0
NguyenTrongLuat
2. Boä ñeám voøng xoaén (Twisted-ring Counter): boä ñeám
Johnson Q2 Q1 Q0

D2 Q2 D1 Q1 D0 Q0

Ck Cl Q2 Ck Cl Q1 Ck Cl Q0
CK

RS
Clock Q2 Q1 Q0
CK
1 0 0 0
Q2 2 1 0 0
3 1 1 0
Q1 4 1 1 1
5 0 1 1
Q0 6 0 0 1
NguyenTrongLuat
VI. Phaân tích Heä tuaàn töï:
Heä tuaàn töï ñöôïc chia thaønh 2 loïai tuøy thuoäc vaøo
tính chaát cuûa ngoõ ra.
1. Kieåu MEALY: HEÄ TOÅ HÔÏP
X1 Z1
X2 Z2
NGOÕ VAØO NGOÕ RA

Xn Zm

Q+ 1 D1

Q+ 2 D2
Q1
Q2

Qk Q+ k Dk

Clock

raïng thaùi keá tieáp = F (traïng thaùi hieän taïi Qi vaø caùc ngoõ vaøo X
Giaù trò ngoõ ra = G (traïng thaùi hieän taïi Qi vaø caùc ngoõ vaøo Xj)
NguyenTrongLuat
Z

X
DA QA

* P/trình ngoõ ra:


Z = X (QA + QB)
CK QA

* P/t ngoõ vaøo


DB QB FF:
DA = X Q A + X Q B

DB = X Q A
CK QB
CK
NguyenTrongLuat
Baûng traïng thaùi: Ngoõ T/t hieän taïi Ngoõ T/t keá
vaøo QA QB ra tieáp
Z = X (QA + QB)
X Z Q+A Q+B
DA = X Q A + X Q B 0 0 0 0 0 0
= Q +A
0 0 1 1 0 0
0 1 0 1 0 0
D B = X Q A = Q +B 0 1 1 1 0 0
1 0 0 0 0 1
1 0 1 0 1 1
1 1 0 0 1 0
1 1 1 0 1 0
T/t hieän Tt keá tieáp (Q+A Ngoõ ra (Z)
taïi Q+B) X=0 X=1
Q0A Q
0B X0=0 0 X0=11 0 0
0 1 00 11 1 0
1 0 00 10 1 0
1 1 00 10 1 0
NguyenTrongLuat
T/t hieän
Tt keá tieáp (Q+A Ngoõ ra (Z)
taïi Q+B) X=0 X=1
A Q0A Q
AX0=0 0 BX0=11
0B 0 0
B 0 1 A 0 0 D1 1 1 0
C 1 0 A 00 C10 1 0
D 1 1 A 00 C10 1 0
Giaûn ñoà traïng thaùi (state
graph):
1/0 1/0
X/Z = 0/0 0/0
00 01 A B
0/1 0/1
1/0 1/0
0/1 0/1 0/1 0/1

10 11 C D
1/0 1/0
1/0 1/0
NguyenTrongLuat
2. Kieåu MOORE:
HEÄ TOÅ HÔÏP HEÄ TOÅ HÔÏP
CHO NGOÕ VAØO CHO NGOÕ RA

X1 Z1
Q+1 D1 Q1
X2 Z2

Xn Q+2 D2 Q2
Zm

Q1
Q2

Q+k Dk Qk

Qk

Clock

raïng thaùi keá tieáp = F (traïng thaùi hieän taïi Qi vaø caùc ngoõ vaøo X
Giaù trò ngoõ ra = G (traïng thaùi hieän taïi Qi)
NguyenTrongLuat
X1
J Q
X2 Z= Q

CK CK J = X 1  X2

Z K = X 1 X2
K Q

Ngoõ TTHT Ngoõ Ngoõ vaøo TTKT


vaøo Q ra FF Q+
X1 X2 Z J K
0 0 0 1 1 1 1
0 0 1 0 1 1 0
0 1 0 1 0 1 0
0 1 1 0 0 1 0
1 0 0 1 0 1 0
1 0 1 0 0 1 0
1 1 0 1 1 0 1
1 1 1 0 1 0 1
NguyenTrongLuat
TTHT T/t keá tieáp (Q+) Ngoõ ra
Q X1X2 = 0 0 0 1 1 0 1 1 (Z)
0 1 0 0 1 1
1 0 0 0 1 0

X1X2 = 00, 11

01, 10 0 1 11
1 0

00, 01, 10

NguyenTrongLuat
VII. Thiết kế Heä tuaàn töï:

* Caùc böôùc thieát keá:


- Töø phaùt bieåu baøi toaùn thaønh laäp graph traïng
thaùi hoaëc baûng chuyeån traïng thaùi
- Ruùt goïn traïng thaùi
- Gaùn traïng thaùi.
- Choïn FF (D.FF, T.FF, JK.FF) vaø thieát keá phaàn toå
hôïp ñeå taïo ra ngoõ ra vaø traïng thaùi keá (coång logic, ROM,
PLA, PAL).

NguyenTrongLuat
1. Thaønh laäp graph traïng thaùi hoaëc baûng chuyeån traïng
thaùi:
Ví duï: Moät heä tuaàn töï coù 1 ngoõ vaøo X vaø 1 ngoõ ra Z.
Ngoõ ra seõ laø 1 neáu ngoõ vaøo nhaän ñöôïc chuoãi vaøo
lieân tieáp 101.
X = 0 1 1 0 0 1 0 1 0 1 1 0 0
Z = 0 0 0 0 0 0 0 1 0 1 0 0 0

* Kieåu MEALY:

TT TT keá tieáp Ngoõ ra (Z)


hieän taïi X=0 X=1 X=0 X=1
S0 S0 S1 0 0
S1 S2 S1 0 0
S2 S0 S1 0 1

NguyenTrongLuat
Ví duï: Moät heä tuaàn töï coù 1 ngoõ vaøo X vaø 1 ngoõ ra Z.
Ngoõ ra seõ laø 1 neáu ngoõ vaøo nhaän ñöôïc chuoãi vaøo
lieân tieáp 101.
X= 0 1 1 0 0 1 0 1 0 1 1 0 0
Z= 0 0 0 0 0 0 0 1 0 1 0 0 0

Kieåu MOORE:

TT TT keá tieáp Ngoõ ra


hieän taïi X=0 X=1 (Z)

S0 S0 S1 0
S1 S2 S1 0
S2 S0 S3 0
S3 S2 S1 1

NguyenTrongLuat
2. Ruùt goïn traïng thaùi:

- Vôùi m traïng thaùi ta söû duïng n FF: 2n-1 < m ≤ 2n


- Traïng thaùi töông ñöông:
Hai traïng thaùi töông ñöông laø 2 traïng thaùi maø khi
cuøng giaù trò vaøo maø chuùng coù caùc giaù trò ra gioáng
nhau vaø caùc traïng thaùi keá tieáp maø chuùng chuyeån tôùi
töông ñöông nhau.
PS NS OUTPUT
X=0 X=1 X=0 X=1
A C D 0 1
B C D 0 1

NguyenTrongLuat
Ví duï: Ruùt goïn baûng traïng thaùi
sau
TTHT TTKT Ngoõ ra (Z)
X=0 X=1 X=0 X=1
S0 S1 S2S 0 0
1
0 0 Ta có: S3  S5 và S4  S6
S1 S3 S4
S2 S5S3 S6S4 0 0
0 0
 S1  S 2
S3 S0 S0
1 0
S4 S0 S0
0 0
S5 S0 S0 1 0
S6 S0 S0

Baûng ruùt goïn: TTHT TTKT Ngoõ ra (Z)


X=0 X=1 X=0 X=1
S0 S1 S1 0 0
S1 S3 S4 0 0
S3 S0 S0 0 0
S4 S0 S0 1 0
NguyenTrongLuat
* PP ruùt goïn baèng baûng keùo theo (Implication Table)
- Thaønh laäp baûng keùo theo
cuûa baûng coù n traïng thaùi: coù S1
n-1 coät vaø n-1 haøng. Moãi oâ
vuoâng laø caëp traïng thaùi caàn S2
xeùt
- Töøtöông ñöông.
baûng traïng thaùi tìm caùc S3 S0-S4
traïng thaùi coù ngoõ ra gioáng nhau S2-S3
laäp thaønh nhoùm coù theå töông S
4
ñöông.
- Taïi moãi oâ vuoâng cuûa 2 traïng
thaùi khoâng cuøng nhoùm thì seõ S5
khoâng töông ñöông  gaïch cheùo
oâ vuoâng. S0 S1 S2 S3 S4
- Taïi moãi oâ vuoâng cuûa 2 traïng thaùi cuøng nhoùm thì ta ghi
ñieàu kieän traïng thaùi keá tieáp caàn xeùt töông ñöông.
- Kieåm tra caùc ñieàu kieän trong caùc oâ vuoâng: gaïch cheùo
caùc oâ khoâng thoûa ñieàu kieän. Caùc oâ coøn laïi khoâng bò
gaïch cheùo laø keát quaû töông ñöông.
NguyenTrongLuat
* PP ruùt goïn baèng baûng keùo theo (Implication Table)
PS NS Z
D-F
B X=0 X=1
C-H
A DA C 0
B F H 0
C C EC DA 1
D A E 0
A-F E C A 1
D C-E E-H
F F B 1
G B H 0
E A-D H C G 1

E-F C-F Theo ngoõ ra: ta coù 2


F
B-D A-B nhoùm (A, B, D, G)
B-D A-B (C, E, F, H)
G C-H B-F E-H

C-E C-F Ta ñöôïc: (A, D)


H D-G A-G B-G (C, E)
A B C D E F G
NguyenTrongLuat
3. Gaùn traïng thaùi:
Moãi traïng thaùi ñöôïc gaùn baèng 1 toå hôïp caùc bieán
traïng thaùi
Ví duï: Heä coù 3 traïng thaùi A, B, C
Ta caàn 2 bieán traïng thaùi Q1 vaø Q2 ñeå gaùn cho 3
traïng thaùi Q1
Tthaùi A: Q1Q2 = 00 Q2 0 1
B: Q1Q2 = 01 0 A -
C: Q1Q2 = 11 1 B C

4. Choïn FF vaø thieát keá phaàn toå hôïp:


- Laäp baûng traïng
thaùi
Ngoõ vaøo Traïng thaùi hieän Ngoõ ra Traïng thaùi keá
taïi tieáp

- Choïn FF (D-FF, T-FF, JK-FF) vaø maïch toå hôïp (coång


logic, ROM, PLA, ..).
NguyenTrongLuat
Ví duï: Thöïc hieän heä tuaàn töï sau
TTHT TTKT Ngoõ ra (Z) Gaùn traïng
X=0 X=1 X=0 X=1 thaùiQ1
Q2 0 1
S0 S1 S1 0 0 0 S0 S1
S1 S3 S4 0 0 1 S4 S3
S3 S0 S0 0 0
S4 S4 S0 1 0

TTHT TTKT (Q+1Q+2) Ngoõ ra (Z)


(Q1Q2) X=0 X=1 X=0 X=1

S0 : 00 10 10 0 0
S1 : 10 11 01 0 0
S3 : 11 00 00 0 0
NguyenTrongLuat S : 01 01 00 1 0
TTHT TTKT (Q+1Q+2) Ngoõ ra (Z)
(Q1Q2) X=0 X=1 X=0 X=1

S0 : 00 10 10 0 0
S1 : 10 11 01 0 0
S3 : 11 00 00 0 0
* Laäp baûng
S4 : 01traïng01 00 *1Choïn 0FF:
thaùi
Ng.vaø TTHT Ngoõ TTKT T.FF JK.FF
o Q1 Q2 ra Q+1 Q+2 T1 T2 J1 K1 J2 K2
X Z
0 0 0 0 1 0 1 0 1 X 0 X
0 0 1 1 0 1 0 0 0 X X 0
0 1 0 0 1 1 0 1 X 0 1 X
0 1 1 0 0 0 1 1
1 0 0 0 1 0 1 0 X 1 X 1
1 0 1 0 0 0 0 1 1 X 0 X
1 1 0 0 0 1 1 1 0 X X 1
1 1 1 0 0 0 1 1 X 1 1 X
NguyenTrongLuat
* Thöïc hieän baèng ROM vaø T.FF kích caïnh leân:

23 x 3 (bit) Baûng naïp ROM


X A2 D2 Z X Q1 Q2 Z T1 T2
A2 A1 A0 D2 D1 D0
0 0 0 0 1 0
A1 D1 T1 Q 1
0 0 1 1 0 0
0 1 0 0 0 1
0 1 1 0 1 1
A0 D0 T2 Q 2
1 0 0 0 1 0
1 0 1 0 0 1
CK 1 1 0 0 1 1
1 1 1 0 1 1

NguyenTrongLuat
* Thöïc hieän baèng coång logic vaø JK.FF kích caïnh
Töøxuoáng:
baûng traïng thaùi, ruùt goïn:
Z = X Q 1 Q2 Z
J1 = Q 2
J1 Q1
K1 = X + Q2

J2 = Q 1 X
K1 Q1
K2 = X + Q1

J2 Q2

K2 Q2

NguyenTrongLuat CK
* Thöïc hieän baèng PLA vaø JK.FF kích caïnh leân:

Z = X Q 1 Q2 J1 = Q 2 K1 = X + Q2 J2 = Q 1 K2 = X + Q1

X X Z Z Baûng naïp PLA


X Q1 Q2 Z J1 K1 J2 K2
J1 J 1 Q1
Q1 0 0 1 1 0 0 0 0
K1 K1
- - 0 0 1 0 0 0
J2 J 2 Q2 1 - - 0 0 1 0 1
Q2
- - 1 0 0 1 0 0
K2 K2
- 1 - 0 0 0 1 1
CK

NguyenTrongLuat
Vd: Thieát keá boä (chuyeån) ñoåi maõ töø BCD sang BCD
quaù 3. Ngoõ vaøo vaø ra laø noái tieáp vôùi LSB ñi tröôùc.

X: INPUT (BCD) Z: OUTPUT (BCD+3)


0 0 0 0 0 0 1 1
0 0 0 1 0 1 0 0
0 0 1 0 0 1 0 1
0 0 1 1 0 1 1 0
0 1 0 0 0 1 1 1
0 1 0 1 1 0 0 0
0 1 1 0 1 0 0 1
0 1 1 1 1 0 1 0
1 0 0 0 1 0 1 1
1 0 0 1 1 1 0 0
t3 t2 t1 t0 t3 t2 t1 t0

NguyenTrongLuat
Thôøi Chuoãi vaøo nhaän ñöôïc T/ thaùi T/thaùi Giaù trò ra
ñieåm (LSB ñöôïc nhaän ñaàu hieän keá (Z)
tieân) taïi X =B 0 1 X =1 0
t0 Reset A C 01
0 B D EF 1 0
t1
1 C E EG 0 1
00 D H HL 0 1
01 E HI M 1 0
t2
10 F H J MN 1 0
11 G HK MP 1 0
000 H A A 0 1
001 I A A 0 1
010 J A - 0 -
t3 011 K A - 0 -
100 L A - 0 -
101 M A - 1 -
110 N A - 1 -
111 P A - 1 -
NguyenTrongLuat
* Baûng traïng thaùi ñöôïc ruùt goïn cuûa boä chuyeån
ñoåi maõ

Thôøi Traïng Traïng thaùi Giaù trò ra (Z)


gian thaùi keá X=0 1
hieän taïi X=0 1
t0 A B C 1 0
t1 B D E 1 0
C E E 0 1
t2 D H H 0 1
E H M 1 0
t3 H A A 0 1
M A - 1 -

NguyenTrongLuat
IIX. LÖU ÑOÀ MAÙY TRAÏNG THAÙI:
- Heä tuaàn töï coøn ñöôïc goïi laø maùy traïng thaùi
thuaät toaùn
(ASM - algorithmic state machine) hay ñôn giaûn hôn laø maùy
traïng thaùi (SM - state machine), goïi taét laø SM.
- Löu ñoà SM ñöôïc taïo bôûi caùc khoái SM; moãi khoái
SM moâ taû hoaït ñoäng cuûa heä trong 1 traïng thaùi.
- Moät khoái SM bao goàm moät Hoäp traïng thaùi
(state box), caùc Hoäp quyeát ñònh (decision box) vaø caùc Hoäp
xuaát theo ñieàu kieän (conditional ouput box).

0 1
ÑIEÀU KIEÄN

Hoäp traïng thaùi Hoäp quyeát Hoäp xuaát theo


NguyenTrongLuat ñònh ñkieän
Ñöôøng vaøo cuûa khoái
SM
Teân traïng S Maõ traïng
xxx
thaùi thaùi
Lieät keâ bieán ra coù
giaù trò 1 (bieán Moore)

0 1
ÑIEÀU KIEÄN

Lieät keâ bieán ra


coù giaù trò 1 theo
ñieàu kieän (bieán
Mealy)

Caùc ñöôøng ra ñeán caùc khoái SM


oät khoái SM coù khaùc
chính xaùc moät ñöôøng vaøo vaø moät hoaëc nhieàu ñöôøn
NguyenTrongLuat
- Moät ñöôøng daãn ñi qua khoái SM töø ngoõ vaøo ñeán ngoõ ra
ñöôïc goïi laø ñöôøng daãn lieân keát (link path).

S1
Z1, Z2

0 1
X1

Z3, Z4 0
X3 1

0 1 Z5
X2

1 2 3 n
NguyenTrongLuat
- Khoái SM coù theå ñöôïc bieåu dieãn baèng nhieàu daïng
khaùc nhau .
- Moät löu ñoà SM coù theå bieåu dieãn moät heä toå hôïp
khi chæ coù moät traïng thaùi vaø khoâng coù söï thay ñoåi
traïng thaùi xaûy ra.
Z1 = A + A’BC = A + BC

NguyenTrongLuat
- Ta phaûi tuaân theo moät soá qui taéc khi xaây döïng moät
khoái SM.
* Vôùi moïi keát hôïp caùc bieán vaøo hôïp leä phaûi coù
chính xaùc moät ñöôøng ra ñöôïc ñònh nghóa. Ñieàu naøy laø caàn
thieát vì moãi toå hôïp vaøo ñöôïc cho pheùp phaûi daãn ñeán moät
traïng thaùi keá duy nhaát.
* Khoâng cho pheùp coù ñöôøng hoài tieáp noäi trong moät
khoái SM.

NguyenTrongLuat
1/0 1/0
1/Z2
S0 00 = AB S0 S1 S2
Za Za 0/0 Zb Zc
0/0

0 1 0/Z1
X
S1 01
Zb

0 1
X
S2 11
Zc

0 1
X

Z1 Z2

NguyenTrongLuat
Giản đồ định thì
Clock

State S0 S1 S2 S2 S0 S0
S0
Za X
Za
0 1 Zb
X
S1 Zc
Zb Z1
Z2
0 1
X
S2
Zc

0 1
X

Z1 Z2

NguyenTrongLuat
AØI ÑAËT LÖU ÑOÀ MAÙY TRAÏNG THAÙI:
- Vieäc caøi ñaët (realization) löu ñoà SM laø tìm ñöôïc phöông
trình cuûa caùc bieán ra vaø caùc bieán traïng thaùi keá tieáp.
- Caùc böôùc thöïc hieän nhö sau:

* Thöïc hieän gaùn traïng thaùi cho caùc hoäp traïng thaùi.
* Xaùc ñònh phöông trình cuûa bieán ra Zi
- Tìm caùc traïng thaùi coù xuaát hieän bieán ra (Zi = 1)
- Nếu laø bieán MOORE thì ta ñöôïc tích soá (AND)
cuûa caùc bieán traïng thaùi; coøn neáu laø bieán MEALY thì
ta coù tích soá cuûa caùc bieán traïng thaùi vaø bieán ñieàu
kieän vaøo.
- Phöông trình cuûa bieán ra baèng toång (OR) caùc
tích soá ñaõ tìm thaáy ôû caùc böôùc treân laïi vôùi nhau.
NguyenTrongLuat
* Gaùn traïng thaùi:
S0 00 = AB S0: AB = 00; S1: AB = 01 vaø S2: AB = 11
Za
* Phöông trình cuûa caùc bieán ra:
0
X
1 Za = A B
S1 01 Zb = A B
Zb Zc = A B

1
Z1 = A B X
0
X
Z2 = A B X
S2 11
Zc

0 1
X

Z1 Z2

NguyenTrongLuat
* Xaùc ñònh phöông trình caùc bieán traïng thaùi keá Q+j
- Tìm ra taát caû caùc traïng thaùi trong ñoù
Qj =1
- Taïi moãi traïng thaùi naøy, tìm taát caû caùc ñöôøng daãn
lieân keát (link path) maø daãn vaøo traïng thaùi ñoù.

- Vôùi moãi ñöôøng daãn lieân keát naøy, tìm ra moät soá
haïng laø 1 khi ñi theo ñöôøng daãn lieân keát naøy. Nghóa
laø, vôùi ñöôøng daãn lieân keát töø Sa ñeán Sb, soá haïng seõ
laø 1 tích soá cuûa caùc bieán traïng thaùi ôû traïng thaùi Sa
vaø caùc bieán ñieàu kieän ñeå coù theå daãn ñeán Sb.
- Bieåu thöùc Q+j ñöôïc taïo thaønh baèng caùch laáy toång
(OR) caùc tích soá ñöôïc tìm thaáy ôû böôùc treân laïi vôùi
nhau

NguyenTrongLuat
* Phöông trình caùc bieán traïng thaùi keá
S0 00 = AB
A+ = A B X + A B X
Za (S1 S2) (S2 S2)

0
X
1 B+ = A B X + A B X + A B X
(S1 S2) (S2 S2) (S0 S1)
S1 01
Zb

0 1
X
S2 11
Zc

0 1
X

Z1 Z2

NguyenTrongLuat

You might also like