You are on page 1of 109

Javna ustanova Mjeovita srednja elektrotehnika kola Tuzla

Sejfudin Agi

LINEARNA
ELEKTRONIKA

za III razred
elektrotehnike
kole
-skriptaTuzla, septembar/rujan 2005

SADRAJ
I. POGLAVLJE: UVOD U LINEARNU ELEKTRONIKU
1. UVOD
II. POGLAVLJE: PARAMETRI I EKVIVALENTNE EME TRANZISTORA
2. PARAMETRI I EKVIVALENTNE EME TRANZISTORA
2.1. LINEARNI REIM RADA TRANZISTORA
2.2 REALNI PARAMETRI TRANZISTORA
2.3. PARAZITNI KAPACITETI
2.4 GRANINA FREKVENCIJA
2.4.1. Spoj zajednika baza
2.4.2. Spoj zejedniki emitor
2.5. TRANZISTOR KAO ETVOROPOL
2.5.1. z-parametri
2.5.2. y-parametri
2.5.3. h-parametri
2.5.4. Oznaavanje parametara
2.5.5. Izraunavanje h-parametara iz statikih karakteristika
2.5.6. Statiki parametri tranzistora
2.5.7. Praktine ekvivalentne eme
III POGLAVLJE: FET FIELD EFFECT TRANSISTOR
3. FET FIELD EFFECT TRANSISTOR
3.1. PRINCIP RADA I STATIKE KARAKTERISTIKE FET-a
3.2. REALNI PARAMETRI FETA
3.3. KAPACITIVNI PARAMETRI FETA
3.4. EKVIVALENTNA EMA FETA
3.5. PROBOJ FETA
IV POGLAVLJE: MOSFET METAL OXIDE SEMICONDUCTOR FET
4. MOSFET METAL OXIDE SEMICONDUCTOR FET
4.1 PRINCIP RADA I STATIKE KARAKTERISTIKE
4.1.1. Mosfet sa P i N kanalom
4.2. REALNI PARAMETRI MOSFETA
V POGLAVLJE: OSNOVNI POJMOVI O POJAAVAIMA
5. OSNOVNI POJMOVI O POJAAVAIMA
5.1. POJAANJE SNAGE
5.2. ULAZNI I IZLAZNI OTPOR POJAAVAA
5.3. POJAANJE NAPONA I STRUJE
5.4. KASKADNA SPREGA POJAAVAA
5.5. LINEARNA IZOBLIENJA
5.6. NELINEARNA HARMONIJSKA IZOBLIENJA
5.7.VRSTE POJAAVAA
5.7.1. Pojaavai A, B, AB i C klase
VI POGLAVLJE: ISTOSMJERNI REIM RADA POJAAVAKIH ELEMENATA
6. ISTOSMJERNI REIM RADA POJAAVAKIH ELEMENATA
6.1. OSNOVNA POJAAVAKA KOLA I GRANICE RADA
6.1.1. Pojaava sa fetom
6.1.2. Pojaavako kolo sa tranzistorom
6.2. RADNA TAKA I RADNA PRAVA
6.2.1. Radna taka i radna prava feta
6.2.2. Radna taka i prava tranzistora
6.1.3. Prenosna karakteristika pojaavaa
6.1.4. Statika i dinamika radna prava
6.3. ISTOSMJERNI REIM RADA FETA
6.3.1. Jednostepeni pojaava sa fetom
6.4. ISTOSMJERNI REIM RADA MOSFETA
6.5. ISTOSMJERNI REIM RADA TRANZISTORA
6.6. STABILIZIRANJE RADNE TAKE TRANZISTORA
6.6.1. Stabiliziranje radne take tranzistora naponskom povratnom spregom
6.6.2. Stabiliziranje radne take strujnom povratnom spregom
6.6.3. Stabiliziranje radne take diodama
6.6.4. Stabiliziranje radne take termistorom temperaturno zavisnim otporom
VII POGLAVLJE POJAAVAI SA KAPACITIVNOM SPREGOM RC POJAAVAI
7. POJAAVAI SA KAPACITIVNOM SPREGOM RC POJAAVAI
7.1. POJAAVA SA UZEMLJENIM SORSOM

3.
4.
5.
6.
6.
7.
7.
10.
10.
11.
11.
12.
12.
13.
14.
14.
15.
15.
18.
19.
19.
22.
22.
22.
23.
24.
25.
25.
27.
28.
29.
30.
30.
30.
30.
32.
32.
33.
34.
34.
36.
37.
37.
37.
37.
38.
38.
39.
40.
41.
42.
43.
44.
44.
45.
45.
47.
48.
49.
50.
51.
51.

7.1.1. Pojaanje na srednjim frekvencijama


7.1.2. Pojaava sa fetom na niskim frekvencijama
7.1.3. Pojaava sa fetom na visokim frekvencijama
7.2. POJAAVA SA UZEMLJENIM DREJNOM
7.2.1. Pojaanje na srednjim frekvencijama
7.2.3. Pojaanje na visokim frekvencijama
7.3. UTICAJ KAPACITETA U KOLU SORSA NA AMPLITUDSKA IZOBLIENJA
7.4. TRANZISTORSKI POJAAVA SA UZEMLJENIM EMITOROM
7.4.1. Pojaanje na srednjim frekvencijama
7.4.2. Pojaanje na niskim frekvencijama
7.4.3. Pojaanje na visokim frekvencijama
7.5. TRANZISTORSKI POJAAVA SA UZEMLJENIM KOLEKTOROM
7.5.1. Naponsko pojaanje na srednjim frekvencijama
7.5.2. Naponsko pojaanje na niskim frekvencijama
7.5.3. Naponsko pojaanje na visokim frekvencijama
7.6. TRANZISTORSKI POJAAVA SA UZEMLJENOM BAZOM
VIII POGLAVLJE: POJAAVAI SA DIREKTNOM SPREGOM
8. POJAAVAI SA DIREKTNOM SPREGOM
8.1. DARLINGTONOVA SPREGA TRANZISTORA
8.2. KASKADNA SPREGA POJAAVAA
8.3. KASKODNI POJAAVAI
8.4. POJAAVAI SA AKTIVNIM OPTEREENJEM
8.4.1. FET umjesto RC
8.4.2. Izvor konstantne struje umjesto RC
8.4.3. Aktivno optereenje mosfet pojaavaa
8.5. DIFERENCIJALNI POJAAVA
8.5.1. DP sa otporom umjesto izvora konstantne struje
8.5.2. Izvedba diferencijalnog pojaavaa
8.6. POMJERAI NAPONSKOG NIVOA
IX POGLAVLJE: NISKOFREKVENTNI POJAAVAI SNAGE
9. NISKOFREKVENTNI POJAAVAI SNAGE
9.1. POJAAVAI KLASE A
9.1.1. Pojaava klase A sa neposrednim otpornim optereenjem
9.1.2. Pojaava klase A sa transformatorom
9.1.3. Izoblienja u pojaavau klase A
9.1.4. Simetrini pojaava klase A
9.2. POJAAVA KLASE B
9.2.1. Princip rada pojaavaa u B klasi
9.2.2. Izlazna snaga i stepen korisnog dejstva
9.3. DIREKTNO SPREGNUTI STEPENI SA KOMPLEMENTARNIM TRANZISTORIMA
X POGLAVLJE: SELEKTIVNI POJAAVAI
10. SELEKTIVNI POJAAVAI
10.1. SELEKTIVNI POJAAVA SA FETOM I JEDNIM OSCILATORNIM KOLOM
10.2. POJAAVA SA TRANZISTOROM I JEDNIM OSCILATORNIM KOLOM
10.3.UTICAJ POVRATNOG KAPACITETA NA RAD SELEKTIVNOG POJAAVAA
10.4. PRIMJENA VIE OSCILATORNIH KOLA
10.5. NEUTRALIZACIJA
XI POGLAVLJE: POVRATNA SPREGA KOD POJAAVAA
11. POVRATNA SPREGA KOD POJAAVAA
11.1. PRINCIP POVRATNE SPREGE
11.2. UTICAJ NA STABILNOST POJAANJA
11.3. UTICAJ NA GRANINE FREKVENCIJE I IRINU PROPUSNOG OPSEGA
11.4. UTICAJ NA IZOBLIENJA I SMETNJE
11.5. LOKALNA I TOTALNA POVRATNA SPREGA
12. LITERATURA

52.
53.
54.
55.
55.
56.
56.
58.
58.
59.
59.
59.
60.
61.
61.
61.
63.
64.
64.
65.
66.
67.
67.
67.
68.
68.
69.
70.
70.
73.
74.
74.
74.
75.
76.
77.
79.
79.
81.
82.
85.
86.
86.
87.
88.
89.
89.
91.
92.
92.
94.
94.
95.
96.
98.

Uvod u
linearnu elektroniku

poglavlje

Direktno spregnuti pojaav klase B (AB)


sa jednim izvorom za napajanjem i Darlingtonovom spregom

UVOD

1. UVOD
Prvobitno znaenje rijei elektronika odnosilo se na
oblast fizike u kojoj su se prouavale fizike pojave u
vezi sa kretanjem elektrona, i to prvenstveno u vezi sa
kretanjem elektrona u vakuumu i gasovima, a kasnije
sa kretanjem elektrona i u metalima.

tranzistora, ukazala je na mogunost izrade itavog


kola na jednoj silicijumskoj ploici jer su se pored
tranzistrora mogli realizirati i diode, kondenzatori i
otpornici.

Za vrijeme drugog svjetskog rata, elektronikom je


poela da se naziva i oblast primjene elektronskih
cijevi, elektronskih kola.
Danas elektronika prouava pojave i u elementima
elektronskih kola i u samim elektronskim kolima.
Poetak datira od polovine XIX vijeka sa prvim
prouavanjima poluprovodnika.

Slika 1.5 FET BS 208 (200V 0,2 A) TO-92

Slika 1.1 Vanjski izgled poluprovodnike diode

Slika 1.6. MOSFET BSS 89 (200V 0,3A) TO-92

Slika 1.2 Dioda Fiber Opto SPR SFH 350

Danas se elektronika primjenjuje ne samo u svim


tehnikim disciplinama, ve je u ivotu susreemo na
svakom koraku. Razvijajui se sama i nalazei primjenu
u nauci i tehnici, elektronika je doprinijela razvoju
nauke i tehnike uopte.

Poetkom XX vijeka pronaena je elektronska cijev


trioda, kao prvi aktivni element, tj. element koji je
mogao pojaati signal. Polovinom XIX vijeka se pojavio
takasti tranzistor i otpoela masovna proizvodnja i
upotreba tranzistora u elektronskim kolima.

Slika 1.7 IC Eprom 27 C 256 DIP 28


Slika 1.3. Bipolarnih tranzistor BC 160/16 (PNP 40V 1A)

Na alost, a zahvaljujui zahtjevima armije i vasionskih


istraivanja, elektronika se naglo razvijala prema
kritetijumima: to manje dimanzije, to manja teina i
to je mogue pouzdaniji rad elektronskih sistema.

Poto nije napisan prihvatljiv udbenik za predmet


Elektronika za III razred ova skripta predstavlja skromni
doprinos autora da prevaziu taj problem i omogui
uenicima i svim zainteresiranim lake praenje i
savlaivanje nastave iz ovog predmeta.
Na izradi skripte i pripremi materijala doprinos su dali
uenici treeg razreda: Adin Hadiosmanovi, Edis
Malkoevi, Muhamed Heri, Jasmin Mei, Mario
Marjanovi, Muamer Halilevi. Jasmin Dedi, Emir
Huseinovi, Mirza Beria, Adnan Ibrahimovi i Nedim
Hodi

Slika 1.4 Bipolarni tranzistor za vee snage

Proizvodnja planarnih tranzistora, pri kojoj se na jednoj


ploici silicijuma istovremeno pravi veliki broj

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Skripta je napisana prema Nastavnom planu predmeta


Elektronika za III razred i odlukom Nastavnikog vijea
Elektrotehnike kole u Tuzli dozvoljena za internu
upotrebu.

Parametri i ekvivalentne
eme tranzistora
poglavlje

U1=h11I1+h12U2
I2=h21I1+h22U2

Ekvivalentna ema tranzistora sa h-parametrima

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

PARAMETRI I EKVIVALENTNE EME TRANZISTORA

2. PARAMETRI I EKVIVALENTNE EME TRANZISTORA


Do sada je trebalo da smo analizirali statiki reim rada
tranzistora, to jest odnos istosmjernih napona na
tranzistoru i istosmjernih struja kroz tranzistor. U ovom
poglavlju emo analizirati dinamiki reim rada,
odnosno
ponaanje
tranzistora
pri
promjeni
istosmjernog napona ili struje uzrokovanog promjenog
ulaznog napona. Ova promjena moe biti i periodina,
pa pored istosmjerne komponente imamo i izmjeninu
komponentu napona, odnosno struje ili uzrokovana
nekim drugim talasnim oblikom obino signalom koji je
ekvivalentan ljudskom govoru.

a na emi prostog tranzistornog kola

2.1. LINEARNI REIM


RADA TRANZISTORA
U teoriji smo do sada posmatrali tranzistor kao
nelinearni element s obzirom na njegove statike
karakteristirke, ali u ovom poglavlju smatraemo ga
linearnim u odnosu na izmjenini signal. Da bismo ga
mogli smatrati linearnim, izmjenini signal mora da ima
malu amplitudu. Na slici 2.2a vidi se da, ako se taka
na karakteristici koja nam odreuje napon i struju
pomjera korak po karakteristici od take B do take C,
karakteristiku u tom podruju moemo aproksimirati
pravom. To je tangenta u taki A. Taku A nazivamo:
radna taka. Ona je odreena istosmjernom strujom i
istosmjernim naponom. U naem sluaju, to su
emitorski istosmjerni napon i emitorska istosmjerna
struja. Na slici 2.2a vidi se da se sjeica koja prolazi
kroz take B i C poklapa sa tangentom u A. Ovo
poklapanje je utoliko bolje ukoliko je amplituda
izmjeninog signala manja. Pored toga, vidi se i da su
promjene struje i napona simetrine |+DI|=|-DI|,
|+DU|=|-DU|).

b na dijagramu kolektorskog napona i


Slika 2.2a. Linearna karakteristika u podruju male promjene
napona i struje, tangenta i sjeica se poklapaju,
b-u podruju velikih promjena napona i struja (veliki signal)
sjeica i tangenta se ne poklapaju

c na dijagramu kolektorske struje


Slika 2.1. Oznaavanje struje i napona

Na slici 2.1a data je ema veze tranzistora. Na emi su


oznaeni naponi napajanja kolektora UCC i baze UBB,
napon pobudnog generatora Ub i istosmjerne i
izmjenine komponente ulaznih i izlaznih napona i
struja.
Na slici 2.1b grafiki je prikazan kolektorski napon, a na
slici 2.1c kolektorska struja. Malo slovo oznaava
trenutnu vrijednost, a veliko istosmjernu veliinu,
efektivnu vrijednost izmjenine veliine i amplitudu
izmjenine veliine. Malo slovo u indeksu oznaava da
se radio o izmjeninoj, a veliko da je istosmjernoj
veliini.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Dovedemo li na ulaz istog tranzistora veliki signal (slika


2.2b), kod koga je |+DI|=|-DI|, promjene napona nee
biti simetrine. Vidi se da je |+DU||-DU|. Sjeica kroz
take B i C vie se ne poklapa sa tangentom u A. Za
toliki signal ulaz tranzistora ne moemo smatrati
linearnim.
U oblasti karakteristike, u kojoj statiku karakteristiku
moemo aproksimirati pravom, vrijedi:
DUE = re DIE
ili za izmjenini signal:
Ue = re Ie
Dakle, napon je proporcionalan struji. Koeficijenat
proporcionalnosti dvije veliine (u ovom sluaju napona
i struje) nazvaemo parametar tranzistora.
Prilikom definiranja parametara, mora se naznaiti za
koju radnu taku se odreuju. Na slici 2.3 vidi se da se

PARAMETRI I EKVIVALENTNE EME TRANZISTORA

parametri definirani za razne radne take (A1 i A2)


meusobno razlikuju (DU1/DI1 razlikuju se od DU2/DI2).

model kome smo dodali serijske i paralelne otpore


poluprovodnika.

Slika 2.5 T ekvivalentna ema tranzistora

Ova ekvivalentna ema se moe uprostiti, jer je struja


inverznog polariziranja emitora IR=0.
Slika 2.3 Parametar DU/DI zavisi od poloaja radne take

2.2 REALNI PARAMETRI TRANZISTORA


Realni parametri su oni koji ne dovode do faznog
pomjeranja izmeu veliina iji odnos oni odreuju.
Kvantitativna analiza pojava u tranzistoru koja bi
obuhvatila sve pojave koje se deavaju je praktino
neostvariva. Meutim, moemo posmatrati fizike
procese koji se deavaju i prema tome realizirati
ekvivalentne eme koje e opisivati takve pojave. Tako
moemo, u idealiziranom sluaju, zanemarivi otpore
poluprovodnika baze, emitora i kolektora nacrtati
ekvivalentnu emu kao na slici 2.4.
Na slici su predstavljene struje direktne IF i inverzne
polarizacije IR, kao i zajednika predstava procesa, tzv.
Ebers-Molov model tranzistora.

Osim toga, poto je kolektorski spoj invezno polariziran


moemo izostaviti diodu iz kolektorskog kola i umjesto
nje staviti otpornik rc (slika 2.6a). Serijski otpor emitera
ree smo zanemarili, jer je on kod svih tranzistora vrlo
mali.
Na ulaz tranzistora je doveden istosmjerni napon U EB.
Pod uticajem ovog napona, potei e emitorska struja
Ie, a na kolektoru e biti i izmjenini napon Ucb. Ako su
izmjenine komponente male, moemo smatrati da su
zavisnosti izmeu izmjeninih struja i napona linearne.
Diodu u emitorskom kolu moemo zamjeniti sa otporom
(diferencijalnim otporom diode). Kako nam istosmjerne
veliine odreuju radnu taku i ostaju konstantne,
moemo ih izostaviti iz dalje analize. Na slici 2.6b
nacrtana je ekvivalentna ema tranzistora za izmjenini
signal.

Slika 2.6 T - ekvivalentna ema tranzistora:


a za velike signale, b za male signale
a za direktno polariziranje,
b za inverzno polariziranje i
c za sva polariziranja (Ebers Molov model tranzistroa)
Slika 2.4 Ekvivalentne eme tranzistora

U aktivnom podruju rada tranzistora, emitorski spoj je


direktno, a kolektorski spoj inverzno polariziran.
Ekvivalentna ema tranzistora u kojoj su prikazani
serijski i paralelni otpori poluprovodnika kao i struje
direktnog polariziranja emitorskog PN spoja - IF i
inverznog polariziranja kolektorskog PN spoja IR je
prikazana na slici 2.5. To je, u stvari, Ebers-Molov

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Emitorski otpor re je diferencijalni otpor emitorskog


spoja iznosi:
U
re = T 1
IE
Kao to se vidi, ovaj otpor zavisi od emitorske struje
(slika 2.7).
1
Napon UT=kT/q (k-Bolcmanova konstanta, q-koliina
naelektrisanja, T-temperatura) se naziva termiki napon.
To je potencijalna razlika koja moe zaustaviti naelektrisanu
esticu sa prosjenom termikom kinetikom energijom. Na
sobnoj temperaturi UT=0,025V.

PARAMETRI I EKVIVALENTNE EME TRANZISTORA

Slika 2.7. Zavisnost emitorskog otpora od emitorske struje

a zavisnost od kolektorske struje

b zavisnost od kolektorskog napona


Slika 2.8 Bazni popreni rbb i kolektorski serijski rcc otpori

Slika 2.9 Zavisnost kolektorskog otpora

Bazni otpor rbb je popreni otpor baze, tj. otpor od


kontakta baze do emitorskog spoja. Ovaj otpor
moemo podjeliti na dva dijela: pri dio rbb1 od kontakta
baze do ivice emitorskog PN spoja (slika 2.8) i drugi dio
ispod emitorskog PN spoja rbb2. Prvi dio ne zavisi od
uslova rada tranzisora, a drugi zavisi. Naime, pod
uticajem kolektorskog napona baza se suava, popreni
presjek se smanjuje, pa se otpor sa porastom
kolektorskog napona poveava. Prilikom proticanja
kolektorske struje u bazi se nagomilavaju nosioci,
uslijed ega se njena otpornost smanjuje, pa se i bazni
otpor smanjuje.

Ostaje da neto kaemo i o faktoru strujnog pojaanja.


Na niskim frekvencijama i on je realan parametar. U
dosadanjem izlaganju pretpostavljali smo da faktor
strujnog pojaanje ne zavisi od napona i struje. Samim
tim to kolektorska struja, pri konstantnoj emitorskoj ili
baznoj struji, zavisi od kolektorskog napona, zavisie od
njega faktor strujnog pojaanja. Kako struja sa
naponom raste, to e rasti i faktor strujnog pojaanja.
Porast faktora strujnog pojaanja nastaje uslijed toga
to se baza smanjuje, manjinskim nosiocima treba
krae vrijeme da prou kroz bazu, pa e ih se manje u
bazi rekombinirati.

Kolektorski serijski otpor rcc je otpor kolektorske oblasti


od kolektorskog kontakta do kolektorskog spoja (slika
2.8). Ovaj otpor ne zavisi od kolektorske struje, ali
zavisi
od
kolektorskog
napona.
Poveanjem
kolektorskog napona, poveava se i kolektorska
prelazna oblast, pa ako se prelazna oblast iri na raun
kolektorske oblasti, kolektorska oblast e se smanjivati,
te e se smanjivati i kolektorski serijski otpor. Ukoliko je
koncentracija primjesa u kolektoru velika, a debljina
kolektorske oblasti mnogo vea od kolektorske prelazne
oblasti, promjena serijskog otpora uslijed promjene
kolektorskog napona je zanemarivo mala.

Faktor strujnog pojaanja e zavisiti od kolektorske


struje. Pri velikim strujama, uslijed poveane
koncentracije nosilaca u bazi (poveanje provodnosti)
smanjuje se koeficijent injekcije, pa se smanjuje i
faktor strujnog pojaanja. Pri malim strujama, uslijed
generativnog rekombiniranja struje, koju smo
zanemarivali, a koja poveava samo baznu struju,
faktor strujnog pojaanja e se smanjivati sa
smanjenjem kolektorske struje.

Kolektorski otpor rc ima dvije komponente, rc' i rC'',


vezane paralelno. Prva potie od toga to se
kolektorskim naponom mijenja irina baze, a druga je
otpor inverzno polariziranog kolektorskog spoja. Ovaj
drugi otpor je vrlo veliki i iznosi nekoliko MW.
rc opada sa kolektorskom strujom, a raste sa
kolektorskim naponom. Na slici 2.9a data je zavisnost
kolektorskog otpora od kolektorske struje, a na slici
2.9b zavisnost kolektorskog otpora od kolektorskog
napona.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

2.3. PARAZITNI KAPACITETI


Svaki PN spoj ima barijerni kapacitet, koji zavisi od toga
da li je izvreno obogaivanje primjesama PN spoja
izvreno skokovitom raspodjelom koncentracije ili
linearnom raspodjelom koncentracija.
Tako se barijerni kapacitet skokovitog spoja moe
izraunati kao:
S
C=e
d
gdje je: d ukupna irina barijerne oblasti d=dp+dn

PARAMETRI I EKVIVALENTNE EME TRANZISTORA

Barijerni kapacitet skokovitog spoja


kvadratnog korjena napona barijere

je

funkcija

Kod linearne promjene prelaenja sa P na N tip


poluprovodnika je postepeno pa se koncentracija
primjesa mijenja linearno, pri emu je dn=dp=1/2d.
Barijerni kapacitet linearnog spoja funcija je kubnog
korjena iz napona barijere.
Prema tome, kod tranzistora postoje emitorski i
kolektorski barijerni kapaciteti:
Cej -barijerni kapacitet emitorskog spoja ili emitorski
barijerni kapacitet,
Ccj -barijerni kapacitet kolektorskog spoja ili kolektorski
barijerni kapacitet.
Treba napomenuti da je emitorski barijerni kapacitet pri
direktnom polariziranju emitorskog spoja, a kolektorski
pri inverznom polariziranju kolekorskog spoja. Oba ova
kapaciteta zavise od napona. Sa poveanjem direktnog
napona smanjuje se barijera, te kapacitet raste. Sa
porastom inverznog napona kapacitet se smanjuje.
Emitorski spoj je direktno polariziran. U bazi postoje
nagomilani nosioci, prema tome postoji i difuzioni
kapacitet. Vidjeli smo da se pri direktnom polariziranju
poveava koncentracija manjinskih nosilaca. U stvari,
manjinski nosioci se nagomilavaju sve dotle dok se
izmeu injekcije i rekombinacija na uspostavi ravnotea
stanja. Promjenimo li napon, promjenie se i koliina
nagomilanih nosilaca. Kako su ovi nosioci naelektrisani,
promjenom koliine nagomilanih nosilaca mijenja se i
koliina elektriciteta, to znai da se promjenom
napona mijenja i koliina elektriciteta. Pojava je
analogna punjenju i pranjenju kondenzatora pa je
nazivamo difuzioni kapacitet2

b zavisnost Cei od kolektorskog napona


Slika 2.10 Emitorski difuzioni kapaciteta

Na slici 2.10 data je zavisnost emitorskog difuzionog


kapaciteta od struje i napona. Emitorski difuzioni
kapacitet linearno raste sa strujom. To je i razumljivo,
jer porastom struje raste i koliina nagomilanih nosilaca
u bazi.
Sa porastom kolektorskog napona smanjuje se irina
baze, pa e se smanjivati i koliina nagomilanih
nosilaca, a to znai da e se sa porastom kolektorskog
napona smanjivati emitorski difuzioni kapacitet.
Inverzno polarizirana dioda nema difuzioni kapacitet jer
nema nagomilanih nosilaca. Kolektorski spoj je inverzno
polariziran. Meutim, u bazi ima nagomilanih nosilaca.
Poveanjem kolektorskog napona smanjuje se baza, pa
se smanjuje i koliina nagomilanih nosilaca u njoj.
Na slici 2.11 prikazana je raspodjela nosilaca u bazi.

Ukupni emitorski kapacitet jednak je zbiru emitorskog


barijernog Cej i emitorskog difuzionog kapaciteta Ced:
Ce=Cej + Ced

Slika 2.11 Promjenom kolektorskog napona mijenja se koliina


elektriciteta u bazi, te postoji kolektorski difuzioni kapacitet

Na slici 2.12 date su zavisnosti kolektorskog difuzionog


kapaciteta od kolektorske struje i kolektorskog napona.
Kao to se vidi, ta zavisnost odgovara zavisnosti
emitorskog difuzionog kapaciteta od istih veliina.
a zavisnost Cei od emitorske struje

Dok je barijerni kapacitet po prirodi identian kapacitetu


kondenzatora sa oblogama, ova vrsta kapaciteta je samo
spolja posmatrano slina. U ovom sluaju i pozitivan i
negativan elektricitet se nagomilavaju na istom mjestu, tj. i
upljine i elektroni se nagomilavaju u bazi sa iste strane
dielektrika.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Ukupni kolektorski kapacitet jednak je zbiru


kolektorskog barijernog Ccj o kolektorskog difuzionog
Ccd kapaciteta.
Cc=Ccj + Ccd

10

PARAMETRI I EKVIVALENTNE EME TRANZISTORA

sadri dvije komponente, realnu Ier koja protie kroz


emitorski otpor re i imaginarnu Iec koja protie kroz
emitorski kapacitet Ce. (slika 2.14). Zanemarimo li
struju elektrona, prva komponenta prestavlja struju
upljina koja svojim veim dijelom pristie do kolektora.
Druga predstavlja struju punjenja i pranjenja
barijernog i difuzionog kapaciteta.

a - zavisnost Ccj od kolektorske struje

Slika 2.14. Komponente emitorske struje


(uz objanjenje granine frekvencije)

b - zavisnost Ccj od kolektorskog napona


Slika 2.12. Kolektorski difuzioni kapaciteta

Na slici 2.13 data je kompletna ekvivalentna ema


tranzistora za male izmjenine signale. Emitorski
kapacitet Ce vezan je paralelno emitorskom otporu re a
kolektorski paralelno kolektorskom otporu r c i izvoru
struje aIe. Ovu emu moemo koristiti i na viim
frakvencijama. Potrebno je jo vidjeti ta je sa faktorom
strujnog pojaanja na visokim frekvencijama.

Dok prva komponenta potie od nosilaca koji prolaze


kroz emitorski spoj i vie se ne vraaju nazad, bilo da
nosioci preu kolektorski spoj, bilo da rekombiniraju, u
bazi, dotle se nosioci koji su mijenjali irinu barijere
(punili i praznili barijerni kapacitet), ili su sluili za
promjenu koliine nagomilanih nosilaca (punili i praznili
difuzioni kapacitet), vraaju u generator kada se
promjeni polaritet izmjenine komponente emitorskog
napona. Prema tome, kolektorska struja proporcionalna
je struji kroz emitorski otpor. Ova struja je jednaka
emitorskoj struji na niskim frekvencijama pri kojima se
struja kroz emitorski kapacitet moe zanemariti.
Obiljeimo li sa a0 faktor strujnog pojaanja, baznog
spoja, pri niskim frekvencijama, slijedi:
I
a0 = c
I er
Na bilo kojoj vioj frekvenciji zbog proticanja struje kroz
kondenzator imamo:
I
a 0 I er
a= c =
.
Ie
I er + I ec
Prema slici 2.14 je:
I er =

Slika 2.13. Ekvivalentna ema tranzistora u baznoj vezi


na visokim frekvencijama (T - ekvivalentna ema)

2.4 GRANINA FREKVENCIJA


Uslijed kapacitivnih efekata u tranzistoru, rad
tranizistora e zavisiti od frekvencije. Najprije emo
ispitati uticaj frekvencije na faktor strujnog pojaanja.

2.4.1. Spoj zajednika baza


Poznato je za baznu vezu (spoj ZB) da faktor strujnog
pojaanja a iznosi:
I
a= c
Ie
Pod uticajem napona na emitorskom spoju proticat e
emitorska struja Ie. Ova struja je kompleksna veliina i

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Ie
,
1 + jwC e re

pa je faktor stujnog pojaanja:


a0
a=
.
1 + jwC c re
Stavljajui da je vremenska konstanta:
1
re C e =
,
wa
faktor strujnog pojaanja je onda:
a0
a0
.
a=
ili a =
w
f
1+ j
1+ j
wa
fa
Veliina fa naziva se granina frekvencija, a wa kruna granina frekvencija tranzistora u baznoj vezi.
To su frekvencije pri kojima faktor strujnog pojaanja a
po svojoj apsolutnoj vrijednosti opadne za 3 dB,
odnosno za 1/2 0,707 (70,7%) puta. Na graninoj
frekvenciji kada je f=fa, bie a=a0/2.

11

PARAMETRI I EKVIVALENTNE EME TRANZISTORA

Kako je u germanijumu i silicijumu difuziona konstanta


elektrona za oko dva puta vea od difuzione konstante
upljina, to pri istim ostalim uslovima NPN tranzistori
imaju veu graninu frekvenciju od odgovarajuih PNP
tranzistora. Isto tako, poto Ge ima veu difuzionu
konstantu od Si, pod istim pod istim ostalim uslovima
Ge tranzistori imaju viu graninu frekvenciju od
odgovarajuih Si tranzistora.
Treba naglasiti da je pri normalnom radu tranzistora
vremenska konstanta emitora mnogo manja od
vremenske konstante baze. Vremenska konstanta
emitora dolazi do izraaja jedino pri vrlo maloj
emitorskoj struji.

2.4.2. Spoj zajedniki emitor


Poznato je, takoer, da za emitorsku vezu faktor
strujnog pojaanja b iznosi:
I
b= c
Ib
Faktor strujnog pojaanja za emitorsku vezu takoe
zavisi od frekvencije. Da bismo nali zavisnost faktora
strujnog pojaanja za emitorsku vezu od frekvencije,
krenimo od veze izmeu faktora strujnog pojaanja u
spoju zajedniki emitor - b i zajednika baza - a.

izmeu napona na njegovim krajevima i struja koje


protiu kroz te krajeve. Ukoliko su zavisnosti izmeu
struja i napona linearne, potrebno je poznavati
parametre etvoropola/etvorokrajnika.
etvoropol ima dva ulazna i dva izlazna kraja (slika
2.15). Na ulazu su napon U1 i struja I1, a na izlazu
napon U2 i struja I2. Od ove etiri veliine dvije bilo koje
veliine mogu se izabrati za nezavisno promjenljive.
Druge dvije su tada zavisno promjenljive. Ovo se moe
dobiti pomou 6 kombinacija u kojima su U1 i U2, I1 i I2,
I1 i U2 nezavisno promjenljive. Parametri etvoropola u
prvom sluaju e biti admitansa, u drugom sluaju
impedanse, a u treem sluaju bie hibridni parametri
(jedan impedansa, jedan admitanca, a dva
neimenovani brojevi).
Tranzistor ima tri kraja, ali se moe analizirati kao
etvoropol, uzevi da jedan kraj bude istovremeno i u
ulaznom i u izlaznom kolu (slika 2.15).

ETVOROPOL

Iz slijedeih relacija dolazimo do jednaine veze:


Slika 2.15 etvoropol ima dva ulazna i dva izlazna kraja

I
I
Ie = Ic + Ib , b = c , a = c ,
Ib
Ie

koja glasi:
b=

a
,
1-a

Sad je:
a
b=
=
1-a

a0
1 - a0
b0
.
=
f
f
1+ j
1+ j
(1 - a0 )fa
fb

a emitorska veza (spoj ZE)

Veliina:
fb = (1 - a 0 )f a =

fa
,
1 + b0

je granina frekvencija tranzistora u emitorskoj vezi.


Kao to se vidi, granina frekvencija tranzistora u
emitorskoj vezi fb je mnogo manja od granine
frekvencije tranzistora u baznoj vezi fa.

b bazna veza (spoj ZB)

Frekvencija na kojoj se faktor strujnog pojaanja


izjednai sa jedinicom naziva se granina frekvencija
f1.

2.5. TRANZISTOR KAO ETVOROPOL3


Elektrino kolo je mogue prouavati i ako se izvjestan
njegov elemenat nedovoljno poznaje. Ne moramo znati
na kom principu radi, ne moramo znati ni ta je taj
elemenat, pa ni to da li je elemenat prost ili je sloen
od vie prostih elemenata. Potrebno je da znamo
izvjesne njegove osobine, odnosno da znamo zavisnost,
3

U literaturi se moe sresti naziv - etvorokrajnik

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

c kolektorska veza (spoj ZC)


Slika 2.16 Tranzistor kao etvoropol

Analiza tranzistorskih kola sa bilo kojom vezom


tranzistora je ista ako se tranzistor tretira kao
etvoropol. Jedino u konane jednaine treba unijeti
odgovarajue parametre (slika 2.16).
Za analizu rada tranzistora na visokim frekvencijama
najvie se koriste y-parametri, a na niim frekvencijama

12

PARAMETRI I EKVIVALENTNE EME TRANZISTORA

h-parametri, dok se z-parametri rijetko koriste. Ipak


radi prostije i razumljivije analize krenut emo od
jednaina sa z-parametrima.
U novije vrijeme se ponovo koristi T ekvivalentna ema,
koju smo izveli iz Ebers-Molovog modela.

2.5.1. z-parametri
Definiramo li zavisnog ulaznog i izlaznog napona od
ulazne i izlazne struje, parametri e imati prirodu
impedansi:
U1=z11I1+z12I2,
U2=z21I1+z22I2.

Da bismo vidjeli ta predstavlja pojedini parametar


uzmimo prvo da je izlaz etvoropola kratko spojen.
Tada je U2=0, pa je:
I
y11 = 1 U2 = 0
U1
Prema tome, ovaj parametar je ulazna admitanca
etvoropola. Ovaj parametar nazivamo i ulaznim
parametrom etvoropola, pa se esto umjesto indeksa
11 stavlja indeks i (eng. input). Dakle:
y11 yi

Ulazni parametar je:


z11

Prvi indeks parametra oznaava u kom kolu je taj


parametar: 1 znai u ulaznom,a 2 u izlaznom kolu.
Drugi indeks oznaava uz koju nezavisnu promenjivu
stoji parametar.

U
zi = 1
I1

I2 = 0 .

Povratni parametar je:


z12 zr =

U1
I1 = 0 .
I2

Prenosni parametar je:


z21 z f =

U2
I1

I2 = 0 .

Izlazni parametar je:


z22

U
z0 = 2
I2

I1 = 0 .

Prema tome z-parametri su definirani tako da su ili ulaz


(I1=0) ili izlaz (I2=0) otvoreni.
Na osnovu sistema jednaina za z-parametre moemo
nacrtati ekvivalentnu emu etvoropola predstavljenog
z-parametarima (slika 2.23).

Slika 2.17 Definiranje i mjerenje Y11

Stavljajui da je ulazni napon u jednaini jednak nuli,


dobijamo:
I
y12 = 1 U1 = 0
U2
Ovo je povratni parametar etvoropola, jer predstavlja
povratno dejstvo izlaznog kola na ulazno kolo.
Zbog toga se umesto indeksa 12 esto stavlja indeks r.
y12 yr

Slika 2.18 Definiranje i mjerenje Y12


Slika 2.23 Ekvivalentna ema tranzistora sa z parametrima

2.5.2. y-parametri
Ako traimo zavisnost ulazne i izlazne struje od ulaznog
i izlaznog napona, jednaine tranzistora kao
etveropola su:
I1=1(U1,U2),
I2=2(U1,U2).
Ako je ta zavisnost linearna dobiemo da su ulazna i
izlazna struja proporcionalne ulaznom i izlaznom
naponu. Koeficijenti proporcionalnosti e imati prirodu
admitanci pa moemo napisati sistem od dvije
jednaine:
I1=y11U1+y12U2,
I2=y21U1+y22U2.0

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Stavljajui da je izlazni napon u jednaini jednak nuli,


dobijamo:
I
y 21 = 2 U2 = 0
U1
Ovo je prenosni parametar etvoropola, a u ovom
sluaju prenosna admitanca. Umjesto indeksa 21 esto
se stavlja i indeks f, pa je:
Y21 yf

Slika 2.19 Definiranje i mjerenje Y21

13

PARAMETRI I EKVIVALENTNE EME TRANZISTORA

Stavljajui da je ulazni napon u jednak nuli, dobijamo:


I
y 22 = 2 U1 = 0
U2
Ovo je izlazni parametar etvoropola, a u ovom sluaju
izlazna admitanca. Umjesto indeksa 22 esto se stavlja i
indeks 0 (eng. output):
Y22 y0.

2.5.3. h-parametri
Kada se govori o statikim karakteristikama tranzistora,
za nezavisno promjenljive se obino odabiraju ulazna
struja i izlazni napon. Ove promjenljive se biraju radi
toga to je zbog male ulazne impedanse jednostavno
odravati konstantnu ulaznu struju, a zbog velike
izlazne impedanse jednostavno odravati konstantan
izlazni napon, to omoguava i tano mjerenje.
Izabravi I1 i U2 za nezavisno promjenljive,
parametarske jednaine tranzistora e biti:
U1=h11I1+h12U2,
I2=h21I1+h22U2.
Ulazni parametar, slika 2.24, jeste:

Slika 2.20 Definiranje i mjerenje Y22

Na slici 2.21 je prikazano nain definiranja y


parametara, a na slikama 2.17 2.20 pokazano je i
kako se oni mogu mjeriti.

h22 hi =

U1
U2 = 0
I1

Slika 2.24 Definiranje parametra h11 = hi


Slika 2.21 Definiranje y parametara

Na osnovu definiranih jednaina etvoropola sa y


parametrima moemo nacrtati slijedeu ekvivalentnu
emu.

Ulazni parametar ima prirodu impedanse, ali nije jednak


z11, jer je ova ulazna impedansa definirana za kratko
spojen izlaz. Dakle, uslov je isti kao pri definiranju
ulazne admitanse y11.
Povratni parametar je definiran za otvoren ulaz i
neimenovan je broj (slika 2.25).
h12 hr =

U1
U2

I1 = 0

Slika 2.22 Ekvivalentna ema tranzistora sa y parametrima

Prema prvoj jednaini iz sistema jednaina za y


parametre ulazna struja ima dvije komponente.
Prva je proporcionalna ulaznom naponu i, kao to smo
vidjeli, to je struja kroz ulaznu admitancu y11.
Druga komponenta ulazne struje nije proporcionalna
naponu na krajevima admitansa y12 ve je
proporcionalna izlaznom naponu. Na ekvivalentnoj emi
ovu komponentu ulazne struje moemo predstaviti
strujnim generatorom, ija je struja y12U2, kao to je
dato na slici 2.22.
Prema drugoj jednaini iz sistema jednaina za y
parametre u izlaznom kolu su izlazna admitanca y22 i
strujni generator y21U1, koji daje komponentu izlazne
struje zavisnu od ulaznog napona.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 2.25 Definiranje parametra h12 = hr

Prenosni parametar, slika 2.26


I
h21 hf = 2 U2 = 0
I1
je takoe neimenovan
kratkospojeni izlaz.

broj.

Definiran

Slika 2.26 Definiranje parametra h21 = hf

je

za

14

PARAMETRI I EKVIVALENTNE EME TRANZISTORA

Izlazni parametar, slika 2.27,


I
h22 h0 = 2
U2

I1 = 0

Ulazne i izlazne veliine za emitorsku vezu date su na


slici 2.16a. Oigledno je:
U1=Ube, I1=Ib, U2=Uce i I2=Ic.
Ulazne i izlazne veliine za baznu vezu date su na slici
2.16b. Oigledno je:
U1=Ueb, I1=Ie, U2=Ucb i I2=Ic.

Slika 2.27 Definiranje parametra h22 = h0

ima prirodu admitanse, ali je definiran za otvoren ulaz,


kao to je definiran izlazni z-parametar.
Na osnovu sistema jednaina za h parametre moemo
nacrtati ekvivalentnu emu tranzistora predstavljenu
pomou h-parametara (slika 2.28).

2.5.5. Izraunavanje h-parametara


iz statikih karakteristika
Parametre moemo izraunati iz statikih karakteristika
uzimajui umjesto izmeninih veliina prirataje
odgovarajuih istosmjernih veliina.
Ulazni h parametar za emitorsku vezu (slika 2.29)
moemo definirati polazei od prirataja ulaznog
napona DUBE (emitorska veza), djeljenjem sa
odgovarajuim priratajem bazne struje DIB. Prilikom
promene bazne struje i baznog napona kolektorski
napon treba da ostane konstantan. Promjena se vri po
karakteristici UCE=const.

Slika 2.28 Ekvivalentna ema tranzistora


Sa h parametrima

Na ulazu je ulazni otpor h11, na kome je pad napona


h11I1. U seriju sa tim otporom je generator napona
h12U2. Na izlazu je admitansa h22 (ili otpor 1/h22), kroz
koju protie struja h22U2. Paralelno ovoj admitansi
vezan je strujni generator h21I1.

2.5.4. Oznaavanje parametara


Vidjeli smo da se tranzistor kao etvoropol moe vezati
na tri naina:
a) sa zajednikim emitorom,
b) sa zajednikom bazom i
c) sa zajednikim kolektorom.
Parametre moemo definirati za sve tri veze tranzistora.
Obino se definiraju za emitorsku i baznu vezu.

Slika 2.29 Definiranje ulaznog h parametra

hie =

DUBE
DIB

UCE = const.

Povratni h parametar za emitorsku vezu (slika 2.30),


definiramo prelazei sa jedne na drugu ulaznu
karakteristiku i odravajui baznu struju konstantnom.

Da bismo znali za koju vezu su parametri definirani, u


indeksu svakog od njih stavlja se za emotorsku vezu e,
a za baznu vezu b. Ukoliko se definiraju za kolektorsku
vezu, stavlja se indeks c.
Prema tome, za emitorsku vezu parametri su:
1. y-parametri
y11e, y12e, y21e, y22e, odnosno yie, yre, yfe i y0e
2. z-parametri
z11e, z12e, z21e, z22e, odnosno zie, zre, zfe, i z0e
3. h-parametri
h11e, h12e, h21e, h22e,odnosno hie, hre, hfe i h0e;

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 2.30 Definiranje povratnog h parametra

15

PARAMETRI I EKVIVALENTNE EME TRANZISTORA

hre =

DUBE
DUCE

IB = const.

Prenosni h parametar za emitorsku vezu dobiemo iz


izlaznih karakteristika, prelazei sa jedne na drugu
izlaznu karakteristiku, odravajui konstantan napon
(slika 2.31).

Razlika je jedino u tome to pri definiciji b nismo vodili


rauna o kolektorskom naponu. Naime,smatrali smo da
kolektorska struja ne zavisi od kolektorskog napona.
Na slian nain se dolazi do jednakosti izmeu
parametra h21b i koeficijenta strujnog pojaanja u spoju
ZB, tj.
h21b = hfb= a.

2.5.6. Statiki parametri tranzistora

Slika 2.31 Definiranje prenosnog h parametra

hfe =

DIC
DIB

UCE = const.

Statiki parametri tranzistora definirani su za


istosmjerne veliine napona i struje. Interesantni su
kada se radi o polariziranju tranzistora, odnosno za
istosmerni reim rada. Statiki parametri se definiraju
samo za emitorsku vezu tranzistora, i to ulazni i
prenosni parametar. Ulazni statiki parametar je ulazni
otpor:
U
h11E = h IE = BE U CE = const.
IB
Prenosni statiki
pojaanja:
h 21= hFE =

Izlazni h parametar za emitorsku vezu emo dobiti


promjenom struje i napona, i to kreui se po
karakteristici IB=const:

parametar
IC
IB

= -

IE
IB

je

faktor

strujnog

- 1 U CE = const.

Oigledno je da je ovaj parametar jednak faktoru


strujnog pojaanja b.
Definira se jo i prenosni h parametar za velike signale.
U stvari, promjena struje je skoro isto toliko velika
koliko je velika i istosmjerna struja, razlikuju se samo
za veliinu struja zasienja. Parametar je definiran kao:
h21EL = hFEL =

I C - I CE0
IB + I CE0

2.5.7. Praktine ekvivalentne eme

Slika 2.32 Definiranje prenosnog h parametra

h0e =

DIC
DUCE

IB = const.

Za uzemljenu bazu se na isti nain izraunavaju


parametri. Treba samo uzeti u odgovarajue
karateristike.

Praktine ekvivalentne eme, ili praktini modeli


tranzistora, obino se razlikuju od ekvivalentnih ema
koje predstavljaju tranzistor kao etvoropol. One su ili
uprotene eme etvoropola, ili proste eme kola, iji
parametri vie odgovaraju prirodu tranzistora. Polazei
od fizikih pojava u tranzistoru, mi smo se ve sreli sa
tzv. T ekvivalentnom emom (slika 2.5). Kako su u ovoj
ekvivalentnoj emi parametri definirani kao otpori, ta T
ekvivalentna ema odgovara etvoropolu definiranom
pomou z parametara. To, u stvari, i jeste jedina
ekvivalentna ema sa z parametrima koja se u praksi
koristi.

Prilikom izraunavanja parametara iz statikih


karakteristika, treba voditi rauna da se promene
napona i struja uzimaju u okolini one istosmerne struje,
odnosno onog istosmernog napona koji e postojati pri
radu tranzistora.
Mogue je poreenjem parametarskih jednaina doi
do zakljuka da je parametar h21e jednak koeficijentu
strujnog pojaanja u spoju ZE, tj.
h21e = hfe= b.
Slika 2.33 T ekvivalentna ema za spoj ZB

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

16

PARAMETRI I EKVIVALENTNE EME TRANZISTORA

Na slici 2.33 nacrtana je T ekvivalentna ema, koja


odgovara slici 2.5. Ovo je ekvivalentna ema za baznu
vezu (spoj ZB).

k, te je njegovo zanemarivanje najee opravdano.


Na slici 2.36 data je uprotena T ekvivalentna ema,
kojoj su dodati i kapacitivni parametri.

Da bismo dobili ekvivalentnu emu za emitorsku vezu,


prosto emo zamjeniti krajeve za emitor i bazu, kao to
pokazuje slika 2.34.

Slika 2.36 T ekvivalentna ema spoj ZE sa parazitnim C

Umjesto ekvivalentne eme sa y parametrima


tranzistora, date na slici 2.22, koristi se P ekvivalentna
ema, data na slici 2.37.
Slika 2.34 T ekvivalentna ema za spoj ZB, ali nacrtana kao ZE

Ulazna struja je sada bazna struja. Prema tome,


najprije je potrebno izraunati emitorsku struju, jer je
struja strujnog generatora aIe. Da bismo to dobili,
uzimamo da je struja strujnog generatora bIb. Kako je
aIebIb, to se u ekvivalentnoj emi mora jo neto
izmijeniti. Parametri rb i re moraju ostati nepromenjeni,
jer kroz njih protiu kao i prije, struje Ib i Ie. Ostaje da
se promjeni samo kolektorski otpor rc.
Slika 2.37 P ekvivalentna ema spoja ZE

Na ovoj emi umesto povratnog parametra


y12,
povratno dejstvo izlaza na ulaz vri se preko admitanse
y2. Prema tome, ekvivalentna ema sadri samo jedan
generator kao i ema sa r parametrima u T
ekvivalentnoj emi. Kako se vrlo esto tranzistor koristi
u emitorskoj vezi, to se P ekvivalentna ema i daje za
tranzistor u emitorskoj vezi. Indeks e uz oznaku za
parametar emo izostaviti.

Slika 2.35 T ekvivalentna ema za spoj ZE

Naponi izmeu taaka b' i c na slikama 2.34 i 2.35


moraju biti jednaki, pa je:
rc(aIe+Ic) = rd(Ic-bIb).
Uzevi u obzir jo da je:
Ie+Ic+Ib =0 i a =
dobijamo da je: rd =

rc
1+b

Veze izmeu y parametara i parametara P ekvivalentne


eme su:
y11=y1+y2
y12=-y2
y21=ym-y2
y22=y2+y3
Ekvivalentna ema sa hibridnim parametrima se
ponekad moe uprostiti na taj nain to e se izostaviti
povratni parametar (slika 2.28).

b
,
1+ b

Ovu ekvivalentnu emu moemo u nekim sluajevima


uprostiti ako zanemarimo otpor rd. Ovaj otpor moemo
zanemariti ako je spoljanji otpor u kolektorskom kolu
mnogo manji od otpora rd. U praksi tipina vrijednost
spoljanjeg otpora je 1-5 k , a otpor rd je reda 100

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 2.38 Ekvivalentna ema h parametri uz zanemaren h12

17

PARAMETRI I EKVIVALENTNE EME TRANZISTORA

Vidjeli smo da ulazne karakteristike dobijene za razliite


izlazne napone vrlo zbijene. Prema tome, ulazni napon
vrlo slabo zavisi od izlaznog napona. Zaista h12 je reda
10-4, tako da se uglavnom moe zanemariti (slika 2.38).
Zanemarivi povratni parametar, ekvivalentna ema
postaje unilateralna, odnosno ona prenosni signal samo
u jednom smjeru, i to od ulaza ka izlazu.
Dalje se moe uprotavati na taj nain to e se
zanemariti izlazni parametar, stavljajui da je h22=0
(slika 2.39).

Slika 2.40 Ekvivalentna ema h parametri (bez h 12 , h22 i h11)

ZA PONAVLJANJE:

Slika 2.39 Ekvivalentna ema h parametri, zanemareni h12 i h22

Najzad, stavljajui da je ulazni parametar h11=0


dobijamo idealni strujni pojaava (slika 2.40),
najprostiju ekvivalentnu emu tranzistora sa h
parametrima.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Pokazati kako se moe doi do ekvivalentne


eme tranzistora za male signale polazei od
Ebers-Molovog modela tranzistora.
ta je emitorski otpor re, ta je bazni otpor, ta
je uzrok postojanja kolektorskog otpora?
Zato postoji difuzioni kapacitet emitorskog
kolektorskog spoja?
ta je granina frekvencija? Od ega zavisi?
Kako se definira?
Definirati z parametre tranzistora za baznu
vezu. Kako se z parametri mogu izmjeriti?
Definirati y parametre tranzistora za emitorsku
vezu. Kako se y parametri mogu izmjeriti.
Definirati h parametre i pokazati kako se mogu
izmjeriti. Kako se mogu grafiki odrediti h
parametri tranzistora iz statikih karakteristika?
Kakva je to unilateralna h ekvivalentna ema?
Nacrtati je.

FIELD EFFECT
TRANSISTOR FET

poglavlje

Izlazne statika karakteristika feta

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

19

FET FIELD EFFECT TRANSISTOR

3. FET FIELD EFFECT TRANSISTOR


Jo februara 1925. godine Amerikanac Lilienfeld je
patentirao aparat i metod za kontrolu elektrine struje.
U tom patentu on opisuje ureaj nainjen od vrlo
tankog sloja poluprovodnika sa metalnom elektrodom
preko poluprovodnika. Englez Heil je 1933. patentirao
tankoslojni
tranzistor koji je imao sve elemente
sadanjeg tankoslojnog tranzistora. okli i Pirson su
1948. godine pokuali ostvariti tankoslojni tranzistor,
ali sa slabim uspjehom. Godine 1952. okli opisuje
"unipolaran analogni tranzistor" koji treba da bude
nainjen od poluprovodnika, i to analogno konstrukciji
elektronske cijevi. Prvi eksperimenti su nainjeni 1953,
a analitiki tretman su dali Disi i Ros 1955. godine.
Prvi komercijalni tranzistori sa efektom polja bili su
napravljeni od germanijuma. Moduliranje provodnosti je
vreno promjenom irine prelazne oblasti inverzno
polariziranog PN spoja. Predvialo se da e ovi
tranzistori sa PN spojem (JFET= Junction Field Effect

Tranzistor) raditi na visokim frekvencijama. Meutim,


bipolarni tranzistori su se usavrili toliko da se na JFET
zaboravilo. Usavravanjem planarne tehnologije poeli
su i od silicijuma da se pravi ovi tranzistori, i to sa
mnogo boljim elektrinim karakteristikama od
germanijumskih.
Treba naglasiti da u tranzistorima sa efektom polja
osnovnu funkciju formiranja elektrine struje vre
veinski nosioci. Zbog toga se ovi tranzistori nazivaju i
unipolarnim tranzistorima (samo jedni nosioci - nosioci
jedne vrste naelektrisanja).
Kod tranzistora koje smo ranije prouavali osnovnu
ulogu igraju manjinski nosioci, ali izvjesni ulogu imaju i
veinski nosioci (od njih zavisi, na primer, elektrino
polje). Prema tome, obje vrste nosilaca uestvuju u
radu, pa ih zato i nazivamo bipolarnim tranzistorima.

3.1. PRINCIP RADA I STATIKE KARAKTERISTIKE FET-a

Slika 3.1. Tranzistor sa efektom polja - FET

Za tranzistor sa efektom polja i sa PN spojem


zadraemo prvobitan naziv FET (Field Effect
Tranzistor), u skraenom obliku FET, i pisati ga kao
rije fet.
Trodimanzionalni principski prikaz feta dat je na slici
3.1. Poluprovodnik N tipa, pravougaonog presjeka, ima
na svojim krajevima neusmjerivake kontakte. Onaj koji
je zajedniki za ulazno i izlazno kolo naziva se sors
(uvod), pa emo ga obiljeiti sa S , a drugi, vezan u
izlazno kolo, naziva se drejn (odvod), a obiljeavat
emo ga sa D . Sa gornje i donje strane nalazi se PN
spoj. P oblast ovog spoja nazivamo gejtom (G). To je u
stvari upravljaka elektroda.
Pod uticajem negativnog napona na gejtu PN spoj se
inverzno polarizira. Uslijed inverznog polariziranja
proiruje se prelazna oblast PN spoja, te se suava
kanal kroz koji protie struja uslijed pozitivnog
polariziranja drejna. Suavanjem kanala poveava se
LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

njegov otpor, pa sa porastom negativnog napona gejta


opada struja drejna.
Na taj nain ulaznim naponom (naponom gejta)
reguliramo izlaznu struju (struju drejna). Fet je, znai
aktivan element. Kako je PN spoj izmeu gejta i kanala
inverzno polariziran, to je ulazni otpor ovog elementa
vrlo veliki.
Da bismo uprostili analizu rada feta pretpostaviemo:
-

da je koncentracija primjesa u kanalu svuda ista,


zanemariemo efekta krajeva uz sors i drejn, te
emo smatrati da je duina kanala L i da po cijeloj
duini postoji promjena visine kanala (slika 3.2),
u ovoj analizi emo pretpostaviti da je napon gejta
po apsolutnoj vrijednosti mnogo vei od napona
drejna, to nam omoguava da uticaj napona
drejna na irinu kanala moemo zanemariti,
zanemariemo i kontaktnu razliku potencijala,

20

FET FIELD EFFECT TRANSISTOR

pretpostaviemo da je PN spoj skokovit,


pretpostaviemo da je elektrino polje u kanalu
paralelno osi kanala i
da je elektrino polje u barijeri normalno na osu
kanala.

Slika 3.4. Izlazne karakteristike feta za male signale


Slika 3.2. Idealiziran presjek feta pri polarizaciji - UG>>UD

Kad se zanemari kontaktna razlika potencijala, ako je


napon gejta jednak nuli, irina prelazne oblasti je
jednaka nuli (vrlo mala u odnosu na visinu kanala).
Tada je visina kanala jednaka 2a.
Pretpostavimo sada da je napon gejta toliko veliki da se
prelazne oblasti dodirnu. Tada je visina kanala jednaka
nuli, odnosno nema kanala, te nema ni provoenja
struje izmeu drejna i sorsa.
UNP je inverzni napon na PN spoju, odnosno potencijal
N oblasti u odnosu na potencijal P oblasti. Inverzni
napon na PN spoju, ako se zanemari pad napona u
kanalu, jednak je negativnoj vrijednosti napona gejta:
UNP = - UGS

Uzmimo sada da analiziramo zavisnost struje drejna od


napona gejta i drejna, ali za vee napone drejna, pri
kojima moramo uzeti u obzir njegov pad du kanala.
Tada je inverzni napon na PN spoju na mestu x (slika
3.3):
UNP = - UGS + U(x),
Struja drejna du cijelog kanala mora da bude ista jer
nema odvoenja struje (struju gejta moemo
zanemariti jer je to struja inversno polariziranog spoja
koja je mnogo manja od struje drejna).
Uzimajui vrlo mali dio kanala, duine dx i analizirajui
procese u fetu podesnim matematikim aparatom, koji
prelazi okvire izuavanja ovog predmeta, dolazimo do
analitikog oblika za struju drejna koja predstavlja
statiku izlaznu karakteristiku feta.
3
3

2 Up UGS - UDS 2 2 Up UGS 2


ID = g0 1 +
(
) (
) UDS

3 UDS
Up
3 UDS Up

U gornjoj jednadbi osim promjenljivih UDS i UGS,


figuriraju samo dvije konstante: provodnost kanala g0,
kada ne postoji napon na gejtu ili kada je napon drejna
vrlo mali, i napon dodira Up.

Slika 3.3. Idealiziran presjek feta pri polariziranju


UG nije mnogo vee od UD

Kada nestane kanala, irina prelazne oblasti jednaka je


polovini visine kanala, tj. d=a.
Napon gejta pri kome se prelazne oblasti dodirnu
nazvaemo naponom dodira i obiljeavaemo ga sa Up.
Sa slike 3.3 vidi se da e pri malim naponima drejna
provodnost kanala biti zavisna od napona gejta. Prema
tome, fet moe da slui kao promjenjivi otpor.
Na slici 3.4 pokazane su karakteristike feta za male
napone drejna. To su prave linije iji nagib zavisi od
napona gejta.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Fet koji smo razmatrali je N-kanalni fet, jer je kanal Ntipa poluprovodnika. Ako je poetni materijal P-tipa,
bie P-kanalni fet. Za njega takoer vrijedi gornja
jednadba.
Analizu karakteristika ponimo sa karakteristikom za
UGS=0. Pri malim naponima drejna njegova struja e
linearno rasti sa naponom. Kako se napon drejna
poveava, tako e, usljed pada napona u kanalu,
inverzni napon na NP spoju rasti idui od sorsa ka
drejnu. Zbog toga se prelazna oblast iri, pa se kanal
suava. Otpor kanala se poveava, te struja sve sporije
raste sa naponom drejna. Kada napon drejna postane
po apsolutnoj vrijednosti jednak naponu dodira, kanal
uz drejn se potpuno zatvorio.
Ovo je pokazano na slici 3.5 pod A, a na statikoj
karakteristici (slika 3.6) je obiljeena taka A.

21

FET FIELD EFFECT TRANSISTOR

Na slici 3.5 date su i skice kanala kada fet radi u


triodnoj oblasti (B), na granici triodne oblasti (C) i u
oblasti zasienja (D). Na karakteristikama su oznaene
odgovarajue take B,C i D.

Slika 3.6. Izlazne statika karakteristika feta

Slika 3.5. Izgled kanala za odgovarajue take na


izlaznoj statikoj karakteristici feta

Ako u jednadbi za statiku karakteristiku stavimo da


je UGS=0 i UDS=-Up struja drejna ID je maksimalna.
Daljim poveanjem napona drejna, struja bi opadala.
Meutim, to nije tako.

Na osnovu dosadanjeg izlaganja zakljuimo da je u


oblasti zasienja struja drejna skoro konstantna (slika
3.6). Meutim, to i nije sasvim tako. Sa porastom
napona smanjuje se duina kanala, pa se smanjuje i
njegov otpor. Duina kanala nije vie L, nego je L'<L,
kao to se vidi na slici 3.5D.
Prema tome, struja e sa porastom napona drejna rasti.
Porast je znatniji ukoliko je kanal krai, jer e relativna
promjena duine biti vea.

Poveanjem napona drejna iznad -Up kanal se zatvara.


Na mjestu gdje je kanal zatvoren, vie ne vrijedi
pretpostavka da je elektrino polje u barijeri normalno
na pravac kretanja struje kroz kanal. Na zatvorenom
dijelu kanala stvorie se pad napona, te postoji polje u
pravcu kretanja elektrona i elektroni koji su prispjeli na
ovaj zatvoreni dio kanala bie zahvaeni ovim poljem i
prebaeni na drejn. Svakako dalje poveanje napona
drejna utroie se na dijelu gdje je zatvoren kanal.
Duina ovog zatvorenog dijela kanala se poveava, a
poveava se i elektrino polje u njemu. Struja kroz
kanal e ostati ista, jer je u kanalu ostalo isto elektrino
polje.
Znai jednadba vrijedi samo do napona UDS=-Up, do
take A na slici 3.5.

a - fet sa dugim kanalom

Granica vaenja jednadbe i za UGS0 ostaje i kada se


kanal uz drejn zatvori, odnosno kada je:
UGS-UDS=Up.
Stavljajui da je UDS-UGS=Up na statikoj karakteristici
(slika 3.6) dobijamo takastu krivu koja je granica
oblasti. Oblast vaenja statikih karakteristika feta
naziva se triodnom oblau. Desno od ove oblasti struja
ostaje skoro nepromjenjena u odnosu na struju na
graninoj krivi, pa se ova oblast naziva oblau
zasienja.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

b fet sa kratkim kanalom


Slika 3.7. Statike karakteristike feta zavise od duine kanala

22

FET FIELD EFFECT TRANSISTOR

Na slici 3.7 date su karakteristike realnih fetova sa


dugim i kratkim kanalom. Kod feta sa kratkim kanalom
karakteristike kao da nemaju oblast zasienja. Ukoliko
je kanal krai, utoliko je sa karakteristika tee odrediti
granicu izmeu triodne oblasti i oblasti zasienja.

3.2. REALNI PARAMETRI FETA


Za male signale fet se moe smatrati linearnim i mogu
se definirati njegovi linearni parametri. Kao i bipolarni
tranzistor i fet se moe posmatrati kao etvoropol. Kako
fet ima veliku ulaznu i izlaznu impedansu, nema
dvoumljenja koji e parametri koristiti. To su y
parametri, jer je njih najlake mjeriti. Definirajmo
najprije realne parametre.

kapacitet izmeu gejta i kanala predstaviti kao dva


diskretna kapaciteta: kapacitet izmeu gejta i sorsa Cds
i kapacitet izmeu gejta i drejna Cgd. Ovi kapaciteti
sadre i parazitne kapacitete izmeu vanjakih izvoda,
pa ih moemo kompletirati ako uzmemo u obzir i
parazitni kapacitet izmeu izvoda za sors i drejn Cds.

3.4. EKVIVALENTNA EMA FETA


Na slici 3.8 data je kompletna ekvivalentna ema feta.

Ulazni parametar je:


g11 = g g =

DI G
DU GS

UDS = const.

Ovaj parametar je ulazna provodnost. Kako je na ulazu


inverzno polariziran PN spoj, to je ova provodnost vrlo
mala, pa se esto i ne uzima u obzir.
g12 = gdg =

DIG
DUDS

UGS = const .

Ovaj parametar je takoe vrlo mali, pa se i on moe


zanemariti.

Slika 3.8. Ekvivalentna ema feta

Nakon zanemarivanja g11 (gg) i g12 (gdg) na slici 3.9 je


uprotena ekvivalentna ema. Na niskim frekvencijama,
na kojima moemo zanemariti parazitne kapacitete,
ekvivalentna ema je vrlo prosta. Ona ima samo strujni
generator struje kratke veze gmUgs i izlaznu provodnost
gd.

Izlazni parametar:
g22 = gd =

DID
DUDS

UGS = const.

To je izlazna provodnost feta. U oblasti zasienja teko


je moemo izraunati. Na karakteristikama ona je
predstavljena nagibom izlazne karakteristike. U triodnoj
oblasti moemo za vrlo male vrijednosti napona drejna
UDS-UGS pokazati da je dinamika provodnost kanala
izjednaena sa statikom provodnou.

Slika 3.9. Ekvivalentna ema feta na NF

Uzevi u obzir i kapacitete, ekvivalentna ema je data


na slici 3.10.

Prema tome, za male napone drejna fet se ponaa kao


obian linearni otpor. Sa porastom napona drejna i
negativne vrijednosti napona gejta provodnost kanala,
odnosno izlazna provodnost drejna se smanjuje.
Prenosni parametar je:
g21 = gm =

DID
DUGS

UDS = const.

Ovaj parametar se naziva prenosnom provodnou ili


strminom feta. Naziva se strminom, jer je jednak
strmini prenosne karakteristike ID=f (UGS). gm moemo
konstruktivno odrediti irinom i duinom kanala. Ukoliko
je kanal iri a krai, strmina e biti vea.

3.3. KAPACITIVNI PARAMETRI FETA


Na visokim frekvencijama treba uzeti u obzir i parazitne
kapacitete feta. Gejt feta je inverzno polariziran PN
spoj, pa prema tome, postoji barijerni kapacitet izmeu
gejta i kanala. Kako je kanal vezan jednim krajem za
sors, a drugim za drejn, to moemo raspodjeljeni

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 3.10. Ekvivalentna ema feta na VF

Ulaznu i povratnu otpornost moemo zanemariti, jer su


one uvijek vee od spoljanjih otpora koji slue za
polariziranje feta.
Na slijedeim slikama data je oznaka feta na emama, i
to lijevo za N kanalni fet, a desno za P kanalni fet.
Strelica na gejtu oznaava kako bi struja tekla da je PN
spoj gejta direktno polariziran (od P tipa ka N tipu).

Slika 3.11 Grafiki simbol N kanalnog feta

23

FET FIELD EFFECT TRANSISTOR

3.5. PROBOJ FETA

Proboj kod feta u osnovi je proboj izmeu kanala i


gejta. Proboj e se desiti, u stvari, izmeu kanala i
gejta na kraju kanala uz drejn. ak i ako iskljuimo
gejt, proboj e nastati na istom mjestu, a struja e,
umjesto kroz spoljanje kolo gejta, tei kroz kontakt
gejta, paralelno kanalu i PN spoju gejta na drugom
kraju kanala, u sors, jer e se kanal na tom mjestu
direktno polarizirati. Karakteristike na kojima se vidi i
oblast proboja date su na slici 3.12.

Slika 3.12. Statike karakteristike feta u oblasti proboja

ZA PONAVLJANJE:

ta je to fet?
Objasniti princip rada feta.
Zato se fet jo naziva
tranzistorom?

unipolarnim

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Zato se tranzistori koje smo do sada uili


nazivaju bipolarnim tranzistorima?
U emu je razlika izmeu P kanalnog i N
kanalnog feta?
Kako je definiran napon dodira?
U kom sluaju je visina potencijalne barijere na
PN spoju gejta po cijeloj duini kanala
konstantna?
Od ega sve zavisi visina potencijalne barijere
PN spoja gejta?
Kakve su izlazne karakteristike feta za male
napone drejna?
Nacrtati izlazne karakteristike feta sa granicom
izmeu triodne oblasti i oblasti zasienja.
Nacrtati izgled kanala u triodnoj oblasti
karakteristika.
Nacrtati izgled kanala na granici triodne oblasti
i oblasti zasienja karakteristika feta.
Zato
izlazna
karakteristika
feta
nije
horizontalna u oblasti zasienja?
Zato je nagib karakteristika za fet sa kratkim
kanalom veliki?
Zato postoji struja drejna iako su se prelazne
oblasti uz drejn dodirnule?
Zato veinski nosioci prolaze kroz sredinu
dodirnute oblasti (kroz sredinu kanala)?
Zato se kod feta koriste y, odnosno g
parametri?
Zato se g11 i g12 mogu zanemariti kao vrlo
mali?
Definirati g22. Kako se on naziva?
Nacrtati ekvivalentnu emu feta na niskim
frekvencijama.
Nabrojati parazitne kapacitete kod feta.

Metal Oxide
Semiconductor FET
- MOSFET

Statistika karakteristika MOSFET

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

poglavlje

25

MOSFET METAL OXIDE SEMICONDUCTOR FET

4. MOSFET Metal Oxide Semiconductor FET


Prve zamisli o izradi tranzistora sa efektom polja bilo je
mogue ostvariti tek kada se ovladalo planarnom
tehnologijom. Na povrini poluprovodnik-silicijum
oksidiranjem se naini vrlo tanak sloj oksida (SiO2), a
preko njega se napari metal koji slui kao upravljaka
elektroda. Poto ovaj tranzistor ima strukturu metaloksid-poluprovodnik
(Metal-Oxside-Semiconductor),
skraeni naziv mu je MOSFET. Skraenicu MOSFET

pisat emo kao jednu rije mosfet i smatrati je nazivom


za ovu vrstu tranzistora.
Osnovna odlika tranzistora sa
izolovanim gejtom je vrlo velika
Ulazni otpor reda 1015W.

efektom polja i
ulazna otpornost.

4.1 PRINCIP RADA I STATIKE KARAKTERISTIKE

Slika 4.1 Mosfet u presjeku

Kao to nam je poznato, povrinski sloj u izvjesnoj


debljini moe biti obogaen ili osiromaen veinskim
nosiocima. Obogaivanje ili osiromaivanje zavisi od
stanja povrine poluprovodnika. Na stanje povrine
utiu: oksid, apsorbirani molekuli gasa ili atomi nekog
drugog tjela. Ako je oksid na povrini, njeno stanje
zavisi i od toga da li u oksidu ili na njegovoj povrini
ima kakvih jona. Ukoliko povrina nije ista, moe se
desiti da na povrinskom sloju poluprovodnik izmjeni tip
provodnosti.

tipa, odnosno u toliko je vei broj upljina u kanalu.


Otpor kanala je tada manji pa je za dato UP vea struja
kroz kanal.
Sve dok ne nastupi inverzija tipa izmeu sorsa i drejna
ne postoji veza, jer je PN spoj drejna inverzno
polariziran. Napon potreban da se stvori kanal od sorsa
do drejna, a ispod gejta naziva se napon praga i
obiljeava se sa Ut. Napon pod im se uticajem mijenja
provodanost kanal naziva se efektivni napon gejt.

Mosfet koristi efekat poprenog polja (normalnog na


povrinu) za stvaranje promjene (inverzije) tipa
provodnosti povrinskog sloja, a na taj nain i za
stvaranje kanala. Na slici 4.1 prikazan je mosfet u
presjeku. Da bi se napravili kontakti na krajevima
kanala, a da bi bili izoliranu u unutranjosti
poluprovodnika N-tipa nainjena su dva PN spoja.
Jedan je sors, a drugi je drejn. Na povrini izmeu
sorsa i drejna nalazi se tanak sloj (oko 0.1mm) silicijumoksida (SiO2), koji slui kao dielektrik. Preko njega se
nalazi tanak sloj metala alminijuma koji ini gejt (G).
Metal je jedna obloga, a poluprovodnik druga obloga
kondenzatora. Ako se metalna obloga stavi na negativni
potencijalu odnosu na poluprovodnik, u N-tipu
poluprovodnika
e
se
indukovati
pozitivno
naelektrisanje, i to tako to e se elektroni udaljiti i
ostaviti nekompezirane pozitivno naelektrisane donore.
Poveavanjem potencijalne razlike sve vie se
udaljavaju elektroni, a zatim nastupa promjena tipa
provodnosti jer se sve vie privlae pozitivne upljine.
Ukoliko je napon na gejtu vei, u toliko je jaa inverzija

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 4.2 Prostorni prikaz mosfeta

Na slici 4.2 nacrtan je idealiziran mosfet sa oznakama


koje e se koristiti pri izvoenju zavisnosti struje drejna
od napona gejta i drejna. Na osnovu matematike
analize koja prelazi okvire ovog predmeta dolazimo do
izraza za struju drejna:

2
ID = -b 2(UG - Ut )UD - UD
]

26

MOSFET METAL OXIDE SEMICONDUCTOR FET

Jednaine vrijedi samo za |UG-Ut||UD|.


Sve dok je |UG-Ut||UD|. postoji kanal od sorsa do
drejna (slika 4.3a). Kada |UD| poveavamo, kanal se kod
drejna suava pa je za UG-Ut=UD on sasvim uzak (slika
4.3b). Daljim poveavanjem napona Ud kod drejna se
prekida kanal (slika 4.3c). Formula

a - kad postoji kanal od S do D

b - kad je kanal pred prekidom

Slika 4.4. Struja izmeu S i D ne prestaje i kada se kanal


prekine, jer se mosfet ponaa kao tranzistor u prodiranju

Koristei jednainu za struju, stavljajui da je


UG=const., moemo nacrtati familiju statistikih
karakteristika u oblasti koju nazivamo triodna oblast
(slika 4.5). Ako zanemarimo skraenje kanala L,
ogranienje brzine kretanja nosilaca kroz prekinutu
oblast i suavanje preostalog djela kanala koje nastaje
uslijed porasta napona na drejnu, karakteristikte u
oblasti 2 na slici 4.5 bi bile horizontalne prave linije.
Struja drejna bi bila nezavisna od njegovog napona i
jednak struji drejna na granici UgUt=Ud. Ova oblasta
karakteristika naziva se oblast zasienja. Struja na
granici odnosno u oblasti zasienja, dobie se za
UD=UG-Ut.
I d = -b(U G - U t ) 2

ova jednaina vai za: |UG-Ut||UD|.

c - kad je kanal prekinut


Slika 4.3. Stanja kanala mosfeta

Na prvi pogled moe se pomisliti da e i struja drejna


prestati da tee. Na slici 4.4 dato je objanjenje zato
struja drejna i dalje tee. Kada se kanala prekine, u
pogledu rasporeda PN oblasti mosfet odgovara strukturi
bipolarnog PNP tranzistora (za N-kanalnim mosfet ova
struktura e biti NPN tipa) Sors sa kanalom je emitor,
drejn kolektor a osnova mosfoeta je baza. Prelazna
oblast irine d prostire se od drejna do kanala. Prema
tome, razmatramo isti sluaj kai kod emitora bipolarnog
tranzistora kad nastaje proboj (dostignut je napon
prodiranja). Kod mosfeta proboj nastaje izmeu kanala
i drejna, a struju ograniava samo otpor preostalog
djela kanal L, te e struja drejna neto porasti u odnosu
na struju pri |UD|=|UG-Ut|

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 4.5 Statistike karakteristike mosfeta:


I triodna oblast- stanje kanala sa slike 4.3a
II oblast zasienja-stanje kanala sa slike 4.3c
(stanje kanala dato na 4.3b je granica izmeu te dvije oblasti).

Prenose karakteristike mosfeta ID=(UG) za UD= const.


konstruiramo iz zadanih (poznatih) karakteristika. Treba
obratiti panju da za male napone Ud (Ud<Ut)
karakteristike prelaze iz jedne oblasti u drugu.

27

MOSFET METAL OXIDE SEMICONDUCTOR FET

c-prenosna karakteristika i grafiki simbol


mosfeta sa ugraenim kanalom
Slika 4.6. Konstruiranje prenosnih iz izlaznih karakteristika

Jednostavni nain dobijanja prenosne karakteristike je


grafiki. Na slici 4.6 prikazano je kako se to radi. Poto
je UD=UD1=const. Na izlaznim karakteristikama je
vertikalna prava. Ova prava presijeca karakteristike u
takama A, B, C, D i E. U koordinatnom sistemu
povuemo vertikalne linije za odgovarajue UG.
Horizontalne povuene iz taaka A, B, C, D, i E su
odgovarajue struje za UG=3, 4, 5, 6 i 7, na slici 4.6. Na
presjeku odgovarajuih horizontalnih i vertikalnih linija
dobijamo take A, B, C, D i E, koje lee na
prenosnoj karakteristici. Kada ih spojimo dobijamo
prenosnu karakteristiku za UD=UD1. Presjek ove
karakteristika sa UG osom daje veliinu napona Ut.

Slika 4.7 P-kanalni mosfet

a-presjek N kanalnog mosfeta

4.1.1. Mosfet sa P i N kanalom


Do sada smo govorili mosfetu koji ima kanal P tipa.
Osnova ovog tranzistora je silicijum N tipa. Ovakav
mosfet je najlake napraviti. Meutim, moe se
napraviti i mosfet sa kanalom N tipa. Za ovaj mosfet
mora se uzeti slilicijum P tipa.
b-prenosna karakteristika i grafiki simbol
mofeta bez ugraenog kanala

a-presjek P kanalnog mosfeta

c-prenosna karakteristika i grafiki simbol mosfeta sa


ugraenim kanalom
Slika 4.8 N kanalni mosfet

b-prenosna karakteristika i grafiki simbol


mosfeta bez ugraenog kanala

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Pretpostavili smo da na gejt treba dovesti napon Ut


kako bi stvorio kanal. To je tzv. Mosfet sa indukovanim
kanalom. Mosfet se moe napraviti tako da kanal
postoji i kada je napon na gejtu jednak nuli. Na slici
4.7. date su prenosne karakteristike i oznake na
emama za sve etiri varijante mosfeta. Pod b su date
prenosne karakteristike mosfeta sa indukovanim
kanalom, koji nemaju kanal kada je UG=0 i oznake
ovakvih mosfeta na emama. Kanal od sorsa do drejna
je isprekidan, a strelica na prikljuku B oznaava smjer

28

MOSFET METAL OXIDE SEMICONDUCTOR FET

direktnog polariziranja PN spoja koji ine kanal i


osnova. Dakle za P-kanalni smjer je od kanala, a za Nkanalni ka kanalu. Pod c su date prenosne
karakteristike mosfeta sa ugraenim kanalom, kojji
postoji i kada je UG=0. Zato je kanal oznaen punom
linijom.

gm =

DID
I -I
UD = const. = D1 D 2 UD = UD1
DUD
UG1 - UG2

Ovo se moe dobiti koritenjem izlaznih karakteristika,


kao to se pokazuje na slici 4.10.

4.2. REALNI PARAMETRI MOSFETA


Kao to smo definirali parametre feta, moemo to
uiniti i sa parametrima mosfeta.
Kako je ulazni otpor gejta vrlo velik, to reaalni ulazni
parametar moemo izostaviti, pa emo razmotriti samo
prenosni i izlazni parametar.
Prenosni prametar kod mosfeta je strmina:
gm =

dID
UD = const .
dUD

To je strmina ili nagib


karakteristiku (slika 4.9)

tangente

Slika 4.10 Grafiko odreivanje strmine


sa izlaznih karakteristika

na

prenosnu

Ako se istosmjernim konponentma UG0 i UD0 odrede


radni uslovi mosfeta (slika 4.11), pa se privede
izmjenini napon gejta, strmina se moe mjeriti kao
kolinik izmjeninih konponenti:
gm =

Id
Ud = const .
Ud

Slika 4.9 Grafiko odreivanje strmine


iz prenosne karakteristike

Strmina se moe praktino izraunati kao kolinik


konanih prirataja:
Slika 4.11 Pricipijalna ema mjerenja strmine

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

OSNOVNI POJMOVI
O POJAAVAIMA

poglavlje

Tipovi pojaavaa

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

30

OSNOVNI POJMOVI O POJAAVAIMA

5. OSNOVNI POJMOVI O POJAAVAIMA


Svaki pojaava u sebi sadri bar jedan aktivni element.
Aktivni element je onaj pomou koga se moe pojaati
signal. Signal je u vidu napona, struje ili elektrine
snage, a naponom, strujom ili snagom on predstavlja
neku poruku. Ova poruka je: govor, muzika, pritisak,
temperatura, bioloki elektropotencijal itd. Ukoliko
elimo taj signal da pretvorimo u neki drugi oblik, da ga
ponovo vratimo u oblik poetne poruke (npr. govor,
muziku ) ili neku drugu poruku drugog oblika
(kardiogram napisan na pisau), to obino nije
neposredno mogue, jer je signal suvie mali. Zato se i
vri pojaanje signala dok je u elektrinom obliku.
Prilikom pojaanja, kod signala ne smije nita drugo da
se mijenja osim amplitude.

5.1. POJAANJE SNAGE


Na slici 5.1 data je blok ema pojaavaa. Sam
pojaava ima svoj ulaz i izlaz. Oznaka A ukazuje da je
to pojaava. Definiramo efektivne vrijednosti
izmjeninih veliina signala na ulazu i izlazu pojaavaa.
Na ulazu imamo struju Ii i ulazni napon Ui, odnosno
ulaznu snagu signala.
Pi = U i I i

Na izlazu pojaavaa imamo izlazni napon Uo i izlaznu


struju Io, odnosno imamo izlaznu snagu signala.
Po = U o I o

napajanje. Izmjenina komponenta (signal), dobija se


na raun istosmjerne komponente struje i napona
izvora za napajanje.

5.2. ULAZNI I IZLAZNI OTPOR POJAAVAA


Sa svojim ulazom pojaava optereuje izvor signala.
Zato je potrebno znati njegov ulazni otpor Ri. Ovaj
otpor emo jednostavno izraunati.
Ri =

Ui
Ii

Naravno, na izlazu u optem sluaju mora biti


prikljueno optereenje RL. Sa druge strane, pojaava
je izvor signala za optereenje (potroa) pojaavaa.
Posmatrajui ga sa izlaza, prema tome, potrebno je
znati izlazni otpor pojaavaa.
Po Tevenenovoj teoremi izlazni otpor neke elektrine
mree izraunat emo tako to stavimo da je napon
generatora jednak nuli, ili ako je strujni generator,
struja kratke veze (struja generatora) jednaka nuli.
Tada je:
Ro =

Uo
Io

za Eg=0

5.3. POJAANJE NAPONA I STRUJE


U dva ekstremna sluaja, ulazna snaga pojaavaa
moe biti jednaka nuli. Prvi sluaj, kada je ulazni otpor
beskonano velik, jer je tada ulazna struja jednaka nuli.
U drugom sluaju je ulazni otpor jednak nuli. Tada je
snaga jednaka nuli, jer je ulazni napon jednak nuli. U
prvom sluaju imamo pojaava napona, a u drugom
sluaju pojaava struje. Na slici 5.2 i 5.3 dati su
idealizirani naponski i strujni pojaavai.
Naponski pojaava, pored toga to ima beskonano
veliki otpor na ulazu, ima mali izlazni otpor ( Ro=0 ). To
znai da pojaanje napona ne zavisi od preoptereenja.
Smanjenjem otpornosti optereenja RL, ne smanjuje se
napon.

Slika 5.1 Blok ema pojaavaa

Ovu snagu pojaava daje potroau RL.


Pojaanje snage je:
Ap =

Po
Pi

Izlazna snaga signala je obino vea od ulazne. Prema


tome, pojaanje je vee od jedinice. U sluaju da je
izlazna snaga manja od ulazne, pojaanje je manje od
jedinice, pa umjesto pojaanja imamo slabljenje
signala. Prilikom pojaanja signala, snaga koju signal
dobija prolaskom kroz pojaava ide na raun izvora za

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 5.2 Idealiziran naponski pojaava

31

OSNOVNI POJMOVI O POJAAVAIMA

Idealni strujni pojaava na izlazu ima beskonano


veliki otpor, pa izlazna struja ne zavisi od optereenja.

Slika 5.3 Idealiziran struni pojaava

Prema tome, strujno pojaanje idealnog strujnog


pojaavaa ne zavisi od optereenja.
Idealni naponski i strujni pojaavai su samo ekstremni
sluajevi realnih pojaavaa. Svaki pojaava je ustvari
pojaava snage. Zbog toga, kod svakog pojaavaa
moemo definirati pojaanje napona i pojaanje struje,
bez obzira koliki su ulazni i izlazni otpori pojaavaa,
kao:
Au =

Uo
Ui

Ai =

nuli, dakle,
pojaanja.

ekvivalentan

faktoru

III) Pojaava na izlazu koji ima vrlo veliki otpor na


ulazu i vrlo veliki otpor na izlazu, izlazna struja e
slabo zavisiti od otpora potroaa RL, a ulazni
napon od otpora generatora, te je za ovaj potroa
najpogodnije definirati odnos izlazne struje prema
ulaznom naponu. Kako je ovaj koeficijent
proporcionalnosti provodnost, ovakav pojaava
nazivamo pojaava sa prenosnom provodnou
(slika 5.4c). Pojaanje ovakvog pojaavaa je
nezavisno od otpora ulaznog generatora Rg i
otpora optereenja RL.
IV) Na slici 5.4d dat je pojaava sa prenosnom
otpornou. Ovakav pojaava ima vrlo malu
ulaznu i vrlo malu izlaznu otpornost. U idealnom
sluaju obje otpornosti su jednake nuli. Kod takvog
pojaavaa odnos izlaznog napona i ulazne struje
nezavisi praktino od veliine ulaznog otpora Ri,
generatora Rg i optereenja RL.

Io
Ii

Na osnovu prethodnih formula je:


Ap = AuA i

odnosno
AP = A 2u

Ri
RL

AP = A 2i

RL
Ri

Prema veliini ulazne i izlazne otpornosti, pojaavae


moemo podjeliti na etiri kategorije.
I)

Ukoliko je ulazna otpornost velika, a izlazne


otpornost mala, slika 5.4a pojaava se pribliava
idealnom naponskom pojaavau datom na slici
5.2. Kod njega je Ui = Eg, jer se pad napona na Rg
moe zanemariti, zbog toga to je Ri>>Rg. Na
izlazu, je napon Uo priblino jednak naponu
idealnog generatora AuUi. Pojaanje pojaavaa
Auoo je pri beskonano velikom otporu optereenja,
odnosno, kada nema otpora optereenja.

II) Ukoliko je ulazni otpor vrlo mali, a izlazni vrlo velik


slika 5.4b, pojaava se pribliava idealnom
strujnom pojaavau datom na slici 5.3. Budui da
je Ri<<Rg i Ro>>RL, a strujno pojaanje praktino
ne zavisi od veliine otpornosti generatora i
otpornost optereenja. Aio je pojaanje struje, kada
je otpornost optereenja jednaka nuli. Ovakva
predstava pojaavaa je analogna ekvivalentnoj
emi tranzistora. Pojaanje Aio je prema tome
strujno pojaanje kada je spoljanji otpor jednak

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

strujnog

a - naponski pojaava (idealan na slici 5.2)


b - strujni pojaava (idealan na slici 5.3)
c - pojaava sa prenosnom provodnou
d- pojaava sa prenosnom otpornou
Slika 5.4 Tipovi pojaavaa

32

OSNOVNI POJMOVI O POJAAVAIMA

5.4. KASKADNA SPREGA POJAAVAA

Slika 5.5 Kaskadno spregnuti pojaavai

Kasnije emo vidjeti, da pojaava moemo realizirati


samo sa jednim aktivnim elementom, npr. tranzistorom.
Pojaanje takvog pojaavaa moe biti nedovoljno. Da
bi ga poveali, spreemo vie pojaavaa kaskadno,
odnosno, jedan za drugim. Na slici 5.5 nacrtana je blok
ema vie spregnutih pojaavaa.
Ukupno pojaanje snage svih pojaavaa zajedno
iznosi:
P
P P P
Ap = 4 = 2 3 4 ,
P1
P1 P2 P3
odnosno:

A p = 20 log

Uo
[dB]
Ui

Isto tako za struje i u sluaju da je RL=Ri:


I
A p = 20 log o [dB ]
Ii
Ponekad se i apsolutna vrijednost snage izraava u
decibelima. Kako je dB jedinica odnosa snaga, to se u
tom sluaju podrazumijeva da se ta snaga daje u
odnosu na neki referentni nivo snage. Ovaj referentni
nivo snage tzv. referentni nivo je obino 1 mW.

A p = A p1 A p2 A p3

5.5. LINEARNA IZOBLIENJA

Dakle, ukupno pojaanje spregnutih pojaavaa


jednako
je
proizvodu
pojaanje
pojedinanih
pojaavaa. Isto tako e biti i za naponsko i strujno
pojaanje:
U
U U U
A u = 4 = 2 3 4 = A u1 A u2 A u3 ,
U1
U1 U 2 U 3

Pojaava sadri i reaktivne elemente, kao to su:


kondenzatori, zavojnice, transformatori. Reaktanse ovih
elemenata zavise od frekvencije. Zbog toga e i
pojaanje pojaavaa zavisiti od frekvencije. Pored toga
i fazni odnos izlaznog i ulaznog signala zavisi od
frekvencije.

A i = A i1 A i2 A i3 .

Ukoliko na ulaz pojaavaa dovedemo signal koji ima


komponente razliitih frekvencija, komponente e biti
razliito pojaane. Izlazni signal vie ne odgovara
ulaznom, te kaemo da je signal izoblien. Kako ovo
izoblienje potie od linearnih elemenata, nazivamo ga
linearnim, i to: linearna ampitudska i linearna fazna
izoblienja.

Po dosadanjoj definiciji pojaanja ono je relativni


odnos dvije veliine, neimenovan broj. esto se
umjesto prostog odnosa veliina uzima logaritam tog
odnosa. U sluaju da je pojaanje snage jednako 10
(Po/Pi=10), dekadni logaritam tog broja jednak je
jedinici. Za pojaanje iji je logaritam jednak jedinici,
kaemo da je jednako jednom belu (B). Dakle,
A p = log 10 = 1B .

Na slici 5.6 nacrtane su krive zavisnosti pojaanja A


(frekventna karakteristika) i faze (fazna karakteristika
pojaavaa) od frekvencije signala.

ee se koristi deset puta manja jedinica - decibel


(dB):
A p = 10 log 10 = 1dB .
Prema tome, ako hoemo da izrazimo pojaanje snage
u decibelima (dB) onda je :
P
A p = 10 log o [dB ] .
Pi
Izrazivi pojaanje u dB, ukupno pojaanje kaskadno
spregnutih pojaavaa, na osnovu gornjih jednaina
moemo izraziti kao:
A p [dB] = Ap1 [dB] + A p2 [dB ] + A p3 [dB] ,

moemo napisati: A p = 10 log

U 2o R i
[dB ] .
U2 R L
i

I u sluaju da je RL = Ri, dobija se:

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 5.6 Frekventna i fazna karakteristika pojaavaa


(linearna izoblienja)

33

OSNOVNI POJMOVI O POJAAVAIMA

Pri srednjim frekvencijama, uticaj reaktivnih elemenata


moemo zanemariti. Pojaanje je maksimalno i u
izvjesnom opsegu nezavisno od frekvencije.
Pri niskim frekvencijama pojaanje opada, uslijed pada
napona na primjer na serijskim kondenzatorima.
Frekvencija, pri kojoj pojaanje opadne za 2, odnosno
3 dB u donosu na pojaanje pri srednjim frekvencijama,
naziva se granina frekvencija i to donja granina
frekvencija. fn.
Na visokim frekvencijama pojaanje, takoer, opada.
Ovo opadanje moe biti npr. uslijed paralelnih
kapaciteta. Frekvencija, pri kojoj pojaanje opadne za
3dB u odnosu na pojaanje pri srednji frekvencijama
naziva se gornja granina frekvencija fv.

5.6. NELINEARNA
HARMONIJSKA IZOBLIENJA
Prije nego to preemo na nelinearna izoblienja,
definirajmo prenosnu karakteristiku pojaavaa.
Prenosna karakteristika pojaavaa nam daje zavisnost
napona ili izlazne struje, od ulaznog napona ili ulazne
struje. U sluaju da se pojaava sastoji od samo
jednog poluprovodnikog elementa i da taj element radi
pod istim uslovima pod kojima se mjere statike
karakteristike, prenosna karakteristika pojaavaa bi se
poklopila sa prenosnom karakteristikom samog
elementa.

Gornja granina frekvencija postoji kod svih


pojaavaa, dok donja granina frekvencija moe biti
jednaka nuli. Frekventni opseg od fn do fv naziva se
irina frekventnog opsega pojaavaa B. Koliko e biti fn
i fv zavisi od toga kakav je pojaava.
Na primjer, kod pojaavaa niske frekvencije fn=20-50
Hz, a fv=10-20 kHz. Prema tome, kod niskofrekventnih
pojaavaa irina propusnog opsega (B- band):
B=fv-fn
je praktino jednak gornjoj graninoj frekvenciji. Kod
izvjesnih drugih pojaavaa propusni opseg je mnogo
manji i od gornje i od donje granine frekvencije
(selektivni pojaavai).
Ukoliko se radi o pojaanju zvunih signala, fazna
izoblienja nisu bitna, jer uho nije osjetljivo na manja
fazna pomjeranja izmedju signala razliitih frekvencija.
Medjutim, ako se prenosi signal koji sadri itav spektar
frekvencije, a treba da zadri svoj oblik, na primjer
periodini impulsi pravougaonog oblika oblika onda
promjenom faze pojedinih komponenata signal mijenja
oblik.
Na slici 5.7 prikazan je sluaj signala koji ima samo
dvije komponente. Osnovni i trei harmonik. U oba
sluaja amplitude osnovnog i tree harmonika su ostale
iste, ali je u drugom sluaju trei harmonik promjenio
fazu za 180. Iz ovog prostog primjera se vidi da bi
oblik signala ostao nepromijenjen, treba da su i fazna
izoblienja mala.

Slika 5.8. Prenosna karakteristika je uzrok


harmonijskom izoblienju

Na slici 5.8 data je prenosna karakteristika. Po svom


obliku, ona odgovara prenosnoj karakteristici feta. Na
slici se vidi da ova karakteristika nije prava, tj. zavisnost
izlazne struje od ulaznog napona nije linearna. Zbog
nelinearnosti karakteristike, nastat e izoblienje
izlaznog signala. Ako redom prenosimo signal u
trenutcima 0, T/4, T/2, 3T/4, T, i nacrtamo izlazni
signal, vidjet emo da on nije simetrian. Negativna
poluperioda po amplitudi je manja od pozitivne.
Dakle, uslijed izoblienja nastalih zbog nelinearnosti
prenosne karakteristike pojaavaa na izlazu nee biti
prostoperiodian, sinusoidalan signal. Ukoliko je
amplituda vea, utoliko je izoblienje vee.
Svaka neharmonijska periodina funkcija moe se
razloiti u tzv. Furijeov red koji sadri konstantan lan i
prostoperiodine funkcije frekvencije f, 2f, 3f,
Frekvencije f naziva se osnovni harmonik, a vie
frekvencije viim harmonici (drugi, trei, )

Slika 5.7 Uticaj faznog izoblienja na talasni oblik


sloeno periodinog signala

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Kao mjera izoblienja definira se klir faktor izoblienja ili


klir-faktor. Klir-faktor je odnos efektivne vrijednosti
nekog vieg harmonika i efektivne vrijednosti svih

34

OSNOVNI POJMOVI O POJAAVAIMA

izlazu, proporcionalne promjenama istnosmjernog


napona/struje na izlazu. Kod viestepenog
istosmjernog pojaavaa, sprega izmeu stepeni je
direktna, tzv. pojaavai sa direktnom spregom.

harmonika ukljuujui i osnovni harmonik, a dat je u


procentima za i-ti harmonik kao:
Ii
ki =
100%
n

Ir2

r =1

Visokofrekventni
pojaava.
Sprega izmeu
pojaavakih stepeni nainjena je pomou
oscilatornih kola, koja su podeena na frekvenciju
signala koji se pojaava. Pojaava pojaava
signale samo jednog uskog opsega frekvencija.
Opseg zavisi od selektivnosti upotrijebljenih
oscilatornih kola, a frekvencija se kree od nekoliko
desetina kHz do nekoliko desetina MHz.

Selektivni pojaava. To je pojaava uskog


opsega frekvencije. Srednja frekvencija pojaanja
moe da se kree od nekoliko Hz na vie.
Visokofrekventni pojaava takoe spada u grupu
selektivnih pojaavaa.

Pojaavai snage ili izlazni pojaavai su pojaavai


velikih signala. Pojaavae velikih snaga moemo
podijeliti u klase po tome koliko se vremena u toku
jedne periode pojaava signal

U sluaju da opsmatramo izlazni napon, klir-faktor i-tog


harmonika je:
Ui
ki =
100%
n

Ur2

r =1

Klir faktor se mjeri tako to se selektivnim voltmetrom


izmjere efektivne vrijednosti svakog harmonika, pa se
izrauna klir-faktor pomou gornje jednaina.

5.7.VRSTE POJAAVAA
U dosadanjoj analizi smo vidjeli da je pojaava u
sutini pojaava snage pa smo ih prema veliini ulazne
i izlazne otpornosti podijeliti u etiri grupe:
-

pojaavai napona,
pojaavai struje,
pojaavai sa prenosnom provodnou i
pojaavai sa prenosnom otpornou.

Prema veliini ulaznog signala moemo ih podijeliti na:


-

pojaavae malih i
pojaavae velikih signala.

Pojaavae malih signala moemo smatrati linearnim i


ne moramo voditi rauna o nelinearnim izoblienjima.
Kod pojaavaa velikih snaga pojavljuju se ogranienja
u radu i mora se voditi rauna o veliini nelinearnih
izoblienja. Da bi ona bila to je mogue manja, mora
se pravilno izabrati reim rada pojaavaa i preduzeti
specijalne mjere (negativna povratna sprega).
Pojaavai velikih signala su obino izlazni pojaavai,
odnosno, izlazni pojaavaki stepeni u sloenom
pojaavau.

5.7.1. Pojaavai A, B, AB i C klase


Na slici 5.9 data je prenosna karakteristika pojaavaa
sa ulaznim i izlaznim signalom. Radni uslovi su tako
podeeni da je izlazna struja proporcionalna ulaznom
naponu u toku cijele periode ulaznog signala. Izlazna
struja postoji u toku cijele periode signala T. U sluaju
karakteristike date na slici 5.9, ovaj reim se postie na
taj nain to na ulazu postoji istosmjerna komponenta
napona, takva, da kad nema signala, na izlazu protie
istnosmjerna struja koja je vea od amplitude
izmjenine komponente izlazne struje.
Ovakav pojaava se naziva: pojaava klase A. Kasnije
emo vidjeti da se moe postii vei stepen korisnog
dejstva, ako izlazna struja ne protie tokom cijele
periode.

Po frekvenciji signala koji se pojaava imamo:


-

Niskofrekventne NF-pojaavae, koji pojaavaju


signale ija je frekvencija u opsegu od 20 Hz do 20
kHz. Ogranienje frekventnog opsega unose
elementi, uglavnom kapaciteti u spoljanjem kolu,
izvan pojaavakih elemenata.

irokopojasni pojaavai. Ovo su niskofrekventni


pojaavai kod kojih je proiren frekventni oopseg
pojaanja. Donja granina frekvencija je sniena, a
gornja poveana. Opseg pojaanja je od nekoliko
Hz do nekoliko MHz. irokopojasni pojaava moe
biti nainjen tako da pojaava signale od
frekvencije jednake nuli (jednosmeran napon ) pa
do frekvencije nekoliko MHz.

Istosmjerni
pojaava,
pojaava
promjenu
istosmjernog napona ili istosmjerne struje. Kod
njega su promjene istosmjernog napona/struje na

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 5.9 Grafiki prikaz rada pojaavaa klase A

35

OSNOVNI POJMOVI O POJAAVAIMA

Na slici 5.10 data je prenosna karakteristika sa ulaznim


i izlaznim signalom. Radni reim je podeen tako da,
kada nema ulaznog signala, nema ni izlazne struje.
Dovoenjem na ulaz izmjeninog signala, pojavie se
izlazna struja tek kada ulazni napon postane vei od
nule, a to znai, za vrijeme pozitivne poluperiode. Za to
vrijeme izlazna struja je proporcionalna ulaznom
naponu.

Za sve tri klase pojaavaa, smo uzeli kao primjer da je


prenosna karakteristika prava linija. Kod realnih
pojaavaa prenosna karakteristika je zakrivljena,
naroito u poetnom dijelu. Izoblienje kod klase B bi
postojalo, ak i ako spregnemo dva ovakva pojaavaa.
Da bi izbjegli zakrivljenost poetka prenosne
karakteristike, reim rada se podesi tako da i kada
nema signala protie izvjesna izlazna struja. Tada pri
malim signalima pojaava u klasi A, a pri veim
signalima, kada je izlazna struja mnogo vea od mirne
struje, pojaava praktino radi u klasi B. Zato za
ovakav pojaava kaemo da radi u klasi AB.
ZA PONAVLJANJE:

Slika 5.10 Grafiki prikaz rada pojaavaa klase B

Za vrijeme negativne poluperiode, pojaava je


zakoen. Izlazna struja tee samo za vrijeme polovine
periode (T/2). Ovakav pojaava naziva se: pojaava
klase B. Na izlazu kao to se vidi, umjesto sinusoide
imamo samo polusinusoidu. Znai signal je izoblien.
Da signal ne bi bio izoblien obino se spreu dva
ovakva pojaavaa, ali tako da jedan pojaava
pozitivnu, a drugi negativnu poluperiodu signala.

Slika 5.12 Grafiki prikaz rada pojaavaa klase C

Na slici 5.11 grafiki je prikazan princip rada pojaavaa


klase C. Istosmjerni ulazni napon je odabran tako da
izlazna struja potee tek kada je trenutna vrijednost
ulaznog signala dostigla izvjesnu vrijednost. Na slici
5.11 ta vrijednost je jednaka apsolutnoj vrijednosti
istosmjernog ulaznog napna. Tek posle tog trenutka,
izlazna struja e biti proporcionalna ulaznom naponu.
Izlazna struja protie za vrijeme 0, koje je krae od
polovine periode (0<T/2).
Nikakvim sprezanjem pojaavaa klase C ne mogu se
izbjei izoblienja. Ova klasa pojaavaa se koristi samo
kao visokofrekventi pojaava, kod koga oscilatorno
kolo izdvaja osnovni harmonik.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

ta se podrazumijeva pod pojmom signal ?


Zato se vri pojaanje snage?
ta je ulazni, a ta izlazni otpor pojaavaa i
kako su oni definirani?
Kako je definirano naponsko pojaanje
pojaavaa?
Kako
je
definirano
strujno
pojaanje
pojaavaa?
Definiraj idealan strujni i idealan naponski
pojaava.
Koliko je pojaanje kaskadno spregnutih
pojaavaa ako je pojaanje pojedinih
pojaavaa izraeno: odnosom snaga i u
decibelima?
Koliko
je naponsko i strujno pojaanje
kaskadno spregnutih pojaavaa, kada je
pojaanje pojedinih
pojaavaa izraeno u
decibelima?
Kako se izraunava pojaanje snage, napona i
struje u decibelima?
ta je izoblienje signala i uslijed ega ono kod
pojaavaa nastaje?
Kakva su to linearna izoblienja?
Definirati donju i gornju graninu frekvenciju
pojaavaa.
ta je irina propusnog opsega i kako je
definirana?
Kako se nazivaju pojaavai kod kojih je
propusni opseg mnogo manji i od gornje i od
donje granine frekvencije?
Da li fazna izoblienja kod zvunog signala
igraju bitnu ulogu u razumnjivosti?
Kod kakvog signala ne smije da se dozvoli da
doe do faznog izoblienja?
Kakva su nelinearna harmonijska izoblienja?
ta je uzrok nelinearnih izoblienja?
Definirati i emu je jednak klir-faktor?
Kako moemo podijeliti pojaavae prema
veliini signala?
Kako moemo podijeliti pojaavae prema
frekvenciji signala koji pojaavaju?
ta su pojaavai snage? Kako ih moemo
podijeliti na klase?
U koju klasu spadaju svi pojaavai malih
signala?
Kako se mogu izbjei izoblienja pojaavaa
klase B?
Kakvi su to pojaavai klase AB?

ISTOSMJERNI REIM
RADA POJAAVAKIH
ELEMENATA

Stabiliziranje istosmjerne radne take MOSFET

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

poglavlje

37

ISTOSMJERNI REIM RADA POJAAVAKIH ELEMENATA

6. ISTOSMJERNI REIM RADA POJAAVAKIH ELEMENATA


Pojaavaki elementi, kao to su: tranzistori, fetovi,
mosfetovi, i elektronske cijevi, napajaju se iz izvora
istosmjerne struje. Na njihovim elektrodama postoji
istosmjerni napon, a kroz njih protie istosmjerna
struja. Kaemo da se elementi nalaze pod istosmjernim
reimom rada.
Tek kada su elementi prikljueni na izvor istosmjernog
napona, kada se nalaze u istosmjernom reimu rada,
promjenom istosmjernog napona ili istosmjerne struje
na ulazu, odnosno dovoenjem izmjeninog signala na
ulaz, elementi e raditi kao pojaava.
Elektronske cijevi kao pojaavai malih snaga vie se ne
koriste, te njih u analizi neemo ni razmatrati.
Poreenja radi, kola sa elektronskim cijevima su slina
kolima sa fetom. Razlika je jedino u tome to
elektronske cijevi imaju jo grijanje katode, a pored
toga, rade sa veim naponom napajanja. Mosfetovi se
rijetko koriste kao diskretni elementi zbog toga to im
je ulazna impedansa vrlo velika, pa su osjetljivi na
rukovanje. Vrlo lako dolazi do proboja gejta. Meutim,
ako se koriste kao diskretni elementi, elektronska kola i
analiza tih kola su identini analizi kola sa fetovima.
Prema tome u daljoj analizi pojaavaa uzeemo u
obzir samo analizu kola sa fetovima i tranzistorima.

.
a ema osnovnog pojaavakog stepen sa fetom

6.1. OSNOVNA POJAAVAKA KOLA


I GRANICE RADA
6.1.1. Pojaava sa fetom
ema najprostijeg pojaavakog stepena sa fetom, data
je na slici 6.1a, a granice rada feta na slici 6.1b.
Granice su UG=0, UG>UD, UD<UDM, i snaga disipacije
manja od Pd. Na slici 6.1b ove granice su rafirane, a
radna oblast je oiviena ovim granicama.
Naponima napajanja UDD i UGG i otporima RD i RG,
odreeni su napon gejta i struja i napon drejna.
Kondezatori C1 i C2 slue za spregu. Prvi sa pobudnim
generatorom (generatorom ulaznog signala), a drugi za
odvoenje signala ka potroau ili slijedeem
pojaavakom stepenu.
Ustvari, ova dva kondenzatora ne dozvoljavaju prolaz
istosmjerne struje prema ulaznom generatoru, odnosno
prema potroau, te istosmerni reim ne zavisi od
ulaznog generatora, odnosno od potroaa.
Ulazni otpor feta je vrlo velik, te je struja gejta vrlo
mala. Pad napona na otporu RD, iako je otpor velik, vrlo
je mali, pa je napon gejta priblino jednak naponu
napajanja.
Dovoenjem napona Ui na ulaz, mijenja se istosmjerni
napon gejta, pa se menja i struja drejna. Uslijed
promene struje drejna, mijenja se pad napona na
otporu RD, te se na izlazu dobija izmjenini napon Uo,
koji je, kako emo vidjeti, vei od ulaznog napona, to
znai da se ostvaruje pojaanje napona.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

b granice radne oblasti feta obiljeene


na izlaznim karakteristikama
Slika 6.1 Pojaavako kolo sa fetom

6.1.2. Pojaavako kolo sa tranzistorom


ema najprostijeg pojaavaa sa tranzistorom data je
na slici 6.2a, a donje granice rada tranzistora na slici
6.2b. Napon kolektora ne smije doi u oblast zasienja,
a bazna struja ne moe biti manja od nule (za NPN
tranzistore). Gornje granice rada su: maksimalna
kolektorska struja ICM, maksimalni kolektorski napon
UCEM i dozvoljena disipacija Pd (slika 6.2c). Kako su
naponi baze i kolektora istog polariteta, napajanje i
kolektora i baze moe biti iz istog izvora, odnosno, UBB
moe biti jednako sa UCC. I ovdje istosmjerni reim rada
odreuje napon napajanja UCC (UCC=UBB) i otpornici RB i
RC.
Otpor RB je mnogo vei od ulaznog otpora tranzistora,
te pod uticajem ulaznog napona Ui, ulazna struja Ii je
priblino jednaka baznoj izmeninoj struji Ib. Uslijed
bazne struje Ib potei e izmjenina kolektorska struja.
Prolaskom ove struje kroz kolektorski otpor uslijed pada
napona na njemu, dobiemo izmjenini napon na izlazu
Uo. Izlazni napon je vei od ulaznog, te je ostvareno

38

ISTOSMJERNI REIM RADA POJAAVAKIH ELEMENATA

pojaanje napona. Posmatrajui ulaznu struju Ii i


izlaznu struju Io, ova druga je vea, te je ostvareno i
pojaanje struje.

6.2. RADNA TAKA I RADNA PRAVA


6.2.1. Radna taka i radna prava feta
Na slikama 6.3a i 6.4a, date su osnovne eme
pojaavaa sa fetom i tranzistorom, sa obiljeenim
podacima za analizu istosmjernog reima rada.

a ema pojaavaa sa bipolarnim tranzistorom

a - ema pojaavakog stepena


sa naznaenim veliinama napona i struja

b donje granice rada tranzistora

b - prikaz istosmjernog reima rada


na izlaznim karakteristikama
Slika 6.3 Istosmjerni reim rada feta

Za fet, pod uticajem ulaznog napona gejta UG, potei e


struja drejna ID. Uslijed proticanja struje drejna ID kroz
otpor RD, na njemu e biti pad napona URD=RDID te e
za veliinu tog napona, napon drejna UDS biti manji od
napona drejna UDD.
UDS - UDD + R D ID = 0
UDS = UDD - R DID
c gornje granice rada tranzistora
Slika 6.2 Osnovni pojaavaki stepen sa tranzistorom

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Kao to se vidi druga jednaina jeste jednaina prave u


koordinatnom sistemu ID-UDS. Na slici 6.3b, ova prava
je ucrtana i obiljeena sa RD.

39

ISTOSMJERNI REIM RADA POJAAVAKIH ELEMENATA

Da bismo nacrtali tu pravu pretpostavimo:


ID=0 UDS=UDD
UDS=0 ID=UDD/RD
Ona presjeca ID osu u taki UDD/RD, a UDS osu u taki
UDD. Prva taka se praktino nemoe ostvariti, jer
minimalni pad napona na fetu je odreen
karakteristikom UG=0. (taka B) . Taka UDD e se
ostvariti kada je struja drejna jednaka nuli, jer je tada
pad napona na otporu RD jednak nuli. Veliina struje ID
i napona UDS su odreene presjekom karakteristike koja
odgovara datom ulaznom naponu UG i pravom datom
gornjom jednainom pravca. Na slici je ta taka
presjeka obiljeena sa Q i naziva se: radna taka.
Promjenom napona UG , pomjerat e se i radna taka
po pravoj RD. Ova prava, odreena otporom u kolu
drejna RD, naziva se: radna prava feta.

a - ema pojaavakog stepena sa naznaenim veliinama


napona i struja

Aktivan dio radne prave, odreen je maksimalnom


strujom koja se ima kada je napon gejta jednak nuli
(taka B) i maksimalnim naponom jednakim naponu
napajanja UDD. U praksi, zbog toga to su karakteristike
pri malim strujama drejna zbijene, ne koristi se
promjena struje drejna do ID=0, ve se dozvoljava
izvjesna mala struja, tako da je aktivan dio prave od
take A do take B.
Ulazni otpor feta je vrlo velik, mnogo vei od otpora RG,
pa je pad napona na otporu RG vrlo mali. Zbog toga je
napon gejta UG priblino jednak naponu napajanja
gejta UGG.

6.2.2. Radna taka i prava tranzistora


Analogno pojaavau sa fetom, za pojaava sa
tranzistorom, u polju izlaznih karakteristika ucrtana je
radna prava, koja je ovdje odreena kolektorskim
otporom RC. Napon kolektora UCE je manji od napona
napajanja za pad napona na kolektorskom otporu RC.

b - prikaz istosmjernog reima rada na izlaznim


karakteristikama
Slika 6.4 Istosmjerni reim rada tranzistora

U CE = U CC - R C I C

Analogno kao kod feta provedena je analiza:


IC=0 UCE=UCC
UCE=0 IC=UCC/RC
Parametar kod izlaznih karakteristika je bazna struja te
se radna taka dobija na presjeku radne prave RC i
karakteristike za odreenu istosmjernu baznu struju IB.
Ova bazna struja je odreena baznim otporom RB,
naponom napajanja UCC i baznim naponom UBE.
IB =

U CC - U BE
RB

Vrlo esto je napon napajanja UCC mnogo vei od


baznog napona UBE , pa se, u tom sluaju, moe
napisati:
U
I B = CC
RB

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 6.5 Dovoenjem izmjenine komponente struje Ib, radna


taka Q se pomijera po radnoj pravoj. Istosmjerni IC i UCE se
mijenjaju te imamo i izmjenine komponente ic i uc

Radnu taku i za fet i za tranzistor stavili smo na


sredinu radnog dijela radne prave. To smo uinili zbog
toga, da dovoenjem izmjeninog signala na ulaz
pojaavakog stepena, na primjer, sinusoidalne bazne

40

ISTOSMJERNI REIM RADA POJAAVAKIH ELEMENATA

struje, dobijemo na izlazu sinusoidalnu kolektorsku


struju i kolektorski napon (slika 6.5).

Slika 6.6 Pogreno izabrana radna taka. Bazna struja je velika


(tranzistor u zasienju, promjenom IB ne mijenjaju se UCE i IC)

Ovo je naroito vano, kada je signal velik. Radna taka


za vrijeme cijele periode ne smije da izae van radne
oblasti, odnosno, mora se kretati po radnoj pravoj u
oblasti u kojoj je izlazna struja proporcionalna veliini
ulaznog signala.
Na slici 6.6 radna taka se nalazi u taki B, tj u oblasti
zasienja. Bazna istosmjerna struja je 40 A. Ako se
dovede izmjenina bazna struja amplitude 10 A radna
taka Q se nee pomjeriti iz take B. Sve tri
karakteristike za bazne struje 30, 40 i 50 A slivaju se
u oblasti zasienja u istu krivu, koja presjeca radnu
pravu u taki B. Prema tome, iako promjena
istosmjerne bazne struje iznosi 20 A, nee biti
promjene kolektorske struje, pa ni promjene
kolektorskog napona. Tranzistor nee pojaavati signal.
ta vie, on e priguiti signal.

6.1.3. Prenosna karakteristika pojaavaa

a - izlazne karakteristike sa radnom pravom, b prenosna dinamika i statika karakteristika tranzistora i


c prenosna karakteristika se obino crta lijevo od izlaznih karakteristika
Slika 6.7 Grafiko dobijanje prenosne karakteristike pojaavaa sa tranzistorom

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

41

ISTOSMJERNI REIM RADA POJAAVAKIH ELEMENATA

Da bismo mogli pratiti signal od ulaza do izlaza, koristit


emo i prenosne karakteristike. Crtanje ulaznog i
izlaznog signala na nain pokazan na slici 6.5 mogue
je samo ako je prenosna karakteristika linearna. Ako to
nije sluaj, izlazni signal nije simetrian, neizoblien, jer
je razmak izmeu karakteristika razliit.
Na slici 6.7 prikazano je kako, polazei od izlaznih
karakteristika moemo nacrtati prenosnu karakteristiku.
Desno od izlaznih karakteristika nacrta se koordinatni
sistem. Na apscisu se nanosi bazna struja IB, a na
ordinatu kolektorska struja u istoj razmjeri kao kod
izlaznih karakteristika.
Za svaku taku presjeka radne prave i odreene izlazne
karakteristike prenesemo veliinu kolektorske struje. Na
slici je to pokazano za take C1 i F1. Prenijeta taka na
primjer C1, nalazi se na presjeku horizontalne prave
koja polazi iz C1 i vertikalne prave koja odgovara
odreenoj baznoj struji. U ovom sluaju to je IB=2mA.
Kada sve prenijete take spojimo, dobiemo prenosnu
karakteristiku tranzistorskog pojaavaa.
Radi poreena na slici je istim postupkom nacrtana i
prenosna karakteristika tranzistora. Umjesto radne
prave ovdje je uzeta vertikalna prava RC =0 i presjene
take A2, B2, C2... su prenijete kao take A'2, B'2, C'2 ...
Kao to se vidi prenosna karakteristika tranzistorskog
pojaavaa se razlikuje od prenosne karakteristike
tranzistora. Dok je prenosna karakteristika tranzistora
skoro
prava,
dotle
prenosna
karakteristika

tranzistorskog pojaavaa, poslije take F'1 postaje


praktino horizontalna linija. Kolektorska struja ostaje
konstantna, iako bazna struja raste.
Pri grafikoj analizi rada pojaavakih stepena esto se
prenosna karakteristika crta s lijeve strane izlaznih
karakteristika, kao da se nalazi u drugom kvadrantu
(na slici 6.7 lijevo) kako bi se ulazni signal nalazio
lijevo, a izlazni desno. Ustvari, ovakav nain crtanja
prenosne karakteristike prihvaen je od naina crtanja
prenosne karakteristike elektronske cijevi i feta. Kod
njih je prenosna karakteristika zaista u drugom
kvadrantu (gejt je negativan, a struja drejna pozitivna,
za N kanalni fet.
Na slici 6.8 prikazano je kako poloaj mirne radne take
utie na izoblienje velikog signala. Za tranzistor koji
ima prenosnu karakteristiku kao na slici 6.6, na ulaz je
doveden sinusoidalan signal (bazna struja ib). Zbog
zakrivljenosti
prenosne
karakteristike,
pozitivna
poluperioda kolektorske struje je odsjeena. Zbog toga
srednja vrijednost kolektorske struje nije jednaka
mirnoj kolektorskoj struji, odnosno, kolektorskoj struji u
istosmjernom reimu rada kada nema ulaznog signala.
Smanjenje kolektorske struje za vrijeme negativne
periode je vee od poveanja kolektorske struje za
vrijeme pozitivne poluperiode ulaznog signala. Prema
tome radna taka Q, u prisustvu signala pomjera se u
taku Q', odreenu srednjom vrijednou kolektorske
struje.

Slika 6.8 Primjer praenja signala od ulaza do izlaza preko preko prenosne i izlazne karakteristike
Uslijed toga to je radna taka blizu oblasti zasienja, a ulazni signal veliki, nastaju izoblienja

Kako su ve analizirana linearna izoblienja, konstatirali


smo da e nelinearnih izoblienja biti kada je prenosna
karakteristika nelinearna. Pored viih harmonika,
pojavljuju se i promjene istosmjerne komponente, to
je u ovom sluaju i pokazano. Da ne bi bilo izoblienja,
odnosno, da bi izoblienje bilo minimalno, radnu taku
treba izabrati tako da se nalazi na sredini pravolinijskog
dijela prenosne karakteristike.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

6.1.4. Statika i dinamika radna prava


Prilikom dosadanjeg odreivanja radne prave
pretpostavili smo da je otpor optereenja istovremeno i
kolektorski otpor, odnosno, otpor u kolu drejna. Mnogo
ee kolektorski otpor ili otpor drejna slue samo za
dovoenje istosmjerne struje odnosno za odreivanje

42

ISTOSMJERNI REIM RADA POJAAVAKIH ELEMENATA

istosmjernog reima rada. Optereenje se posebno


dodaje. Tako npr. Ako imamo viestepeni pojaava,
onda prikljuenjem slijedeeg stepena, ovaj sa svojim
ulaznim otporom optereuje predhodni stepen.
Na slici 6.9a prikazan je sluaj u kome je optereenje
posebno dodano.
Otpor optereenja RL je prikljuen na kolektor
tranzistora, ali preko kondenzatora C. Ovaj kondenzator
ima vrlo veliki kapacitet kako bi njegova impedansa bila
mnogo manja od otpora optereenja RL. Ovaj
kondenzator slui kao kratak spoj izmeu izlaza i otpora
optereenja za izmjeninu struju, a da pri tom sprijei
proticanje istosmjerne struje kroz potroa RL.

RC, jer je istosmjerni reim rada odreen pravom RC.


Prava RC je statika radna prava, a prava RC||RL je
dinamika radna prava. Ukoliko se pomjeri radna taka,
pomjerit e se i dinamika radna prava paralelno samoj
sebi toliko da ponovo prolazi kroz novu radnu taku Q.

6.3. ISTOSMJERNI REIM RADA FETA


Potencijal gejta kod feta je suprotnog znaka od
potencijala drejna. Prema tome za napajanje
pojaavaa sa fetom potrebna su dva izvora, kao to se
vidi na slici 6.10a. U mirnom stanju pojaavaa protie
konstantna struja drejna. Kako je struja gejta
zanemarljivo mala, to je struja sorsa jednaka struji
drejna. Ako se u kolu sorsa postavi otpornik, pravilnim
izborom veliine otpora moe se ostvariti eljena
polariziranje gejta u odnosu na sors. Na slici 6.11 se
moe vidjeti kako se ostvaruje ta polariziranje gejta.
Uslijed proticanja struje IS (oznaen je stvaran smjer
struje za N-kanalni fet), na otporu RS stvorit e se pad
napona URS. Ako gejt veemo preko otpora RG za masu,
zbog zanemarljivo male struje gejta potencijal gejta
bie jednak potencijalu mase, odnosno uzemljena. Kako
je potencijal sorsa vii od potencijala mase za URS, to je
napon izmeu gejta i sorsa jednak negativnoj
vrijednosti pada napona na otporu RS.
UGS=-URS=-RSIS

Slika 6.9 Dinamika i statika radna prava

U pogledu istosmjernog reima otpor optereenja je RC,


te za crtanje radne prave koristimo samo taj otpor
(slika 6.8b ), kao to smo i dosad radili. Za izmjeninu
komponentu struje, kondenzator C predstavlja kratku
vezu, te je otpor optereenja paralelna veza
kolektorskog otpora RC i otpor potroaa RL.
Bez prisustva ulaznog signala, radna taka je Q.
Dovoenjem signala, radna taka se nee kretati po
pravoj odreenoj otporom RC, ve po pravoj
odreenom otporom koji ine paralelno vezani otpori RC
i RL. Ova prava takoe prolazi kroz radnu taku Q.
Ukoliko je signal toliko velik da nastupe izoblienja, pa
se pored viih harmonika pojavi istosmjerna
komponenta (nulti lan Furijeovog reda), promjenit e
se srednja vrijednost istosmjerne komponente, pa e se
radna taka Q pomjeriti. Ova promjena e biti po pravoj

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 6.10 Automatsko polariziranje ili samopolariziranje feta,


ema i statika i dinamika radna prava

43

ISTOSMJERNI REIM RADA POJAAVAKIH ELEMENATA

Ovako izvreno polariziranje feta, kao to smo vidjeli,


ima tu prednost da je dovoljan samo jedan izvor za
napajanje, ali ima i nedostataka. Prvo, struju gejta smo
zanemarili smatrajui je vrlo malom, te nismo uzeli u
obzir pad napona na otporu RG. Na visokim
temperaturama, kada struja gejta poraste, pad napona
na otporu nije vie zanemarivo mali, te e napon
izmeu gejta i sorsa biti smanjen
UGS=IGRG - ISRS
Drugo, uslijed porasta temperature smanjuje se
pokretljivost nosilaca u kanalu. Uslijed smanjenja
pokretljivosti smanjivae se i struja drejna, te e se
radna taka pomjeriti po radnoj pravoj.
Tree,
porastom
temperature
poveava
se
koncentracija manjinskih nosilaca, pa se smanjuje i
kontaktna razlika potencijala. Kako napon dodira zavisi
od kontaktne razlike potencijala (od napona barijere),
to e i napon dodira zavisiti od temperature. Prema
tome, poloaj radne take, zavisi od temperature
okoline u kojoj fet radi.
Prilikom dimenzioniranja otpornika RG, uzimamo
veliinu otpora RG to je mogue veu, kako bi i ulazni
otpor pojaavaa bio to vei. Sa druge strane treba
uzeti otpor RG to manji, kako bi pad napona na njemu
uslijed proticanja struje gejta bio manji. Ovaj pad
napona pri najvioj temperaturi mora biti mnogo manji
od napona UGS, kako bi pomjeranje radne take bilo u
dozvoljenim stranicama.
Pomjeranje karakteristika uslijed porasta temperature u
radnom podruju je obino na nie. Struja drejna moe
da se smanji i do 30 %. Jo vie struja drejna moe da
se promjeni prilikom zamjene feta. Kod uzoraka feta
istog tipa naponi dodira i dimenzije kanala se mogu
razlikovati te e za isti napon gejta kod njih struja
drejna biti razliita.
Kod automatske polarizacije promena struja drejna
(sorsa) se ublaava uslijed prisustva otpora RS. Ako se
iz bilo kojeg razloga promeni struja sorsa, na primjer
poraste, porasti e pad napona na otporu RS, te i
negativan napon gejta. Poveanje negativnog napona
gejta smanjuje se struja sorsa, dakle, suprotno dejstvo
od prvobitnog. Na taj nain se ublaava promena struje
sorsa (drejna) koja bi bila da je napon gejta fiksan.
Pomjeranje radne take nastaje i kada se promjeni
napon napajanja UDD.

6.3.1. Jednostepeni pojaava sa fetom


Na slici 6.11 data je ema jednostepenog pojaavaa sa
fetom kod koga se radna taka pomjera sa promjenom
napona napajanja drejna tako da uvek ostaje priblino
u sredini radne oblasti. U ovoj emi gejt je vezan za
razdjeljnik napona koji ine R1 i R2. Potencijal gejta je
vei od potencijala mase. Zbog toga je i pad napona na
otporu RS vei od -UGS, naime
UGS=-URS+UR1

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 6.11 Stabiliziranje radne take feta,


ema i promjena radne take i radne prave
uslijed promjene napona napajanja

Opadanjem napona napajanja, koje obino nastaje


uslijed starenja baterije, opae i pad napona na otporu
R1. Kako je UR1>0, to e da poraste negativna vrednost
napona gejta. Uslijed toga se smanjuje struja drejna.
Nova radna taka se nalazi na presjeku karakteristike
za novi napon gejta (UGS2) i radne prave RD+Rs koja je
paralelna prethodnoj, a polazi iz take ID=0 i UDD2.
Napon UGS2 nije odreen samo promjenom napona na
otporu R1, ve promjenom pada napona i na otporu RS.
Naime, smanjenjem struje IS smanjuje se i pad napona
URS .
Prilikom dimenzioniranja otpora R 1 vodi se rauna o
tome da struja kroz otpor R1 (I1) bude bar 5 do 10 puta
vea od struje IG koja se ima pri najvioj radnoj
temperaturi. Otpor R2 se odreuje tako da se dobije
eljeni napon UR1.
Kako je otpor Rs vei od istog otpora u prethodnom
sluaju to je stabiliziranje radne take pojaavaa na
slici 6.11a vea, jer uslijed veeg otpora bie vea i
promjena napona URs sa istom promjenom struje kroz
otpor Rs.
Pomjeranje radne take uslijed promjene struje gejta
bit e mnoga manja nego u pojaavau bez razdjeljnika

44

ISTOSMJERNI REIM RADA POJAAVAKIH ELEMENATA

napona i zbog toga to je otpor R1 manji. Meutim to


mu je i mana jer mu je ulazni otpor mali.
Na slikama 6.10, 6.11 i 6.12 nacrtan je kondenzator CS
paralelno otporu RS. Ovaj kondenzator slui za kratko
spajanje otpornika RS za izmjeninu struju, odnosno za
ulazni signal. Kapacitet ovog kondenzatora treba da je
vrlo velik kako bi kapacitivna otpornost bila mnogo
manja od otpora RS, koje kapacitet treba kratko da
spoji. Naime, vidjeli smo da se preko otpora RS, vri
povratno dejstvo stabiliziranje poloaja radne take.
Promjeni li se iz bilo kojeg razloga izlazna struja (struja
drejna, odnosno, sorsa) preko otpora RS to e povratno
djelovati na ulaz. U ovom sluaju povratno dejstvo je
tako da se prvobitno izazvana promjena smanjuje.
Izmeu izlazno i ulaznog kola, u tom sluaju postoji
negativna povratna sprega. Da ne bi bilo negativne
povratne sprege i za izmjeninu komponentu, odnosno
za signal stavlja se kondenzator CS. koji za izmjeninu
komponentu struje kratko spaja otpor RS.

6.4. ISTOSMJERNI REIM RADA MOSFETA


Potencijal gejta kod mosfeta bez ugraenog kanala je
istog znaka kao i potencijal drejna. Kako je struja
drejna praktino jednaka nuli, to se polariziranje gejta
moe ostvariti razdjeljnikom napona (slika 6.11). Sors
se moe prikljuiti direktno na zajedniku masu, te su
nepotrebni otpornik RS i kondenzator CS. Meutim ako
elimo stabilirati radnu taku, da je nainimo
nezavisnom od temperature i nezavisnom od izabranog
uzroka mosfeta istog tipa, staviemo otpor i kapacitet u
kolo sorsa, kao to je uinjeno na slici 6.12.

polariziranje gejta. A napon gejta slijedeeg stepena je


jednak naponu drejna prethodnog pojaavakog
stepena. U integriranim kolima tako su i spregnuti
mosfetovi, bilo da su pojaavaki stepeni, bilo digitalna
kola.
Mosfet sa ugraenim kanalom, u zavisnost od toga gdje
je odabrana radna taka ponaa se ili kao fet
(smanjenje irine kanala pod uticajem napona gejta) ili
kao mosfet bez ugraenog kanala (kanal se proiruje
pod naponom gejta).

6.5. ISTOSMJERNI REIM RADA


TRANZISTORA
Tranzistor je sloeniji element od feta i mosfeta.
Njegove karakteristike mnogo vie zavise od
temperature te je mnogo potrebnije stabiliziranje radne
take. Zato emo ove uticaje detaljnije prouiti.
Od temperature zavisi ulazni napon, inverzna
kolektorska struja i faktor strujnog pojaanja. Osim
toga i faktor strujnog pojaanja i ulazni napon, pa i
kolektorska inverzna struja razliiti su od uzorka do
uzorka tranzistora. Kao i kod feta, radna taka e
zavisiti i od napona napajanja. Kako se tranzistorski
ureaji (npr. radioaparat) napajaju iz suhe baterije iji
se napon po eliji mijenja od 1.5 V kada je nova, pa do
oko 1 V pri kraju njenog radnog vijeka, to se mora
obezbijediti da tranzistor dobro funkcionira za toliko
veliku promjenu napona napajanja.
Stabiliziranje radne take se moe izvesti pomou
povratne sprege, tako da ta povratna sprega zavisi od
izlaznog napona ili od izlazne struje. Osim toga
stabiliziranje radne take moe se izvesti pomou
nekog elementa koji e vriti kompenziranje promjene
nastale promjenom temperature.

Slika 6.12 Stabiliziranje radne take mosfeta

Na slici 6.13 grafiki je prikazana promjena poloaja


radne take. Pod uticajem temperature poveava se
struja zasienja kolektorskog spoja ICBO, pa se
poveava i kolektorska struja ICEO, koja je 1+b puta
vea od ICBO. Ako je radna taka Q odreena baznom
strujom IB1, ona e se pomjeriti, jer e presjek
karakteristike i radne prave biti sada u taki Q' (slika
6.13a). Ova pomjeranja radne take uslijed poveanja
struje zasienja naroito su velika kod germanijumskih
tranzistora. Struja ICBO moe biti i nekoliko stotina mA.
Kod poveanja struje za 10C ova struja se udvostrui.
Ukoliko je istosmjerna struja bila 0.5 mA to e pri
poveanju temperature za 20C radna taka otii u
oblast zasienja.

Polariziranje mosfeta sa ugraenim kanalom ista je kao


polariziranje feta, ukoliko je radna taka izabrana da je
potencijal gejta suprotnog znaka od potencijala drejna.
U svakom sluaju ema na slici 6.12 je ista samo se
veliine pojedinih otpora moraju adekvatno odabrati.

Sa porastom temperature, napon izmeu baze i


emitera, pri konstantnoj kolektorskoj struji se smanjuje
za oko 2mV/C. Ukoliko se baza napaja konstantnom
strujom, ova promjena ulaznog napona nema uticaja na
kolektorsku struju, pa ni na radnu taku tranzistora.

Budui da je kod mosfeta kod ugraenog kanala


potencijal gejta istog znaka kao i potencijal drejna,
mogue je neposredno vezati pojaavake stepene
jedan za drugi, to je nepotrebno posebno kolo za

Ukoliko se napajanje tranzistora vri kao na slici 6.2a iz


izvora za napajanje kolektora, promjena baznog
napona sa temperaturom u poreenju sa naponom
napajanja toliko je mala, da se moe zanemariti.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

45

ISTOSMJERNI REIM RADA POJAAVAKIH ELEMENATA

Meutim, ako se napajanje vri iz izvora relativno


niskog napona, koji nije mnogo vei od baznog napona
UBE kolektorska struja e se mijenjati sa promjenom
baznog napona.

a - pomjeranje uslijed promjene kolektorske struje ICBO

b pomjeranje uslijed promjene faktora strujnog pojaanja b

6.6. STABILIZIRANJE RADNE TAKE


TRANZISTORA

c pomjeranje uslijed promjene baznog napona


Slika 6.13.Pomjeranje radne take kod tranzistora

Na slici 6.13c data je ulazna karakteristika tranzistora.


Na slici se vidi da e promjena bazne struje biti utoliko
vea koliki je bazni otpor manji. U sluaju da je bazni
otpor mali, neophodno je izvriti na neki nain
kompenziranje promjene baznog napona, jer uslijed
promjene bazne struje mijenjat e se kolektorska
struja, a sa njom i radna taka u polju izlaznih
karakteristika.
ZA PONAVLJANJE:
Kakav je to istosmjerni
poluprovodnikih elemenata?

reim

ime su odreene granice rada tranzistora i


fetova?
Zbog ega se koriste kondenzatori na spregu?
Da li napon gejta kod feta zavisi od veliine
spoljanjeg otpora gejta RG ako zavisi, zato,
kako i pod kojim uslovima?
Opii kako fet radi kao pojaava?
Opii kako tranzistor radi kao pojaava?
U koju vrstu pojaavaa moe staviti
pojaava sa fetom a u koju pojaava sa
tranzistorom?
ta je radne prava,a ta radna taka? ime je
odreena radna prava i radna taka?
Gdje treba da se nalazi radna taka na radnoj
pravoj?
Da li se poloaj radne take na radnoj pravoj
kod tranzistora razlikuje od poloaja radne
take na radnoj pravoj kod fet?
ta bi se desilo kada bi radna taka bila u
oblasti
zasienja
izlaznih
tranzistorskih
karakteristika?
Skicirati talasni oblik kolektorske struje i
kolektorskog
napona
ako
se
privede
sinusoidalna bazna struja a radna taka se
nalazi u taki IC=0, UCE=UCC.
Pokazati kako se grafiki odreuje prenosna
karakteristika,
ako
su
date
izlazne
karakteristike i radna prava.
Zato se dinamika radna prava razlikuje od
statike?
Kako se kod feta ostvaruje samopolariziranje
gejta?
Kako utie promjena temperature na poloaj
radne take kod feta?
ta se uzima u obzir prilikom dimenzioniranja
razdjeljnika napona za napajanje gejta kod
feta?
Kakva je uloga kondenzatora za spregu na
ulazu i izlazu pojaavaa?
Zato se paralelno otporu u kolu sorsa vezuje
kondenzator? Kako se odreuje veliina
njegovog kapaciteta?
Po emu se ema za polariziranje mosfeta
razlikuje od eme za polariziranje feta?
Zato se mosfetovi mogu direktno spregnuti
drejn prethodnog za gejt narednog mosfeta?

rada

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

6.6.1. Stabiliziranje radne take tranzistora


naponskom povratnom spregom
Stabiliziranje naponskom povratnom spregom vri se na
taj nain to se bazna struja uini zavisnom od
kolektorskog napona.
Na slici 6.14a dato je rjeenje ovog problema. Bazni
otpor se drugim krajem vezuje za kolektor tranzistora
umjesto za napon napajanja.
Neka se kolektorska struja povea iz bilo kog razloga.
Uslijed poveanja kolektorske struje, poveava se pad

46

ISTOSMJERNI REIM RADA POJAAVAKIH ELEMENATA

napona na kolektorskom otporu RC pa se kolektorski


napon UCE smanji.
Smanjenjem kolektorskog napona, smanjit e se struja
kroz bazni otpor tj. smanjie se bazna struja. Uslijed
promjene bazne struje smanjit e se i kolektorska
struja, odnosno smanjit e se prvobitan porast
kolektorske struje. Prema tome uslijed povratnog
dejstva stabilizira se i poloaj radne take.

UCE, uslijed ega e se smanjiti bazna struja kroz otpor


RB, pa prema tome i kolektorska struja. Na slici 6.14b
pokazano je kako se pomijera radna taka kada se
napon napajanja smanji za DUCC. Pri tome uslijed
povratne sprege bie sprijeeno pomjeranje radne
take i kada se na ulaz dovede signal. Prema tome
uslijed povratne sprege pomjeranje radne take bie
mnogo manje nego kada nema povratne sprege.
Ovakav pojaava e slabo pojaavati signal. Da bismo
sprijeili ovo povratno dejstvo za izmjenini signal
povratnu spregu emo izvriti kao to je pokazano na
slici 6.14c. Otpor RB emo uzeti iz dva dijela RB1 i RB2
tako da je:
RB=RB1+RB2,
i srednju taku blokirati kapacitetom C3.

a uprotena ema veze

b pomjeranje radne prave i radne take


prilikom promjene napona napajanja

Na taj nain otpor RB2 i kapacitet C3 ine filter za


izmjeninu struju. Ukoliko je kapacitet C3 dovoljno velik,
potencijal take A je praktino nezavisan od trenutne
vrijednosti kolektorskog napona. Prema tome i bazna
struja e za vrijeme cijele periode izmjeninog signala
ostati konstantno nezavisna od trenutne vrijednosti
kolektorske struje odnosno kolektorskog napona. Dakle,
ovakvo izvedena sprega radi stabiliziranja radne take
nee uticati na pojaanje ovog pojaavaa.
Ukoliko je RC u isto vrijeme i otpor optereenja
tranzistora, a RB2 mnogo vei od RC radna take treba
da se nalazi na sredini izmeu taaka A i B. To znai da
treba kolektorski otpor tako izabrati da na njemu bude
priblino polovina napona napajanja. Meutim ukoliko
otpor optereenja nije sam kolektorski otpor, ve otpor
RL koji je obino i manji od RC, imaemo dinamiku
radnu pravu razliitu od statike, pa radnu taku treba
tako izabrati da bude na sredini radnog dijela dinamike
radne prave.

c ema kod koje je sprijeena povratna sprega


za izmjenini signal

Slika 6.15 Statika i dinamika radne prava pojaavaa


sa slike 6.14c

Slika 6.14. Stabiliziranje radne take


naponskom povratnom spregom

Dakle na sredini izmeu A' i B' na slici 6.15. Prema


tome UCE moe biti i manji od UCC/2. U svakom sluaju

Radna taka e se odravati u sredini radne oblasti i


kada se napon napajanja promjeni. Naime, smanjenjem
napona napajanja UCC smanjit e se kolektorski napon

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

je:
Rc =

Ucc - Uce
Ic + Ib

47

ISTOSMJERNI REIM RADA POJAAVAKIH ELEMENATA

a veliina baznog otpora je:


R B = R B1 + R B 2 =

U CE - UBE
IB

Podjela otpora RB na otpor RB1 i RB2 nije kritina ali


treba voditi rauna o tome da je otpor RB1 vezan za
izmjenini napon paralelno ulazu i da smanjuje ulazni
otpor pojaavaa, a RB2 paralelno izlazu i da kroz njega
protie dio izlazne struje. Ukoliko je taj otpor manji,
utoliko veu snagu uzima otporu optereenja odnosno
toliko se smanjuje izlazni signal. Obino se uzima da je
RB1 priblino jednak ulaznom otpora pa koliko otpor
otpornik RB1 preuzima ulazne toliko i otpornik RB2
izlazne struje.

6.6.2. Stabiliziranje radne take


strujnom povratnom spregom
Odgovarajuu stabiliziranje ve smo imali kod feta i
mosfeta (slike 6.11 i 6.12). Za razliku od prethodnog
nainu stabiliziranja radne take tranzistora u ovom
sluaju ulazna struja zavisi od izlazne struje. Zato i
kaemo da imamo strujnu povratnu spregu. Na slici
6.16 data je ema pojaavaa sa strujnom povratnom
spregom.

Radi analize istosmjernog reima izostavljeni su


kondenzatori i ema uproena kao na slici 6.17.
Uproenje se sastoji u tome to smo po Tevenenovoj
teoremi umjesto razdjeljnika napona uzele ekvivalentni
izvor napona praznog hoda i unutranjeg otpora RB.
Ovaj napon praznog hoda (kada nema bazne struje IB)
je:
R B1UCC
U=
.
R B 2 + R B1
Unutranji otpor (izvor za napajanje napona UCC kratko
spojen):
RB =

R B1R B2
.
RB1 + R B2

Da bi smo jo vie uprostili analizu pretpostavimo da je


otpor RB=0. U tom sluaju:
U=UE+UBE.
Ako se iz bilo kojeg razloga promjeni kolektorska struja,
promjenit e se i struja kroz otpor RE, koja je jednaka
zbiru bazne i kolektorske struje IB+IC. Neka se
kolektorska struja povea, radi poveanja kolektorske
struje, povea se pad napona na otporu RE, kako je
U=konst. to e se UBE smanjiti, pa e se amanjiti i
bazna struja. Uslijed smanjenja bazne struje, smanjit e
se i kolektorska. Prema tome ovo kolo djeluje tako na
istosmjerni reim da svaku promjenu kolektorske struje
smanjuje, odnosno stabilizira kolektorsku struju, a to
znai i radnu taku tranzistora.
Postojanje otpora RB umanji e se stabilnost radne
take. Uslijed postojanja otpora RB bie
U=UE+UBE+URB

6.16 Stabiliziranje radne take strujnom povratnom spregom

Zbog toga to se promjena napona UE, raspodjeljuje


izmeu baze tranzistora i otpora RB, promjena UBE e
biti manja, pa je i efikasnost stabiliziranja radne take
manja. Znai, za vee stabiliziranje treba otpor RB da
bude to manji.
Ukoliko je otpor Re vei, vei je pad napona na njemu,
bie vea i promjena napona sa istom promjenom
kolektorske struje, pa e stabilnost biti vea ukoliko je
emitorski otpor RE vei.
Za dimenzioniranje otpora RB i RE ne moemo uzeti u
obzir samo stabilnost radne take. injenica je da otpor
RE ne moe biti toliko veliki, da pri struji IE napona UE
bude vei od napona napajanja UCC. Obino se uzima
da je napon:
1
UE = UCC
3

6.17 Uprotena ema za analizu istosmjernog reima rada

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Otpor RC je priblino jednak otporu RE. Otpor RB1 u


praksi je obino deset puta vei od otpora RE. Ovo je
zbog toga da taj otpor bude dovoljno mali kako bi
bazna struja bila manja od struje kroz otpor RB1, a sa

48

ISTOSMJERNI REIM RADA POJAAVAKIH ELEMENATA

druge strane da struja kroz otpor RB2 bude dovoljno


mala u odnosu na kolektorsku struju.
Ovakvim stabiliziranjem radne take obezbjeen je
pravilan poloaj radne take i kada se napon napajanja
promjeni. Smanjenjem napona napajanja smanjit e se
i kolektorska struja, a kako je baza vezana za
razdjeljnik napona proporcionalno e se smanjiti i
bazna struja, tako da e radna taka biti priblino na
sredini radne prave, bilo koliki da je napon napajanja,
analogno sluaju naponske povratne sprege (slika
6.15).

6.6.3. Stabiliziranje radne take diodama


Polariziranje tranzistora se moe i tako izvriti da se u
kolu ukljui elemenat koji e izvriti kompenziranje
temperaturne promjene kolektorske struje.Takav
elemenat je dioda.
Na slici 6.18a prikazano je kako se to moe ostvariti.

a kompenziranje promjene ICBO

b Kompenziranje promjene baznog napona


Slika 6.18 Kompenziranje temperaturne promjene
kolektorske struje pomou dioda

Kako je uticaj promjena struje zasienja dominantan


kod germanijumskih tranzistora, koji su obino PNP
tipa, to je ovo kompenziranje i uinjena za PNP
tranzistore. Kao to se vidi dioda je vezana izmeu
baze i emitora ali tako da je inverzno polarizirana.
Ukoliko je UCC mnogo vei od UBE ima se
I=

UCC - UBE
U
= CC = const.
RB
Rb

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Kako je dioda inverzno polarizirana, a napon UBE vei


od 0.2V, to kroz diodu tee inverzna struja IS=const.
Prema emi na slici 6.18a kolektorska struja je
IC = bIB + (1 + b )ICBO = bI - b IS + (1 + b )ICBO

Ukoliko je IS=ICBO uticaj promjene ICBO sa


temperaturom se smanjuje za b puta. Praktino
kolektorska struja postaje nezavisna od temperature,
jer je b mnogo vea od jedinice.
ta se ustvari deava? Kolektorska inverzna struja ICBO,
koja je priblino jednaka inverznoj struji diode,
poveava se sa porastom temperature. Meutim,
inverzno se poveava i inverzna struja diode IS. Koliko
god da se povea ICBO, toliko se povea IS. Istovremeno
koliko se povea IS toliko se smanji IB, pa koliko bi se
poveala kolektorska struja usljed poveanja ICBO, toliko
se i smanji uslijed smanjenja IB.
Ovakav nain temperaturnog stabiliziranja ima prednost
nad stabiliziranjem radne take datom na slici 6.16 jer
dioda zamjenjuje dva otpornika i jedan elektrolitski
kondenzator. Pored toga, u sluaju diodnog
kompenziranja nema pada napona na emitorskom
otporu, pa je cjeli napon napajanja iskoriten za aktivan
rad tranzistora. Promjena baznog napona u ovom
sluaju praktino nema nikakvog uticaja na veliinu
kolektorske struje, naroito ako je napon napajanja
mnogo vei od baznog napona. Meutim, promjena
faktora strujnog pojaanja u ovom sluaju nije
kompenzirana to je nedostatak ove eme.
Kod silicijumskih tranzistora kod kojih je inverzna struja
veoma mala ili kod germanijumskih tranzistora koji rada
sa vrlo velikom kolektorskom strujom, kod kojih je
kolektorska struja mnogo vea od struje zasienja,
promjena baznog napona ima mnogo vei uticaj na
promjenu kolektorske struje. U tom sluaju
stabiliziranje kolektorske struje se vri pomou direktno
polarizirane diode slika 6.18b.
Tokom rada tranzistora struja I se moe smatrati
konstantnom. Poveanjem temperature smanjuju se
istovremeno bazni napon UBE i napon na diodi UD tako
da struja IB ostaje nepromijenjena ako su temperaturni
koeficijenti promjene napona na diodi i bazi isti. Ukoliko
je struja ID manja od struje IC+IB a spoj diode priblino
isti kao to je emitorski spoj tranzistora, temperaturni
koeficijent diode e biti vei, pa e promjena UBE biti
prekompenzirana tako da e biti djelimino
kompenzirana i promjena faktora strujnog pojaanja b i
ICBO.
Temperaturno kompenziranje pomou diode se vrlo
esto koristi u integriranim kolima poto se u
integriranim kolima na istoj ploici silicijuma prave i
tranzistori i diode i otpornici. Poto diode i tranzistori
zauzimaju mnogo manje mjesta od otpornika, to se
otpornici izbjegavaju. Pogotovo se izbjegavaju veliki
kapaciteti, koji se praktino ne mogu ni ostvariti u
integriranoj tehnici.
Prema tome, temperaturno kompenziranje pokazana na
slici 6.18b u integriranoj tehnici se vrlo esto koristi.

49

ISTOSMJERNI REIM RADA POJAAVAKIH ELEMENATA

Pojaava sa slike 6.16, praktino u integriranoj tehnici


se ne moe ostvariti zbog velikog kapaciteta za spregu
sa ostalim stepenima pojaavaa i kondenzatora velikog
kapaciteta koji blokira emitorski otpornik (CE).

te dvije pojave jedna drugu mogu ponititi, tako da


kolektorska struja ostane nepromijenjena prilikom
promjene temperature.

6.6.4. Stabiliziranje radne take termistorom


temperaturno zavisnim otporom
NTC termistor

Umjesto direktno polariziranih dioda, za stabiliziranje


radne take mogu se koristiti i termistori, koji imaju
negativan temperaturni koeficijenat otpora NTC
termistori, isto kao dioda.
Na slici 6.19 data je ema pojaavakog stepena kod
koga se stabiliziranje radne take vri termistorom.
Razlikuje se od slike 6.18 po tome to je umjesto diode
vezan termistor. Pored toga se na slici vidi kako se
moe izbjei da termistor bude vezan paralelno ulazu
tranzistora i na taj nain smanji pojaanje odvodei dio
struje. Sekundar ulaznog transformatora je vezan
izmeu srednje take A razdjeljnika napona i baze
tranzistora B. Na taj nain ekvivalentan otpor RB je
vezan u seriju sa sekundarom transformatora.

Slika 6.20 Kompenziranje temperaturne promjene


kolektorske struje sa temperaturno zavisnim otporima

Da bi ovo kompenziranje dobro funkcioniralo


neophodno je da se temperatura zavisnih otpornika i
temperatura emiterskog spoja to manje razlikuje.
Zbog toga ove otpornike treba postaviti uz samo
kuite tranzistora.
U praksi je teko nai termistor odgovarajueg otpora i
odgovarajueg temperaturnog koeficijenta. Zbog toga
se u seriju sa termistorom i paralelno njemu vezuju
obini otpornici kako bi se podesila vrijednosti ukupnog
otpora i temperaturnog koeficijenta.
ZA PONAVLJANJE:

Slika 6.19 Upotreba NTC za temperaturno stabiliziranje

Kada budemo govorili o izlaznim pojaavaima


vidjeemo da ovo smanjuje izoblienja. Ukoliko ne
elimo da otpor polariziranja bude ukljuen serijski sa
ulaznim signalom, moemo srednju taku razdjeljnika
za izmjenini signal vezati za masu na taj nain to
emo izmeu take A i mase vezati kondenzator velikog
kapaciteta.

PTC termistor
Temperaturno
zavisan
otpor
sa
pozitivnim
temperaturnim koeficijentom (PTC termistor ili pozistor
ili senzistor) moemo vezati umjesto otpornika R2 (slika
6.20). Sa porastom temperature otpor ovog otpornika
raste.
Uslijed toga opada struja I, opada napon take A, te e
opadati i bazna struja IB. Uslijed pada napona na
emiterskom spoju (sa porastom temperature) bazna
struja bi porasla. Prema tome ove dvije pojave imaju
suprotno dejstvo. Ako se pravilno izabere PTC - pozistor

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Zbog ega se kod tranzistora mora izvriti


stabiliziranje radne take?
Kako se ostvaruje polariziranje sa naponskom
povratnom spregom. Nacrtati emu?
ta e se desiti sa radnom takom kod tranzistora
kod koga je stabiliziranje radne take ostvorena
naponskom povratnom spregom ako se napon
napajanja smanji i ako se napon povea?
Nacrtati emu tranzistorskog pojaavaa sa
stabiliziranjem radne take strujnom povratnom
spregom i objasniti kako se stabilizira radna taka?
Zato se stavlja kondenzator paralelno emitorskom
otporu? ta bi se desilo kada ga ne bi bilo?
Kako se dimenzioniraju otpori razdjeljnika napona
koji slui za polariziranje baze tranzistora?
ta e se desiti ako se promjeni napon napajanja
tranzistorskog pojaavaa kod koga je stabiliziranje
radne take izvrena stujnom povratnom spregom?
Nacrtati emu i objasniti kako se vri temperaturno
kompenziranje
promjene
kolektorske
struje
zasienja.
Kako se vri temperaturno stabiliziranje kolektorske
struje pomou termistora koji ima negativni
temperaturni koeficijent promjene otpora? ema?
Kako se vri stabiliziranje kolektorske struje
pomou otpora sa pozitivnim temperaturnim
koeficijentom promjene otpora? ema?

RC POJAAVAI

poglavlje

Elektronska i ekvivalentna ema RC pojaavaa sa fetom

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

POJAAVAI SA KAPACITIVNOM SPREGOM RC POJAAVAI

51

7. POJAAVAI SA KAPACITIVNOM SPREGOM RC POJAAVAI


Govorei o istosmjernom reimu rada pojaavakih
elemenata ve smo pretpostavili da je sprega izmeu
pojedinih pojaavakih stepeni, sprega generator pojaavaki stepena i sprega pojaava - potroa,
odnosno optereenje, kapacitivna. Takvi pojaavai su
uglavnom niskofrekventni i pojaavai video signala.
Kapacitet za spregu se stavlja da bi izolirao pojaavaki
stepen u pogledu istosmjerne struje od prethodnog ili
slijedeeg pojaavakog stepena, odnosno od
pobudnog generatora i potroaa. Preko ovog
kapaciteta prenosi se izmjenini signal. Da se uslijed
prisustva kapaciteta ne bi smanjilo pojaanje, ovaj
kapacitet treba da je vrlo velik, tako da se pad napona
signala na njemu moe zanemariti.
U ovom poglavlju emo izvriti analizu pojaavaa sa
kapacitivnom spregom, pretpostavljajui da je signal
mali, odnosno, pretpostavljajui da je poluprovodniki
elemenat linearan.
Analiza pojaavakog
koracima:
1.

2.

3.

kola

se

vri

slijedeim

Nacrta se kompletna ema pojaavaa sa


ekvivalentnom emom poluprovodnikog elementa,
a zatim se pod uticajem ulaznog signala trai kakav
je odziv na izlazu,
Da bi olakali analizu najprije tako nacrtanu emu
uprostimo. Umjesto grupe serijski ili paralelno
vezanih otpornika, u novoj uprotenoj emi crtamo
jedan ekvivalentni otpor,
Umjesto vie paralelno vezanih kapaciteta crtamo
jedan, iji je kapacitet jednak zbiru svih paralelno
vezanih.

Ovakva analiza se moe izviti pomou bilo koje


ekvivalentne eme. Meutim, za analizu niskofrekventnih tranzistorskih pojaavaa, najee se
koristi ekvivalentna ema sa h parametrima. U novije
vrijeme, od kada se za analizu elektronskih kola koristi
raunar, ponovo se analiza vri pomou T ekvivalentne
eme, jer se za analizu istosmjernog reima korisiti
Ebers-Molov model. Da bi se na isti nain analizirala
kola sa fetom, mosfetom, elektronskim cjevima i
tranzistorima koristi se hibridni model tranzistora i
odgovarajui model za ostale elemente.
Ve pojaava sa jednim elementom, kao to je
pojaava sa kapacitivnom spregom, je dosta sloen za
analizu, zato se ekvivalentna ema esto uprotava.
Najee se uprotavanje sastoji u tome da se povratno
dejstvo zanemari. Odnosno, da se izvri unilateriziranje
ekvivalentne eme (signal se prostire samo od ulaza ka
izlazu elementa).
Pojaavai sa kapacitivnom spregom sve se manje
koriste u ureajima, jer ih potiskuju direktno spregnuti
pojaavai koji se izrauju u integriranoj tehnici. Ovi
posljednji postaju iz dana u dan sve jeftiniji i
pouzdaniji,
a
po
karakteristikama
nadmauju
pojaavae sa diskretnim elementima. Meutim, i kod
tih pojaavaa ulaz i potroa se prikljuuju preko

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

kapaciteta. Zbog toga se ovdje i vri detaljna analiza


pojaavaa sa kapacitivnom spregom kako bismo se sa
jedne strane upoznali sa amplidudskim i faznim
izoblienjima i sa druge strane nainom analize kola i
osobinama samih kola.

7.1. POJAAVA SA UZEMLJENIM SORSOM


Kompletna ema pojaavaa sa kondenzatorskom
spregom u kome je pojaavaki elemenat fet, data je
na slici 7.1. Pored samog pojaavaa, koji je na slici
uokriven crticama, na emi su dati i izvor za napajanje
sa unutranjim otporom, otpor potroaa i kapacitet
potroaa. Pored toga dati su i kondenzatori za spregu.
Kondenzator C2 emo smatrati djelom samog
pojaavaa, a kondenzator C1 djelom koji pripada
pobudnom
generatoru
prikljuenom
na
ulaz
pojaavaa. Ukoliko je dati pojaava jedan stepen
viestepenog pojaavaa, to je Eg napon praznog hoda
prethodnog stepena, Rg izlazni otpor a C1 je kapacitet
za spregu prethodnog i datog pojaavakog stepena.
Otpor RL i kapacitet CL su ulazni otpor i ulazni kapacitet
serijskog stepena. Na slici je prikazana ema sa
strujnim stabiliziranjem radne take.

Slika 7.1 RC pojaava sa fetom

Ekvivalentnu emu datog pojaavaa za izmjeninu


struju crtamo tako, to uzimamo da je za izmjeninu
struju istosmjerni izvor napajanje kratko spojen, te
taku UDD veemo za masu. Pored toga, izmeu taaka
G, D i S nacrtamo ekvivalentnu emu feta. Na slici 7.2
je data kompletna ekvivalentna ema pojaavaa za
izmjenini signal.
Da bi olakali posao, pretpostaviemo da je kapacitet
CS, vezan paralelno otporu RS u kolu sorsa, vrlo velik,
tako da i za najnie frekvencije pretstavlja kratku vezu
za izmjeninu struju. Uticaj ovog kondenzatora na rad
pojaavaa emo analizirati u poglavlju 7.3. Ulazna
provodnost feta gg vrlo je mala, tako da je moemo
slobodno zanemariti. Sa ovim pretpostavkama,
ekvivalentnu emu emu sa slike 7.2 moemo uprostiti.
Na slici 7.3 nacrtana je uprotena ema, u kojoj je

POJAAVAI SA KAPACITIVNOM SPREGOM RC POJAAVAI

pored toga, umjesto paralelne veze otpora RG1||RG2


stavljen ekvivalentni otpor RG=RG1RG2/(RG1+RG2) i
otpor RD koji predstavlja paralelnu vezu unutranjeg i
spoljanjeg otpora drejna R'D=RD/(1+ RDgd).
ema na slici 7.3 je jo uvijek vrlo sloena. ak i kada
bi sa ovakvom emom izvrili analizu pojaavaa, dobili
bismo sloene izraze, tako da bi iz njih teko mogli
izvesti neke zakljuke. Da jo vie uprostimo analizu,

52

pretpostaviemo da se kapaciteti mogu zanemariti pod


izvjesnim uslovima.
Na srednjim frekvencijama se svi kondenzatori velikog
kapaciteta mogu zanemariti, jer predstavljaju kratku
vezu, a svi kondenzatori malog kapaciteta predstavljaju
prekid. Kod prvih je kapacitivna otpornost (kapacitansa)
zanemarivo mala, a kod drugih, praktino, beskonano
velika.

Slika 7.2 Kompletna ekvivalentna ema RC pojaavaa sa fetom (slika 7.1)

Na niskim frekvencijama, uzimaju se u obzir samo veliki


kapaciteti, jer se na niskim frekvencijama njihova
kapacitivna otprrnost ne moe zanemariti, oni vie ne
predstavljaju kratak spoj.

Na visokim frkvencijama i mali (obino parazitni)


kapaciteti dolaze do izraaja. Njihova kapacitivna
otpornost postaje konana, i toliko je manja koliko je
frekvencija
via.

Slika 7.3 Uprotena ekvivalentna ema sa zanemarivo malom kapacitivnom otpornou XCs u kolu sorsa

7.1.1. Pojaanje na srednjim frekvencijama


Na slici 7.4 data je uprotena ekvivalentna ema za
srednje frekvencije pojaavaa sa slike 7.1.
Ekvivalentna ema sa slike 7.3 je uprotena tako to su
izostavljeni kapaciteti za spregu C1 i C2 vrlo veliki, i
zamjenjeni kratkom vezom. Kapaciteti Cgs, Cgd, Cds, i CL
izostavljani su, jer su malog kapaciteta (beskonano
velika kapacitivna otpornost), pa je praktino na
njihovim mjestima kolo prekinuto.

Na osnovu uprotene eme za srednje frekvencije (slika


7.4), moemo izraunati pojaanje napona na tim
frekvencijama.
Uo
A us =
= -gmR'L
Ui
gdje je:

R'L = RD||1/gd||RL.

Iz jednaine za pojaanje vidimo da je fazni pomjeraj


izlaznog u odnosu na fazu ulaznog signala jednak 180.
Zaista, porastom ulaznog napona poraste struja drejna,
pa napon drejna opada uslijed poveanja pada napona
na otporu drejna.
U sluaju da je otpor drejna u isto vrijeme i potroa,
pojaanje bi bilo:
RD
A us = gmR'D = gm
1 + gdR D

Slika 7.4 Ekvivalentna ema pojaavaa sa slike 7.1.


na srednjim frekvincijama

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Interesantno je nai i pojaanje u sluaju da je otpor


optereenja mnogo vei od otpora drejna (RD>>1/gd):

POJAAVAI SA KAPACITIVNOM SPREGOM RC POJAAVAI

A uo = gm

1
=m
gd

Veliina m se naziva faktor naponskog pojaanja. Prema


tome, izlaz feta se moe predstaviti kao generator
elektromotorne sile jednake mUgs sa kojim je u seriju
vezan njegov unutranji otpor rd=1/gd. Ovo emo
kasnije u analizi koristiti.
U svim izrazima za pojaanje nije nikakvu ulogu igrao
unutranji otpor generatora i ulazni otpor pojaavaa.
Posmatrajui pojaava sa strane generatora, za
generator je bitan samo ulazni otpor pojaavaa. Od
toga otpora zavisie napon na njemu. Posmatrajui
pojaava sa ulazne strane on se ponaa kao otpor.

53

7.1.2. Pojaava sa fetom na niskim


frekvencijama
Na slici 7.5 data je ekvivalentna ema za niske
frekvencije. Na niskim frekvencijama vie ne moemo
zanemariti kapacitete za spregu C1 i C2, jer na niskim
frekvencijama njihova kapacitivna otpornost XC nije
zanemarivo mala. Ukoliko je frekvencija nia, utoliko je
XC vea, pa se pad napona na njima ne moe
zanemariti. Umjesto da se prenese napon na ulaz
pojaavaa, odnosno, na otpor optereenja, ulazni,
odnosno, izlazni napon e se smanjiti za pad napona na
kondenzatorima za spregu.

Ri = RG
U sluaju da je ulazni otpor pojaavaa beskonano
velik, odnosno da je RG beskonano velik ili praktino
mnogo vei od unutranjeg otpora generatora Rg ulazni
napon bi bio jednak elektromotornoj sili generatora, pa
bi se na izlazu dobio najvei signal. Kako RG nije mnogo
vei od Rg , to e na ulazu signal biti oslabljen.
Pojaanje pojaavaa, raunajui ga u odnosu na
elektromotornu silu (EMS) generatora na ulazu je
A es =

U o U o Ui
RG
=
= A us
Eg
Ui E g
RG + Rg

Ovako izraunato pojaanje uzima u obzir spregu


izmeu pobudnog generatora i pojaavaa. Nije
svejedno koliki je unutranji otpor generatora i ulazni
otpor pojaavaa. U sluaju da je unutranji otpor
generatora Rg mnogo vei od ulaznog otpora
pojaavaa, ukupno naponsko pojaanje moe biti
malo, pa se moe desiti da slabljenje na ulazu bude
vee od pojaanja pojaavaa. Tada pojaava nema
nikakve svrhe, jer je tada Aes manje od jedinice. Signal
nee biti pojaan, ve oslabljen.
Posmatrajui pojaava sa strane optereenja, on se
prema Tevenenovoj teoremi moe predstaviti kao
generator EMS jednake naponu praznog hoda i
unutranjeg otpora jednakog otporu koji se dobije kada
se stvarni (nezavisni) naponski generatori kratko spoje.
U ovom sluaju treba kratko spojiti generator Eg. Ako
nema Eg, nee biti ni U, pa je:
Ro =

Uo
= R'D .
Io

Ako iskljuimo potroa, napon na krajevima izlaza


pojaavaa e biti:
Eo=gmUiR'D
Prema tome, za naredni stepen pojaavaa, ako je on
dio viestepenog pojaavaa, ovaj pojaavaki stepen
se moe zamjeniti generatorom EMS Eo i njegovim
unutranjim otporom Ro. Analizu slijedeih stepena
pojaavaa emo izvriti isto kao i ovog, samo e u tom
serijskim stepenu EMS generatora umjesto Eg biti E0, a
unutranji otpor umjesto Rg biti Ro.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 7.5 Ekvivalentna ema RC pojaavaa


za podruje NF

Uslijed prisustva kapaciteta C2 u kolu drejna imamo


impendancu, koju ine R'D, C2 i RL. Uzevi tu impedansu
u obzir na izlazu bi se dobio napon U'o. Izlazni napon je
smanjen za pad napona na kondenzatoru C2, pa je
pojaanje na niskim frekvencijama.
A un =

U'0 U 0
1
= A us
w
U i U'0
1- j n
w

gdje je: Aus - pojaanje na srednjim frekvencijama, a


wn =

1
'
C 2 (R D

+ RL )

Ova frekvencija je donja granina frekvencija.


Pojaanje pojaavaa na toj frekvenciji opadne za 3 dB,
odnosno na 0.707 od vrijednosti pojaanja na srednjim
frekvencijama.
Odnos pojaanja na niskim i srednjim frekvencijama
izraen u dB je:
A
A un
w
(dB ) = 20 log un = -10 log 1 + n
A us
A us
w

Na slici 7.6 data je ova zavisnost. Na ordinati je odnos


pojaanja izraen u decibelima, a na apscisi je u
logaritamskoj razmjeri dat odnos f/fn. Na slici se vidi da,
kada je frekvencija nekoliko puta vea od donje
granine frekvencije, pojaanje je praktino jednako
pojaanju na srednjim frekvencijama. Kada je
frekvencija nekoliko puta manja od granine, dalje
smanjenje pojaanja se nastavlja po pravoj. Prema
gornjim jednainama, kada je frekvencija nekoliko puta

POJAAVAI SA KAPACITIVNOM SPREGOM RC POJAAVAI

vea od donje granine frekvencije lan, (fn/f)2 je


mnogo manje od jedinice, pa ga u odnosu na jedinicu
moemo zanemariti, te je odnos pojaanja u dB jednak
nuli, odnosno pojaanje je jednako pojaanju na
srednjim frekvencijama. Za frekvencije nie od granine
frekvencije, (fn/f)2 je mnogo vee od jedinice. Jedinicu
moemo zanemariti pa je:

54

frekvencijama. Ako povuemo pravu kroz taku na


krivoj, gdje je promjena faze 45, tako da se ona
priblino poklapa sa krivom, dobiemo i idealizovanu
promjenu faze. Na slici 7.7 ova idealizovana zavisnost
promjene faze od frekvencije izvuena je crticama i
njen je nagib 45 po dekadi.

A un
f
(dB) = 20 log
A us
fn

Slika 7.7 Frekventna raspodjela faze na NF i VF

7.1.3. Pojaava sa fetom


na visokim frekvencijama

Slika 7.6 Frekventna raspodjela amplitude na NF i VF

Po ovoj jednaini na graninoj frekvenciji, kada je f=fn


odnos pojaanja izraen u dB jednak je nuli i za svaku
dekadu opadanja frekvencije pojaanje Aun opada za 20
dB. Za odnos frekvencija fn/f=2 (jedna oktava),
smanjenje pojaanja iznosi 6 dB. Idealizovana
promjena pojaanja sa frekvencijom na slici je izvuena
crticama.
Na slici 7.7 data je zavisnost fazne razlike pojaanja na
niskim u odnosu na pojaane na srednjim
frekvencijama, odnosno, promjena faze izlaznog signala
vie od 180 se ima kod pojaanja na srednjim

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Na slici 7.8 nacrtana je ekvivalentna ema pojaavaa


sa kapacitivnom spregom za rad na visokim
frekvencijama. U odnosu na emu za srednje
frekvencije, ema za visoke frekvencije sadri i
parazitne kapacitete Cgs, Cgd, Cds i CL (uporediti sa
emom na slici 7.4). Posljednja dva su predstavljena
kao jedan, iji je kapacitet jednak zbiru Cds+CL.
Naponsko pojaanje na visokim frekvencijama, prema
ekvivalentnoj emi je:
1
A uv = A us
w
1+ j
wv
gdje je:

wv

1
C 0R L'

POJAAVAI SA KAPACITIVNOM SPREGOM RC POJAAVAI

gornja kruna frekvencija, a wv/2p=fv gornja granina


frekvencija pojaavaa sa kapacitivnom spregom.

55

uzemljenja na vie, dok je na slici 7.3 usmjeren prema


uzemljenju.

Slika 7.8 Ekvivalentna ema RC pojaavaa na visokim


frekvencijama, uprotena ema sa slike 7.4

Apsolutna vrijednost pojaanja je:


A uv = A us

Slika 7.10 Ekvivalentna ema pojaavaa


sa uzemljenim drejnom

1
f
1 + j
fv

Na slici 7.6 je data zavisnost pojaanja od frekvencije


odnosno, od f/fv, a na slici 7.7 zavisnost faze od istog
odnosa f/fv. Iz slika i iz jednaina se vidi da e
pojaanje biti jednako pojaanju na srednjim
frekvencijama, kada je frekvencija nekoliko puta (bar za
2 oktave) manja od gornje granine frekvencije, a da
e opadati za 6 dB po oktavi odnosno, 20 dB po dekadi
kada je frekvencija nekoliko puta vea od gornje
granine frekvencije.

7.2. POJAAVA SA UZEMLJENIM DREJNOM


Na slici 7.9 data je ema pojaavaa sa uzemljenim
drejnom. Drejn je uzemljen samo za izmjeninu struju,
preko izvora za napajanje UDD.

7.2.1. Pojaanje na srednjim frekvencijama


Na slici 7.11 ekvivalentna ema je uprotena za srednje
frekvencije rada pojaavaa. Posmatrajui emu ili
ekvivalentnu emu pojaavaa moemo zakljuiti da je
naponsko pojaanje ovog pojaavaa sigurno manje od
jedinice. Izlazni napon U0 koji je na srednjim
frekvencijama jednak naponu sorsa US, manji je od
ulaznog napona za veliinu napona Ugs izmeu gejta i
sorsa. Interesantno je primjetiti jo neto. Napon na
izlazu ovog pojaavaa je u fazi sa naponom na ulazu.
Porastom napona na ulazu, poraste struja sorsa, a sa
njom poraste i pad napona na otporu sorsa.
Pojaanje napona na srednjim frekvencijama je prema
slici 7.11:
A us =

g m R L'
1 + g mR L'

gdje je RL otpor koji ine paraleleno vezani otpor


optereenja RL, otpor sorsa RS i unutranji otpor drejna
rd=1/gd. Iz jednaine za pojaanje se vidi da je
pojaanje pozitivna veliina, to znai da su ulazni i
izlazni naponi u fazi. Osim toga, vidi se da je pojaanje
manje od jedinice. Ukoliko je gmR'L vee utoliko je
pojaanje po veliini blie jedinici.
Ulazni otpor pojaavaa na srednjim frekvencijama je:
Ri=RG

Slika 7.9 ema pojaavaa sa uzemljenim drejnom

Na slici 7.10 nacrtana je ekvivalenta ema pojaavaa.


Ako ovu emu uporedimo sa ekvivalentnom emom
pojaavaa sa uzemljenim sorsom koja je data na slici
7.3 vidimo da su one po obliku iste, ali se razlikuju po
tome to se na odgovarajuim mjestima nalaze razliiti
elementi: umjesto otpora RD, na slici 7.10 nalazi se
otpor RS, umjesto kapaciteta Cgd, kapacitet Cgs, a smjer
struje generatora gmUgs na slici 7.10 je usmjeren od

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 7.11 Uprotena ekvivalentna ema sa slike 7.9 na SF

Za izraunavanje izlaznog otpora neophodno je sve


nezavisne naponske izvore kratko spojiti. Prema tome

POJAAVAI SA KAPACITIVNOM SPREGOM RC POJAAVAI

izlazni otpor e zavisiti od unutranjeg


generatora na ulazu. Konano dobijamo
R0 =

otpora

1
gm

Dakle izlazni otpor pojaavaa sa uzemljenim drejnom


je vrlo mali i to utoliko je manji ukoliko je strmina gm
feta vea.
7.2.2. Pojaanje na niskim frekvencijama
Pojaanje na niskim frekvencijama emo dobiti koristei
ekvivalentnu emu datu na slici 7.12. Ova se ema
razlikuje od eme za srednje frekvencije po tome to je
uzet u obzir i spreni kapacitet C.

Slika 7.12 Uprotena ekvivalentna ema sa slike 7.9 na NF

A un = A us

1
1- j

wn
w

Iako su elementi priblino isti kao i elementi kod


pojaavaa sa uzemljenim sorsom pojaava sa
uzemljenim drejnom ima niu graninu frekvenciju. Ovo
je zbog toga to postoji povratno dejstvo izmeu
izlaznog i ulaznog kola negativna povratna sprega

7.2.3. Pojaanje na visokim frekvencijama


Za izraunavanje pojaanja na visokim frekvencijama
koristiemo odgovarajuu ekvivalentnu emu datu na
slici 7.13.

Slika 7.13 Uprotena ekvivalentna ema sa slike 7.9 na VF

A uv = A us

1
w
1+ j
wv

Prema tome, izraz ima poznati oblik kao kod spoja


zajedniki sors, te i za visoke frekvencije za pojaava
sa uzemljenim drejnom vai slika 7.6 i 7.7.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

56

Za isti fet, iste otpore RS=RD, iste otpore optereenja RL


i iste kapacitete pojaava sa uzemljenim drejnom ima
viu graninu frekvenciju za (1+gm R'L) puta.
Kod pojaavaa sa uzemljenim drejnom povratno
dejstvo preko parazitnog kapaciteta je mnogo manje
nego kod pojaavaa sa uzemljenim sorsom. Promjena
bilo kog parametra na izlazu pojaavaa (gm, RD, RL,
C0), nee uticati na promjenu ulazne impedanse feta.
Uzrok promjene parametara moe biti: promjena
temperature, promjena napona ili struje feta u ovom
pojaavakom stepenu i feta u narednom stepenu.
Zbog toga to pojaava sa uzemljenim drejnom
smanjuje uticaj narednog stepena na prethodni, esto
se koristi kao stepen za "izolaciju", za razdvajanje dva
susjedna stepena. Kako im je ulazna impedanca velika,
a izlazna mala, koriste se i za prilagoavanje
impedanci. Vidjeli smo, da ako je otpor generatora (to
moe biti npr. kristalni mikrofon) veliki, a ulazni otpor
pojaavaa mali ukupno pojaanje moe biti vrlo malo.
U tom sluaju izmeu pojaavaa i mikrofona stavljamo
stepen sa uzemljenim drejnom, koji na ulazu ima veliku
impedansu, prilagoenu mikrofonu, a na izlazu malu
impedansu prilagoenu ulazu pojaavaa.
Interesantno je zapaziti da je izlazna struja ovog
pojaavaa mnogo vea od ulazne, to znai da se radi
o pojaavau struje. Kako je ulazni otpor velik, a izlazni
mali, to bi ovaj pojaava mogao da se uvrsti u
pojaavae sa prenosnom provodnou.

7.3. UTICAJ KAPACITETA U KOLU SORSA


NA AMPLITUDSKA IZOBLIENJA
Pri analizi pojaavaa sa uzemljenim sorsom,
pretpostavili smo da je kapacitet u kolu sorsa veoma
velik, tako da predstavlja kratak spoj. Meutim, to nije
tako, jer postoji neka niska frekvencija, pri kojoj je
njegova impedansa velika, pa ak moe biti i mnogo
vea od otpora u kolu sorsa. Pri toliko niskim
frekvencijama, pri kojima je kapacitivna otpornost toliko
velika, da se moe zanemariti, pojaava nije vie sa
uzemljenim sorsom, ve, to se tie sorsa, ponaa se
kao pojaava sa uzemljenim drejnom.
U sluaju da je otpor u kolu drejna priblino jednak
otporu u kolu sorsa, naponi na njihovim krajevima e
biti po apsolutnoj vrijednosti jednaki. Kako je pojaanje
pojaavaa sa uzemljenim drejnom manje od jedinice,
to e pojaanje pojaavaa sa uzemljenim sorsom
opadati, uslijed prisustva CS, pri niskim frekvencijama,
ba kao to opada uslijed prisustva kapaciteta za
spregu.
Da bismo izvrili analizu uticaja kapaciteta sorsa C S na
amplitudsku karakteristiku pojaavaa sa uzemljenim
sorsom uzeemo uprotenu emu prikazanu na slici
7.14.

POJAAVAI SA KAPACITIVNOM SPREGOM RC POJAAVAI

57

Pored toga, umjesto strujnog, stavili smo naponski


generator. Na slici 7.16 pokazana je ekvivalentnost
strujnog i naponskog generatora. Naponski generator
smo uzeli zbog toga to je analiza u ovom sluaju
jednostavnija.

Slika 7.16 Ekvivalentnost strujnog i naponskog genaratora

Na slici 7.17 nacrtana je zavisnost pojaanja od


normalizirane frekvencije.
Slika 7.14 Analize uticaja kapaciteta u kolu sorsa na NF

Ovdje nismo uzeli u obzir kolo za napajanje, a otpor u


kolu drejna je istovremeno i otpor optereenja. Na taj
nain u analizi e se pojaviti samo kapacitet CS.
Ekvivalentna ema pojaavaa na niskim frekvencijama
je data na slici 7.15. U ovoj ekvivalentnoj emi, smo
zanemarili sve parazitne kapacitete, jer e se analiza
vriti za niske frekvencije.

Frekvencija je normalizirana u odnosu na frekvencija fs


(f/fs). Pri vrlo niskim frekvencijama f/fs je mnogo manji
od jedinice.
Kada frekvencija dostigne fs, odnosno, bar dvije oktave
veu od fs, karakteristika se poveava za 6dB po oktavi.
Kad frekvencija postane vea od aufs imamo ponovni
porast od 6 dB po oktavi. Iznad aufs pojaanje postaje
konstantno.
Na mjestu gdje je f=fs imamo porast od 3 dB, kao i na
frekvenciji f=aufs.
Ako pojaava nema sprenog kondenzatora onda na
donju graninu frekvenciju utie samo kapacitet u kolu
sorsa pa je donja granina frekvencija
fn=aufs.

Slika 7.15 Ekvivalentna ema kola na NF

Slika 7.17 Amplitudska karakteristika uslijed prisustva kapaciteta C S

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

POJAAVAI SA KAPACITIVNOM SPREGOM RC POJAAVAI

7.4. TRANZISTORSKI POJAAVA SA


UZEMLJENIM EMITOROM
Budui da tranzistor ima vrlo malu ulaznu i vrlo veliku
izlaznu impedancu,on se kao pojaava pribliava
idealnom strujnom pojaavau.U ovom poglavlju emo
analizirati pojaava sa uzemljenim emitorom i RC
spregom.
ema pojaavaa je data na slici 7.18.

58

Istu emu bismo mogli nacrtati i tako da na ulazu


pobudni generator bude naponski, pa se onda radi o
pojaavau napona, a mi emo govoriti o pojaavau
struje u spoju sa zajednikim emitorom.
Ovo u stvari nije bitna razlika, jer se strujni generator
moe predstaviti kao naponski, a naponski kao strujni.
Pretpostavimo u prvom trenutku da je vremenska
konstanta RECE vrlo velika, tako da kapacitet CE
moemo zameniti kratkom vezom.
Sa ovim zanemarenjem ekvivalentna ema je data na
slici 7.19.
Kako je povratni parametar vrlo mali to je i napon
hreUc mnogo manji od ulaznog napona, pa moemo
smatrati da je hre jednak nuli.
Kao i dosad analizu emo izvriti za srednje, niske i
visoke frekvencije. Naknadno emo uzeti u obzir
emitorsku impedancu.

Slika 7.18 ema tranzistorskog pojaavaa sa ZE

Slika 7.19 Ekvivalentna ema pojaavaa sa ZE

7.4.1. Pojaanje na srednjim frekvencijama


Na srednjim frekvencijama mogu se svi kapaciteti
zanemariti. Veliki kapaciteti zamjeniti kratkom vezom, a
mali prekidom kola. Na slici 7.20 nacrtana je uprotena
ekvivalentna ema.
Dok pri analizi naponskog pojaanja, slabljenja na ulazu
nije bilo, jer je ulazni napon bio jednak baznom
naponu, to ovdje nije sluaj, pa je ukupno strujno
pojaanje prema slici 7.20
A is =

R
R 'C
I1
I I
= b 1 = -h fe i
'
h ie R C + R L
Ii
Ii Ib

ili

A is = -h 21

Ri
R 'C
h11 R 'C + R L

Pri emu je Ri=RBh11, zatim RB=RB1RB2 i na kraju


R'C=RC1/h22.
Izlazni otpor pojaavaa iznosi
R0=R'C.
Prvi lan h21=b je faktor strujnog pojaanja, a drugi
lan Ri/h11 je slabljenje strujnog pojaanja na ulazu
pojaavaa.

Slika 7.20 Ekvivalentna ema pojaavaa sa slike 7.18 na srednjim frekvencijama

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

POJAAVAI SA KAPACITIVNOM SPREGOM RC POJAAVAI

59

7.4.2. Pojaanje na niskim frekvencijama

7.4.3. Pojaanje na visokim frekvencijama

Kao to smo radili kod naponskog pojaavaa, tako


emo i ovdje pretpostaviti da je kapacitet u kolu
emitora vrlo veliki, tako da u posmatranom niskofrekventnom podruju predstavlja kratku vezu.
Uprotena ema za NF je uz takvu pretpostavku data
na slici 7.21.

Uobiajeno je da se u podacima daju samo realni h


parametri. Jedino se za h21=hfe daje frekventna
zavisnost, odnosno, granina frekvencija f,

Pojaanje struje na NF se razlikuje od pojaanja na


srednjim frekvencijama po tome to je umjesto RL sada
optereenje na izlazu kompleksno i iznosi:
ZL=RL+1/jwC2.
Kada uvrstimo ovo u izraz za pojaanje na srednjim
frekvencijama dobijama sreivanjem:
A in = -h21

Ri
h11

R'C
R'C + R L +

1
jwC 2

= A is

1
1- j

fn
f

Gdje je fn donja granina frekvencija uslijed prisustva


kapaciteta C2.
Frekventnu zavisnost pojaanja Ain/Ais ve imamo na
slici 7.6, a zavisnost faznog pomjeraja i izlazne struje
data je na slici 7.7.

Ukoliko nema spolja prikljuenih kapaciteta, i ukoliko


parazitne kapacitete zanemarimo, gornja granina
frekvencija bi bila jednaka graninoj frekvenciji
tranzistora f za uzemljeni emitor.
Ukoliko imamo kapacitet C0, kao to se vidi na slici 7.21
granina frekvencija e zavisiti i od njega
(fv=1/2pC0R'L). Otpor optereenja iznosi R'L=RLRC
(slika 3.21).
Prema tome pojaanje na visokim frekvencijama je:
A iv = A is

1
f
f
1+ j
1+ j
fb
fv

Dakle, postoje dvije granine frekvencije. Ukoliko se


ove frekvencije mnogo razlikuju, granina frekvencija
e biti jednaka manjoj od njih. Ukoliko su one jednake
ili vrlo bliske, granina frekvencija e biti manja od
najmanje. Obino se za pojaava koristi tranzistor ija
je granina frekvencija f mnogo vea od granine
frekvencije fv, odreene elementima kola.

Slika 7.21 Ekvivalentna ema pojaavaa sa slike 7.18 na niskim frekvencijama

7.5. TRANZISTORSKI POJAAVA


SA UZEMLJENIM KOLEKTOROM
Tranzistorski pojaava sa uzemljenim kolektorom
odgovara pojaavau sa fetom kome je uzemljen drejn.
Izvrimo kvalitativnu analizu rada pojaavaa sa
uzemljenim kolektorom. Analizirajmo ga prvo kao
naponski pojaava. Pod uticajem ulaznog napona Ui,
potei e ulazna struja Ii i bazna struja Ib. Zbog toga e
se izmedju baze i emitora stvoriti izmenini pad napona
Ube. Uslijed bazne struje Ib, odnosno usljed napona Ube
potei e emitorska struja. Ako je kapacitet C2 vrlo
velik, emitorska struja e protei kroz paralelnu vezu
otpora RE i RL i na njima stvoriti pad napona U0.
Oigledno je da je izlazni napon U0 manji od ulaznog
napona Ui za veliinu pada napona izmedju baze i
emitora. Prema tome naponsko pojaanje tranzistora sa
uzemljenim kolektorom je manje od jedinice.
Za idealni strujni pojaava tj. kada je ukupan otpor u
emitorskom kolu zanemarljivo mali, odnosno, kada je

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

RE RL0 i kada je ulazna struja jednaka baznoj struji


Ib (nema otpora RB) strujno pojaanje tranzistora bi
bilo: Ie/Ib=1+.
Meutim, uslijed prisustva RB, jedan dio ulazne struje,
umjesto u bazu tranzistora, odlazi kroz RB. Prema tome
na ulazu postoji slabljenje. Kako je otporu optereenja
RL, paralelno vezan RE, to emitorska struja istim djelom
prolazi kroz RE, te i tu postoji izvjesno slabljenje. Otpor
u emitorskom kolu doprinosi slabljenju i na taj nain to
doprinosi poveanju ulaznog otpora tranzistora, te
smanjuje baznu struju. Dakle strijno pojaanje,
ovakvog pojaavaa je manje od idealne vrijednosti
koja je 1+.
Ulazni otpor tranzistora sa uzemljenim emitorom je
hie=h11.Ako zanemarimo povratno dejstvo kolektora
(hre=h12=0), onda je h11=Ube/Ib. Meutim, ulazni otpor
ovog pojaavaa Ri ne uzimajui u obzir RB,
U
U
U
R i = i = be + e
Ib
Ib
Ib

POJAAVAI SA KAPACITIVNOM SPREGOM RC POJAAVAI

Prvi lan je hie=h11, a drugi predstavlja otpor koji je


1+ puta vei od otpora u emitorskom kolu. Kako je
otpor u emitorskom kolu obino vei od h11, to je ulazni
otpor tranzistora sa uzemljenim kolektorom mnogo vei
od ulaznog otpora tranzistora sa uzemljenim emitorom.
Izlazni otpor samog tranzistora, pod pretpostavkom da
je otpor generatora zanermarivo mali, tj. da je baza
vezana za masu, bio bi

60

pojaava posmatrati i kao naponski i kao strujni


pojaava.

U0
U0
h11
=
=
Ie
(1 + b)I b
(1 + b)

Dakle, vrlo je mali. Ukoliko otpor generatora nije vrlo


mali i on e uticati na na veliinu izlaznog otpora, o
emu e biti govora u slijedeim poglavljima. Pojaava
sa uzemljenim kolektorom, kao to smo vidjeli ne
pojaava napon. Naponsko pojaanje je neto manje
od jedinice, prema tome, ovaj pojaava slabi ulazni
napon. Meutim vidjeli smo da je njegova ulazna
impendanca vrlo velika, a izlazna mala, pa se koristi
obino kao prvi stepen pojaavaa za prilagodjavanje
impendance ulaznog generatora na naredni stepen
pojaavaa.

Slika 7.22 Tranzistorski pojaava sa uzemljenim kolektorom

Emitorska struja je vea od bazne, pa je pojaava sa


uzemljenim kolektorom pojaava struje, a prema tome i
pojaava snage. U poslednje vrijeme sve se vie
koriste kao izlazni pojaava snage.

7.5.1. Naponsko pojaanje


na srednjim frekvencijama
Slika 7.23 Naponski i strujni pobudni generator

Na slici 7.22 nacrtan je pojaava sa uzemljenim


kolektorom.
Na ulazu moemo imati naponski ili strujni pobudni
generator, kako je prikazano na slici 7.23, jer emo

Na slici 7.24 data je ekvivalentna ema pojaavaa sa


uzemljenim kolektorom. Na srednjim frekvencijama
kapacitete C1 i C2 moemo zamjeniti kratkom vezom.

Slika 7.24 Ekvivalentna ema na SF

Obino je 1/h22>>RE, pa se 1/h22 moe zanemariti.


Uzevi to u obzir, ekvivalentna ema za srednje
frekvencije se uprotava i data je kao na slici 7.25.

Naponsko pojaanje na srednjim frekvencijama je:


A us =

U0
U0
(1 + h 21 )R L'
=
=
.
Ui
U be + U 0
h11 + (1 + h 21 )R L'

Kod ovih pojaavaa, obino je ve samo R'L=RERL


vee ili bar jednako h11, pa je prema tome naponsko
pojaanje tranzistora sa uzemljenim kolektorom vrlo
blisko jedinici. Praktino je jednako jedinici.

Slika 7.25 Uprotena ekvivalentna ema na SF

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Ulazni otpor pojaavaa sa uzemljenim kolektorom


mjerei ga na samom ulazu tranzistora:

POJAAVAI SA KAPACITIVNOM SPREGOM RC POJAAVAI

R i' =

Ui
= h11 + (1 + h 21 )R 'L .
Ib

Kao to se vidi, ulazni otpor je vei od ulaznog otpora


tranzistora sa uzemljenim emitorom za (1+h21)R'L. Ovo
poveanje ulaznog otpora u odnosu na h11 moe biti
mnogo vee. Ulazni otpor ovog pojaavaa uzimajui u
obzir i otpore za polarizaciju je Ri=R'iRB.
Kod pojaavaa sa uzemljenim emitorom izlazni otpor
nije zavisio od ulaznog kola, jer smo povratno dejstvo u
kolektora zanemarili. U ovom sluaju to ne moemo
uiniti, jer se izlazni otpor istovremeno nalazi i u
ulaznom kolu, te e izlazni otpor zavisiti i od otpora u
ulaznom kolu.
Ukoliko nas interesuje pojaanje napona raunajui ga
u odnosu na elektromotornu silu generatora, moramo
uzeti u obzir i slabljenje uslijed prisustva untranjeg
generatora.
U
Ri
U U
A ue = 0 = i 0 = A us
Rg + Ri
Eg
Eg Ui

7.5.2. Naponsko pojaanje


na niskim frekvencijama
Na niskim frekvencijama pojaanje opada uslijed
kapaciteta C2, ija se kapacitansa na niskim
frekvencijama ne moe zanemariti. Ovo pojaanje
moemo nai istim postupkom kao to smo radili kod
feta, prosto uzimajui u obzir i impendancu
kondenzatora 1/jwC2. Meutim, pokazaemo kako se to
moze uraditi i na drugi nain.
Sam pojaava na niskim frekvencijama, iskljuujui C2,
ne sadri reaktivne elemente i reaktivne parametre
(zanemarili smo ih na niskim frekvencijama). Nadjimo
po poznatoj Tevenenovoj teoremi ekvivalentnu
elektromotornu silu generatora i njegov unutranji
otpor, koji mogu zameniti cijeli pojaava, iskljuujui
C2, i optereenje RL. Izraz za pojaanje, ne uzimajui u
obzir RL, na srednjim frekvencijama moemo
neposredno napisati na osnovu jednaine za pojaanje
na srednjim frekvencijama, prosto mjesto R'L treba
staviti samo RE. Dakle:
A ' us =

(1 + h 21 )R E
h11 + (1 + h 21 )R E

Elektromotorna sila ekvivalentnog generatora na slici


7.26 je AusUi.

Slika 7.26 Uz analizu donje granine frekvencije


i pojaanja na NF

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

61

Unutranji otpor generatora je ustvari izlazni otpor


pojaavaa R0. Na osnovu slike 7.26 imamo da je
pojaanje na niskim frekvencijama.
A un =

U0
RL
= A 'us
R0 + RL
Ui

1
1+ j

fn
f

gdje je:
fn =

1
2pC 2 (R 0 + R L )

7.5.3. Naponsko pojaanje


na visokim frekvencijama
Za izraunavanje gornje granine frekvencije,
pretpostaviemo da je granina frekvencija mnogo
manja od granine frekvencije tranzistora, te emo
uzeti u obzir samo parazitni kapacitet vezan paralelno
potroau C0. U tom sluaju, pojaanje na VF emo
dobiti tako, to emo u izrazu za pojaanje napona dna
srednjim frekvencijama umjesto otpora R'L staviti
impedansu Z'L koja je
Z L' = R L' +

1
jwC 0

Prema prethodnim jednainama dobijamo pojaanje na


visokim frekvencijama pojaavaa sa uzemljenim
kolektorom:
1
A uv = A uis
w
1- j
wv
gdje je: wv kruna gornja granina frekvencija.

7.6. TRANZISTORSKI POJAAVA


SA UZEMLJENOM BAZOM
Nekada davno, jo u doba takastih tranzistora ova
veza tranzistora se najvie koristila. Pojavom legiranih
tranzistora, ova sprega se zadrala jedino pri radu
tranzistora na vrlo visokim frekvencijama zbog toga to
je granina frekvencija tranzistora sa uzemljenom
bazom fa, vea od granine frekvencije kada tranzistor
radi sa uzemljenim emitorom. Danas u intergriranim
kolima, esto se tranzistor u sprezi sa drugim
tranzistorima (kaskadni pojaava) koristi tako da radi
sa uzemljenom bazom. U integriranim kolima se PNP
tranzistori koriste u ovakvoj sprezi, jer PNP tranzistori u
integriranoj tehnici obino imaju mnogo niu graninu
frekvenciju od NPN tranzistora.
Kako se tranzistor sa uzemljenom bazom u integriranoj
tehnici nikada ne koristi sa kapacitivnom spregom,
analiza pojaanja na niskim frekvencijama je
nepotrebna. U kolima koja emo analizirati tranzistori
sa uzemljenom bazom imaju viu graninu frekvenciju
od tranzistora sa uzemljenim emitorom, pa ni analizu
pojaanja na visokim frekvencijama ne moramo vriti.
Uostalom, ve smo vidjeli kako se vri ta analiza. Za
analizu pojaanja koristiemo T ekvivalentu emu
tranzistora.

POJAAVAI SA KAPACITIVNOM SPREGOM RC POJAAVAI

Na slici 7.27 data je ema pojaavaa sa uzemljenom


bazom, a na slici 7.28 ekvivalentna ema tog
pojaavaa kao T-ekvivlaentna ema. U ekvivalentnu
emu smo unijeli i parazitne kapacitete, ne zbog toga
to su nam potrebni pri analizi, ve da bi pokazali kako
na visokim frekvencijama preko njih nee biti povratne
sprege.

62

Dakle, pojaanje struje jednako je faktoru strujnog


pojaanja , a to znai manje je od jedinice.
Pojaanje napona je
Au=Ucb/Ueb=RC/re+(1-)rbRC/re
otpor rb je obino u normalnom reimu rada tranzistora
(struja IE<1mA) jednak ili manji od re.
Ulazni otpor ovog pojaavaa je
Ri=Ueb/Ie=rc+rb/(1+)re

Slika 7.27 Pojaava sa uzemljenom bazom

Izlazni otpor ovog pojaavaa je beskonano velik, jer


smo kolektorski otpor rc zanemarili. Znai R0=. Rekli
smo da je uticaj baznog otpora vrlo mali, jer kroz njega
protie bazna struja koja je manja od emitorske 1+, a
od kolektorske puta. Zbog toga pad napona na njemu
moemo zanemariti, odnosno, moemo smatrati da
bazni otpor i ne postoji. Ako je to tako onda je
kolektorski kapacitet istim krajem uzemljen i preko
njega nema povratnog dejstva na emitorsko kolo.
Prema tome, tranzistor sa uzemljenom bazom sa ulazne
strane ima vrlo malu, a sa izlazne vrlo veliku
impendancu (otpor). Osim toga, povratno dejstvo
kolektorskog na emitorsko kolo je zanemarivo. Strujnog
pojaanja nema, odnosno, manje je od jedinice, ali zato
postoji naponsko pojaanje i to ukoliko vee, ukoliko je
kolektorski otpor vei u odnosu na emitorski otpor
tranzistora re.

Slika 7.28. T ekvivalentna ema pojaavaa ZB

Pojaanje struje kod ovako jednostavnog pojaavaa je


Ai=Iz/Ie=Ie/Ie=.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Analiza pojaavaa u spoju zajednika baza koritenjem


h parametara identina je analizi provedenoj za spoj ZE
i ZC. Nakon crtanja h parametarske eme za spoj ZE
"izvedemo" ekvivalentnu emu za spoj ZB. Zatim
unesemo i ostale elemente kroz koje protie izmjenina
struja i dobijamo najoptiji oblik ekvivalentne eme.
Analogna je analiza na srednjim, niskim i visokim
frekvencijama.

POJAAVAI SA
DIREKTNOM SPREGOM

poglavlje

Tri izvor konstantne struje kao aktivno optereenje

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

64

POJAAVAI SA DIREKTNOM SPREGOM

8. POJAAVAI SA DIREKTNOM SPREGOM


Neposrednom
ili
direktnom
spregom
izmeu
pojaavakih stepeni izbacuju se spreni kondenzatori,
iji je kapacitet uticao na donju graninu frekvenciju.
Osim toga, automatsko polariziranje, pri kojem je bio
potreban kapacitet da premosti otpor u kolu sorsa,
odnosno emitora, takoer nee biti potreban, pa prema
tome i zbog toga nee biti smanjenja pojaanja na
niskim frekvencijama. Budui da je sprega direktna,
pojaanje signala bie ostvareno od frekvencije jednake
nuli istosmjerni signal, pa na dalje.
Gornja granina frekvencija e biti zavisna od granine
frekvencije samih tranzistora i parazitnih kapaciteta
upotrebljenih
elemenata.
Izraunavanje
gornje
granine frekvencije radi se na isti nain na koji se
radila i kod pojaavaa sa kapacitivnom spregom.

Slika 8.1. Darlingtonova sprega tranzistora,


istosmjerne komponente struje

Kada govorimo o pojaanju, obino mislimo o veliini


pojaanja u opsegu, od donje do gornje granine
frekvencije. U sluaju direktno spregnutih pojaavaa
propusni opseg je od nule do gornje granine
frekvencije. Pojaanje je nezavisno od frekvencije, sve
do frekvencije bliske gornjoj graninoj frekvenciji.
Izlazni istosmjerni napon prethodnog pojaavakog
stepena obine se razlikuje od ulaznog istosmjernog
napona narednog pojaavakog stepena. Zbog toga je
neophodno te napone prilagoditi jedan drugome. Za
prilagoenje napona koriste se specijalna kola ili
specijalne konstrukcije pojaavakih stepeni.

8.1. DARLINGTONOVA SPREGA


TRANZISTORA
Darlingtonova sprega je neposredna sprega dva do tri
tranzistora, tako da se ovi ponaaju kao jedan
ekvivalentni tranzistor. Ovaj tranzistor ima vrlo veliku
ulaznu impedansu i veliki faktor strujnog pojaanja.
U kolima sa diskretnim elementima Darlingtonova
sprega se upotreljava jer:
ima veliku ulaznu impedansu,
nisu potrebni nikakvi spreni elementi izmeu
tranzistora,
pojaanje je jednako pojaanju dva stepene sa RC
spregom.
U integriranim kolima ova sprega se vrlo esto koristi,
jer pored navedenih prednosti, kada se radi o
integriranoj tehnici zauzima malu povrinu, ne samo
zato to nema nikakvih sprenih elemenata, ve i zbog
toga to je nepotrebno izoliranje izmeu tranzistora.
Na slici 8.1 data je ema Darlingtonove sprege dva
tranzistora T1 i T2.
Kao to se na slici vidi ova sprega ima tri izvoda kao to
ih ima i jedan tranzistor. Prema tome, moemo ga i
tretirati kao jedan tranzistor u spoju ZE, samo treba
odrediti parametre takvog ekvivalentnog tranzistora.

Slika 8.2. Darlingtonova sprega tranzistora,


izmjenine komponente struje

Bazna struja ekvivalentnog tranzistora jednaka je


baznoj struji prvog tranzistora
IB=IB1.
Bazna struja drugog tranzistora jednaka je negativnoj
vrijednosti emitorske struje prvog tranzistora
IB2=-IE1=(1+b 1)IB+ICE01
Kolektorska struja ekvivalentnog tranzistora jednaka je
zbiru kolektorske struje prvog tranzistora
IC1=b1IB1+ICE01
i kolektorske struje drugog tranzistora:
IC2=b2IB2+ICE02=( 1+b1) b2IB+ b 2ICE01+ ICE02
Dakle, ukupna kolektorska struja je:
IC=IC1+IC2=b1IB+ICE01+ b2IB+b1b2IB+ b2ICE01+ ICE02
Kada ovo konano sredimo moemo napisati u obliku
IC=bIB+ICE0
Isto kao i za jedna tranzistor.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

65

POJAAVAI SA DIREKTNOM SPREGOM

tranzistroa poveava. Poveanje se ostvaruje na taj


nain to se izmeu emitora prvog tranzistora i mase
vee neki otpor (slika 8.4) kroz koji e tei dio
emitorske struje prvog tranzistora.

Ukupni faktor pojaanja iznosi:


b=b1+b 2+ b1b2 b1b 2
Dakle,
faktor pojaanja Darlingtonove
tranzistora priblino je jednak proizvodu
strujnog pojaanja pojedinanih tranzistora.

sprege
faktora

Na slici 8.3 nacrtana je uprotena ekvivalentna ema za


male signale Darlingtonove sprege tranzistora
(zanemarili smo 1/h22 i h12).

Ovaj otpor trebe tako izabrati da poveava struju prvog


tranzistora, kako bi se dolo u podruje dovoljno
velikog faktora strujnog pojaanja, a s druge strane
treba da je dovoljno velik kako ne bi bazna struja
slijedeeg stepena bila mnogo smanjena, jer se tada
efektivni faktor strujnog pojaanja drugog tranzistora
smanjuje.

Slika 8.4. Darlingtonova sprega sa tri identina tranzistora

Slika 8.3. Ekvivalentna ema Darlingtonovog spoja


oba tranzistora i cijelog spoja

Kada bismo sa n tranzistora nainili Darlingtonovu


spregu, dobili bismo ukupni faktor strujnog pojaanja
jednak proizvodu faktora strujnog pojaanja svakog
tranzistora
b=

bi
i= 1

Na prvi pogled to izgleda idealno. Moemo napraviti


pojaava sa pojaanjem koliko god elimo, prosto
dodavanjem tranzistora, bez ikakvih drugih elemenata.
Naalost, to nije mogue. Prvi razlog je taj to, ukoliko
je kolektorska struja tranzistora manja, utoliko je i
faktor strujnog pojaanja manji. Prvi tranzistor koji ima
vrlo malu kolektorsku struju moe imati faktor strujnog
pojaanja i manji od jedinice, pa se daljim dodavanjem
tranzistora u pogledu pojaanja nee dobiti nita. Drugi
razlog je taj ukoliko je kolektorska struja tranzistora
manja, utoliko kolektorska struja zasienja ima vei
uticaj na temperaturnu zavisnost ukupne kolektorske
struje.
U praksi Darlingtonova sprega nema nikad vie od tri
tranzistora. Pa i tada se moraju poduzeti izvjesne mjere
da bi se dobio veliki faktor strujnog pojaanja i smanjio
uticaj struje zasienja prvog tranzistora. Ovo se postie
na taj nain to se kolektorska (emitorska) struja prvog
LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Sama Darlingtonova sprega tranzistora ustvari nije


pojaava, jer nije prikljuen potroa niti pobudni
generator. Da bi tranzistori u ovakvoj sprezi radili kao
pojaava, neophodno je istosmjerno polariziranje.
Meutim, ovakvo spregnuti tranzistori mogu se koristiti
kao jedan tranzistor u svim pojaavakim stepenima.
Veliki ulazni otpor e se dobiti, ako se sa tranzistorima
u Darlingtonovoj sprezi napravi pojaava sa uzemljnim
kolektorom.

8.2. KASKADNA SPREGA POJAAVAA


Ukoliko ulazni signali nisu veliki mogue je pojaavae
sa uzemljenim emitorom neposredno sprezati, tako da
je napon baze slijedeeg stepena jednak kolektorskom
naponu prethodnog stepena. Na slici 8.5 data je ema
trostepenog direktno spregnutog pojaavaa.
Pri direktnoj sprezi pojaavakih stepeni, ne moe se
vriti stabiliziranje radne take za svaki stepen
posebno, ve se vri stabiliziranje za cijeli pojaava.
Dok je stabiliziranje radne take kod jednostepenog
pojaavaa i mogla da se izostavi ako pojaava radi u
uskom temperatutnom opsegu, ovaj pojaava mora
imati stabiliziranu radnu taku ak i u sluaju da je
temperaturni opseg rada svega nekoliko stepeni.
Stabiliziranje radne take moe se izvriti samo
naponskom povratnom spregom, kako je na emi i
uraeno.
Da bismo pokazali koliko je kritino stabiliziranje radne
take, izvedimo jednostavnu analizu. Veliina izlaznog

66

POJAAVAI SA DIREKTNOM SPREGOM

otpora posljednjeg stepena ja kao i kod pojaavaa sa


kapacitivnom spregom RC31/h22RC3.
Ulazni otpor kod direktno spregnutih pojaavaa je
ulazni otpor samog tranzistora, a to je h11.Ulazni otpor
tranzistora je obino manji od izlaznog otpora,
odnosno, od RC. Pretpostavimo da je mnogo manji,

odnosno da je jednak nuli. Tada je pojaanje struje


jednog stepena jednako faktoru strujnog pojaanjatog
tranzistora. Kako su stepeni kaskadno spregnuti, to je
strujno pojaanje jednako
I
A i = O = -b1b 2 b 3 .
Ii

Slika 8.5 Direktno spregnuti pojaava od tri stepena sa ZE

Pretpostavimo da je ulazni otpor pojaavaa jednak


otporu optereenja, odnosno RBh11RC3. Tada je
naponsko pojaanje
Au =

UO R L IO R L
A i = A i = -b1b 2 b 3
=
=
Ui
R i Ii
Ri

Neka je b 1=b2=b 3=100. Tada je naponsko pojaanje


pojaavaa jednako Au=106. Poto je temperaturna
promjena ulaznog napona UBE oko 2mV/C, za porast
temperature od samo 1C izlazni napon bi se teorijski
promjenio za AuUBE=1062mV=2000V. Znamo da
najvea promjena izlaznog napona moe biti UCC/2, a
nikako 2000V. Ustvari e izlazni tranzistor biti ili u
zasienju ili u zakoenju u zavisnositi od toga da li je
temperatura opala ili porasla. Zbog toga ovaj pojaava
ne moemo koristiti kao istosmjerni pojaava, jer
istosmjerni reim rada moramo stabilizirati, te ga
moemo koristiti samo za pojaanje izmjeninog
signala. Ovakav pojaava se koristi za pojaanje zvuka
kod nagluhih osoba.

Slika 8.6 Kaskodni pojaava sa ulazom u spoju ZE

8.3. KASKODNI POJAAVAI


Kaskodni pojaava se dobija kombiniranjem direktno
spregnutih pojaava od kojih jedan radi sa uzemljnim
emitorom, a drugi sa uzemljenom bazom. Na slici 8.6 je
prikazana ema kaskodnog pojaavaa.
Otpor optereenja prvog tranzistora je ustvari ulazni
otpor drugog tranzistora. Drugi tranzistor je optereen
impedansom ZL. Ova impedansa moe biti ist
termogeni otpor ili moe imati i imaginarnu
komponentu, induktivnu ili kapacitivnu.
Uslijed toga to je optereenje prvog tranzistora malo i
naponsko pojaanje prvog stepena je malo (Au<1),
zanemarivo je povratno dejstvo izlaza na ulaz, to i
jeste jedna vana osobina kaskodnog pojaavaa.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 8.7 Kaskodni pojaava sa ulazom u spoju ZC

67

POJAAVAI SA DIREKTNOM SPREGOM

Analizirajui ekvivalentnu emu kaskodnog pojaava


moemo uoiti i nepoeljno povratno djelovanje u kolu
prvog tranzistora preko parazitnog kondenzatora Ccb1.
Uloga drugog tranzistora sa uzemljenom bazom je
upravo da sprijei povratno dejstvo preko kapaciteta
Ccb1 i tako ini pojaava stabilnim.
Na slici 8.7 data je principska ema kaskodnog
pojaavaa sa komplementarnim tranzistorima kod
pojaavaa sa uzemljenim kolektorom. Ovakav
kaskodni pojaava se koristi u integriranoj tehnici kao
pomjera istosmjernog nivoa.

8.4.2. Izvor konstantne struje umjesto RC


Izvor konstantne struje predstavlja kombinaciju dva
tranzistora spregnuta emitorima pri emu je jednom od
njih kratko spojena baza i kolektor (radi kao dioda).
Tako dobijena dioda stabilizira kolektorsku struju
drugog tranzistora. Na slici 8.9 je prikazano kako jedan
takav izvor konstantne struje moe korisititi kao
optereenje pojaavaa.
Stabilizirani izvor konstantne struje u kolektorskom kolu
je na slici 8.9 uokviren crticama.

8.4. POJAAVAI SA
AKTIVNIM OPTEREENJEM
Upotrebimo li aktivni element kao optereenje
pojaavaa njegov otpor istosmjernoj struji je mnogo
manji od diferencijalnog otpora, odnosno, otpora
izmjeninoj struji ili promjeni struje. Prema tome, kroz
aktivni element moemo propustiti dovoljno veliku
istosmjernu struju, da pojaavaki element radi u
podruju velikog prenosnog parametra, a u isto vrijeme
elemenata koji igra ulogu otpora, ima veliki otpor, kako
bi pojaanje bilo veliko.

8.4.1. FET umjesto RC


Na slici 8.8 prikazan je pojaavaki stepen, koji umjesto
kolektorskog otpora ima fet. Kolo za polariziranje ulaza
nije nacrtano, jer se ovakav pojaava neposredno
spree i na ulazu i na izlazu. Obino se koristi u
integriranoj tehnici.

Slika 8.9 Izvor konstantne struje kao aktivno optereenje

Slika 8.8 Pojaava sa ulazom u spoju ZE


i fetom umjesto kolektorskog otpora

Ako elimo da se zadrimo u linearnoj oblasti


karakteristika feta, struja drejna mora se zadrati u
granicama linearnosti izlazne karakteristike feta. Ukoliko
elimo da ne nastupi izoblienje, radna taka
pojaavaa se smije kretati u granicama linearnosti
izlaznih karakteristika feta.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 8.10 Tri izvor konstantne struje kao aktivno optereenje

68

POJAAVAI SA DIREKTNOM SPREGOM

Ukoliko zanemarimo povratno dejstvo kolektora T2


otpor optereenja je jednak izlaznom otporu tranzistora
T2. Ako su svi tranzistroi jednaki izlazni otpori su im
jednaki, pa je izlazni otopor takvog pojaavaa vrlo
veliki i pojaanja napona takoer vrlo veliko.

su oni vrlo nestabilni. Sa porastom ili padom od samo


1C radna taka posljednjeg tranzistora ode ili u
zasienje ili u zakoenje, te takav pojaava ne moe
pojaavati istosmjerni napon. Isto to vai i za pojaava
sa Darlingtonovom spregom i kaskodni pojaava.

Na prvi pogled izgleda neracionalno, umjesto jednog


otpora upotrijebiti dva tranzistora i jo jedan otpor.
Meutim, taj jedan otpor R moe se koristiti za vie
izvora konstantne struje. Osim toga i tranzistor koji se
koristi kao dioda, moe sluiti kao izvor istosmjernog
napona UBE za vie tranzistora, kao na slici 8.10.

Da bi pojaava mogao da pojaava istosmjerni napon


ili
istosmjernu
struju
neophodno
je
izvriti
temperaturno kompenziranje i uope kompenziranje
svih promjena, sem promjena uslijed ulaznog signala.
Jedan takav pojaava kod koga se to kompenziranje
automatski vri je diferencijalni pojaava.

Pojaava u spoju sa zajednikim kolektorom (T1)


umjesto emitorskog otpora ima izvor konstantne struje
sa T2 i T3. T3 je vezan kao dioda i daje referentni
napon UBE za T4. Otpornik R je s druge strane vezan za
T5, pa prema tome tranzistori T2, T3, T4, T5 i T6 sa
otporom R mogu zamjeniti otpore optereenja za tri
pojaavaa. Ovo esto koristimo u integriranoj tehnici.

Diferencijalni pojaava se sastoji od bar dva


tranzistora i ima dva ulaza i dva izlaza. Pojaava samo
razliku napona na ulaznim prikljucima. Na slici 8.12
data je ema prostog diferencijalnog pojaavaa.

8.4.3. Aktivno optereenje mosfet pojaavaa


Kod mosfet tranzistora umjesto otpora u kolu drejna ili
sorsa stavlja se takoer tranzistor i to opet jedan
mosfet tranzistor. Na slici 8.11 prikazan je jedna takav
pojaavaki stepen sa mosfet tranzistorima.

Slika 8.12 Diferencijalni pojaava, principska ema

Diferencijalni pojaava e biti idealan ako su oba


kolektorska otpora jednaka, a karakteristike tranzistora
identine. Oba emitora su spojena i vezana za izvor
konstantne struje I0 koja je nezavisna od napona UEE i
UE.

Slika 8.11 Aktivno optereenje pojaava sa mosfet

Ovakva sprega tranzistora se esto koristi u digitalnim


integriranim kolima. Mosfet M1 je pojaavaki element,
a mosfet M2 se koristi umjesto otpornika.
Mosfet M2 ima spojen gejt i drejn. Prema tome, kroz
njega e potei struja drejna tek kada napon drejna
UD2=UG2 dostigne napon praga Ut. To znai da napon
napajanja UDD mora biti dovoljno vei od napona praga
Ut da bi se ostvario normalan istosmjerni reim rada
pojaavaa.

8.5. DIFERENCIJALNI POJAAVA


Na kraju izlaganja o neposredno - direktno spregnutim
pojaavaima sa uzemljenim emitorom smo zakljuili da

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Neka su naponi baza jednaki tj. UB1=UB2. Kako su


naponi emitora oba tranzistora jednaki to su im i bazne
struje jednake (IB1=IB2) kako imaju iste karakteristike to
su im jednake i emitorske struje
IE1=IE2=I0/2
te su jednake kolektorske struje i kolektorski napon
(UC1=UC2). Dakle, kada je razlika ulaznih napona
jednaka nuli bie i razlika izlaznih napona jednaka nuli.
Uzmimo sada da ulazne napone istovremeno
poveamo. Kako je poveanje jednako opet je UB1=UB2
opet struje moraju biti jednake. Strujni generator daje
istu struju I0, kolektorske struje se ne mijenjaju te i
izlazni naponi ostaju isti. Poveanje napona baza UB1 i
UB2 moe ii samo dok tranzistori ne dou u zasienje.
Ulaskom tranzistora u zasienje odnos izmeu
kolektorske i bazne struje vie nije konstantan. Bazna
struja se poveava pa se kolektorska smanjuje jer je
IB+IC=IE. Izlazni napon e se poveavati jer se pad

69

POJAAVAI SA DIREKTNOM SPREGOM

napona na kolektorskim otporima smanjuje. Meutim,


ako su karakteristike tranzistora jednake nee biti
razlike u kolektorskim naponima kao to nema ni u
baznim naponima.

Dakle, svaki realni diferencijalni pojaava umjesto


idealnog izvora konstantne struje moe imati otpor koji
se prikljuuje na konstantan napon UEE, kao to se vidi
na slici 8.14. Osim toga u realnom diferencijalnom
pojaavau parametri tranzistora i elementi kola nisu
identini.

Slika 8.13 Prenosna karakteristika diferencijalnog pojaavaa

Pretpostavimo sada da tranzistori rade u linearnom


reimu tj. izvrimo malu promjenu napona baze tako da
je recimo UB1-UB2>0.
Ako je ta razlika mala promjenie se i linearne i bazne
struje. Bazna struja prvog tranzisotora IB1 e porasti a
drugog tranzistora IB2 e opasti. Isto tako porast e
kolektorska struja IC1 a opasti IC2 pa e kolektorski
napon UC1 opasti a UC2 porasti.
Poveavajui UB1 a smanjujuu UB2 i dalje izai emo iz
linearne
promjene
baznih
struja
(one
su
eksponencijalne funkcije napona UBE) pa ako i dalje
nastavimo sa porastom razlike ulaznih napona prvi
tranzistor e preuzeti svu struju I0 dok e se drugi
zakoiti.
Prema tome, bez obzira da li na ulaz dovodimo
simetrinu promjenu napona na bazama ili mijanjamo
napon samo jedne baze raspodjela promjene napona
se ravnomjerno rasporeuje izmeu baze i emitora
jednog i drugog tranzistora i na izlazu e se kolektorske
struje i kolektorski naponi jednako promijeniti, jedan
poveati, a drugi smanjiti. To je postignuto na taj nain
to je napon emitora porastao za UB1/2

Slika 8.14. Diferencijalni pojaava sa emitorskim otporom

Pretpostavimo da je Re beskonano velik, ali da se na


primjer 1 razlikuje od 2. Tada budui da je Ib1=-Ib2=Ib
struje strujnog generatora 1Ib- 2Ib. Kako su ta dva
generatora vezana u seriju, a nema posebno
zatvorenog kola od e1(e2) do c1 odnosno c2, ove struje
ne mogu biti razliite.
ta e se desiti?
-

Kada kod strujnog generatora prikljuimo veliki


otpor napon e porasti toliko da kroz otpor proe
konstantna struja. Isto tako i napon onog
generatora koji ima veu struju, toliko e porasti
da e taj viak struje protei kroz izlazni otpor
tranzistora.

Uslijed prisustva emitorskog otpora Re sve se


mijenja. Neka je istosmjerni napon Ub1 jednak
istosmjernom naponu Ub2. Zanemarimo li Ube
istosmjerna struja I0 kroz otpor Re je

Sa promjenom napona Ub1=Ub2=Ub mijenja se I0, a


sa promjenom I0 mijenja se gm pa se mijenja i
pojaanje. Na slici 4.15 mijenja se Re=Ut/Ie=2Ut/I0
to dovodi do promjene pojaanja izmjenine
kolektorske struje i izmjeninog kolektorskog
napona. Znai, pojaanje raste sa porastom
baznog istosmjernog napona.

Dovedimo sada isti napon na obje baza. Ukoliko su


tranzistori istih parametara bie Ib1=Ib2 pa e biti i
Ic1=Ic2. Napon izmeu kolektora e biti jednak nuli
kao i kod idealnog diferencijalnog pojaavaa.
Meutim, naponi Uc1 i Uc2 nisu jednaki nuli. Ako se
izlaz uzima samo sa jednog kolektora diferencijalni
pojaava e pojaavati i pored toga to je Ubb=0.
Oigledno da e ovo pojaanje biti utoliko vee
ukoliko je Re manji tj. ukoliko je odstupanje od
idealnog diferencijalnog pojaavaa vee.

Diferencijalni pojaava je interesantan zbog toga to


bilo da kakva promjena nastupi (promjena UBE, ICB0, )
kod diferencijalnog pojaavaa zbog simetrinosti eme
to nema uticaja na njegov rad.

8.5.1. DP sa otporom umjesto izvora


konstantne struje
Kada se pravi diferencijalni pojaava nije mogue
napraviti i izvor konstantne struje sa beskonanim
otporom. Otpor e biti veliki ali ipak konaan. Umjesto
izvora konstantne struje moe se staviti otpor koji sa
izvorom za napajanje predstavlja izvor konstantne
struje, samo to je unutranji otpor takvog izvora
konstantne struje relativno mali.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

70

POJAAVAI SA DIREKTNOM SPREGOM

U sluaju nesimetrinosti kada su parametri


tranzistora razliiti razlikovati e se struja Ib1 od
struje Ib2 pa e se razlikovati Ic1 od Ic2.Ova razlika
je mogua zbog toga to se zajednika taka e1
odnosno e2 vezana preko Re za masu te se izlazno
kolo zatvara preko Rc i Re za svaki tranzistor
posebno. Zbog toga, ako parametri tranzistora nisu
isti bie Uc1Uc2, te je Ucc= Uc1 -Uc20. Dakle i
simetrian izlaz je razliit od nule i pored toga to
je kratko spojen (Ub1=Ub2).

Pojava signala na izlazu i kad na ulazu nema naponske


razlike izmeu baza, odnosno kad se dovede isti signal
na obe baze, nedostatak je diferencijalnog pojaavaa.

8.5.2. Izvedba diferencijalnog pojaavaa

Slika 8.16. Zamjenom u takama A i B dobijamo diferencijalni


pojaava sa emitorskim otporom

Izvor konstantne struje, koji se prikljuuje u takama A


i B, moe biti sastavljen od diode i tranzistroa, kako je
prikazano na slici 8.17.

Na slici 8.15. data je praktina ema diferencijalnog


pojaavaa koji se napaja iz jednog izvora.

Slika 8.17. Mogua varijanta strujnog izvora u takama A-B

Ukoliko se diferencijalni pojaava napaja iz dva izvora


(UCC i UEE), potencijal baze je jednak nuli i ne moraju se
posebno polarizirati. Ulazni signal se dovodi neposredno
na bazu tranzistora, a sa slike se izbacuju otpornici RB.

8.6. POMJERAI NAPONSKOG NIVOA

Slika 8.15. Kompletna ema diferencijalnog pojaavaa


sa izvorom konstantne struje

Kod ovakvkog pojaavaa baze se moraju polarizirati.


Polariziranje baza se ostvaruje iz razdjeljnika napona
R1, R2, R3. Da bi se poiveao ulazni otpor pojaavaa,
napajanje baze je preko baznog otpora RB. Izvor
konstantne struje sa tranzistorom T3 i otporom R4
dobija referentni napon takoer sa razdjeljnika R1, R2,
R3.
Umjesto izvora konstantne struje moe se koristiti
emitorski otpornik. Tada se samo izbaci tranzistor, te
od take A do mase ostaje emitorski otpor R4, a
razdjeljnik ostaje nepromijenjen, odnosno od take B
do mase ostaje otpor R3. Elementi za zamjenu su
prikazani na slici 8.16.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Kod pojaava istosmjernog napona i istosmjerne struje


pojedini stepeni tog pojaavaa moraju se neposredno
spajati. Ukoliko se ne vodi rauna o naponskom nivou
izlaza prethodnog i naponskom nivou ulaza slijedeeg
pojaavakog stepena, ukoliko se ti nivoi ne usklade,
doi e do izlaska pojedinih pojaavakih stepeni iz
radnog podruja (zakoenje ili zasienje tranzistora).
Zbog toga se moraju ubaciti posebni elementi izmeu
tih stepeni, da bi se ulaz slijedeeg prilagodio izlazu
prethodnog stepena. Ovi elementi ili kombinacija
elementata nazivaju se pomjerai nivoa.
Najjednostavniji nainda se naponski nivo smanji je
ubacivanje otpora, kao na slici 8.18.
Uslijed proticanja bazne struje IB2 stvara se pad napona
na otporu RB takav da je:
UC1-UB2=RBIB.
Ovaj pomjera nivoa ima taj nedostatak to e na
otporu RB biti pada i izmjeninog napona, pa se
smanjuje naponsko pojaanje.

71

POJAAVAI SA DIREKTNOM SPREGOM

Slika 8.18. Pomjera naponskog nivoa pomou otpora

Mnogo je bolje ubaciti takav elemenat koji za


istosmjernu struju ima mnogo vei otpor nego za
izmjeninu struju. Takav element je nrp. Dioda.
Dioda ima mnogo vei istosmjerni otpor (rD) od otpora
za izmjeninu struju diferencijalnog otpora (rd). Na
slici 8.19 pokazano je kako se pomou diode moe
vriti pomjeranje naponskog nivoa.

Slika 8.20. Pomjeranje nivo pomou Zener diode za spoj ZE

Na slici 8.21. prikazano je pomjeranje nivoa za Zener


diodom kod pojaavaa sa zajednikim kolektorom.

Slika 8.19. Pomjera sa direktno polariziranim diodama

Slika 8.20. Pomjeranje sa Zener diodom kod pojaavaa ZC

Izmeu kolektora prethodnog stepena i baze narednog


stepena ubaene su diode. Svaka dioda pomjera nivo
za oko UD=0,7V, tj.

Pomjeranje nivoa za veliinu napona izmeu baze i


emitora UBE moe se postii pojaavaem sa
zajednikim kolektorom, kao na slici 8.21.

UC1-UB2=nUD,
gdje je: n broj ubaenih dioda izmeu kolektora i
baze.
Kako je rDrd to e otpor za izmjeninu struju nrd biti
mnogo manji od otpora nrD.
Kada treba pomjeriti nivo za nekoliko volti umjeto
direktno polarizirane koristi se Zenerova dioda.
Pomjeranje nivoa je jednako Zenerovom naponu UZ, a
otpor izmjeninoj struji je jednak diferencijalnom
Zenerovom otporu rz.
Na slici 8.20. prikazano je pomjeranje nivoa za Zener
diodom kod sprege pojaavaa sa zajednikim
emitorom.

Slika 8.21. Tranzistor sa ZC pomjera nivo za UBE

Na ovaj nain ne samo sa de pomjera nivo, ve se


smanjuje optereenje prethodnog stepena i smanjuje
izlazni otpor.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

POJAAVAI SA DIREKTNOM SPREGOM

Na slici 8.22 prikazano je pomjeranje nivoa sa PNP


tranzistorom.

72

tranzistora T3, ali veliinu struje IB3 moemo podesiti


izborom otpora RE u emitorskom kolu tranzistorom T2.
Na slici 8.23 vidi se kako je izvreno pomjeranje nivoa
sa PNP tranzistorom u Darlingtonovoj sprezi PNP i NPN
tranzistora.

Slika 8.22. PNP tranzistor pomjera nivo za veliinu UCE

U ovom sluaju je:

UC1-UB3=-UC2.

-UC2 jer je T2 PNP tranzistor.


Napon baze T3 odvojen je od napon akolektora T1. Od
veliine kolektorskog napona T1 zavisie bazna struja

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 8.23. Komplementarni Darlingtonov spoj pomjera nivo za


UCE, a cijeli spoj se ponaa kao ekvivalentni PNP tranzistor

Ulazni nivo tranzistra T2, koji je jednak naponu UBE,


pomou PNP tranzistora pomjeren je na vie, tako da je
ulazni nivo svega za UBE1 nie od potencijala kolektora
tranzistora T2. Ovakva kombinacija je ekvivalentna PNP
tranzistoru.

NISKOFREKVENTNI
POJAAVAI SNAGE

poglavlje

Direktno spregnuti pojaava klase B (AB)

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

74

NISKOFREKVENTNI POJAAVAI SNAGE

9. NISKOFREKVENTNI POJAAVAI SNAGE


Pojaavai snage su najee izlazni pojaavaki
stepeni. Ovakvi pojaavai se projektiraju tako da
pojaavaki elementi na izlazu daju to je mogue veu
snagu sa to manjim izoblienjem, a daje pri tom
stepen korisnog dejstva maksimalan. Pojaanje snage
ne mora biti maksimalno, jer se pretpostavlja da je
signal u prethodnim stepenima dovoljno pojaan. Samo
u izvjesnim sluajevima, kada je neophodno potroa
prilagoditi izlazu pojaavaa ili kada je izlazna snaga
koju daje pojaava relativno mala u odnosu na snagu
koju pojaava moe dati, odstupa se od toga i ne vodi
se rauna o stepenu korisnog dejstva i maksimalno
moguoj izlaznoj snazi.

9.1.1. Pojaava klase A sa neposrednim


otpornim optereenjem
Na slici 9.1 nacrtana je ema jednostavnog
tranzistorskog pojaavaa. Poto emo analizirati samo
izlaz pojaavaa, to ulaz nije bitan, zato je i uzet tako
jednostavan. Neka je RB mnogo vei od ulazne
otpornosti tranzistora, tako da je Ig = Ib

9.1. POJAAVAI KLASE A


Danas, se pojaavai klase A koriste uglavnom kad se
radi o pojaavaima relativno male snage, jer imaju
mali stepen korisnog dejstva. Zbog manjeg stepena
korisnog dejstva mora se koristiti pojaavaki elemenat
sa veom snagom disipacije, koji je skuplji, a potronja
je vea ne samo zbog manjeg stepena korisnog
dejstva, ve i zbog toga to ona postoji i kada
pojaava ne pojaava signal.
Kao pojaavaki elementi koriste se uglavnom
tranzistori, a za male snage se mogu koristiti fetovi i
mosfetovi.

Slika 9.1. Pojaava klase A sa direktnim otpornim


optereenjem izlazni dio pojaavaa

Na slici 9.2 date su izlazne karakteristike tranzistora,


odreen je reim rada, nacrtana je radne prave i
maksimalni signal.

Slika 9.2 Izlazne karakteristike tranzistora sa radnom pravom i maksimalnim izlaznim signalom

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

75

NISKOFREKVENTNI POJAAVAI SNAGE

Kako je: Pd=UCIC, to radna prava ne smije sjei


hiperbolu disipacije. Da bismo maksimalno iskoristili
tranzistor, radna prava treba da dodiruje hiperbolu
disipacije, kao to je na slici i nacrtano.
Radnu taku, kod pojaavaa klase A, treba izabrati
tako da ona bude na sredini radnog dijela, izmeu
take B u kojoj radna prava preseca IBmax i take A gdje
radna prava presjeca karakteristiku za IB=0. Dakle,
radna taka Q se nalazi na prijesjeku radne prave i
karakteristike IB=IBmax/2. Nagib prave treba tako
odabrati da odsjeci QA i BQ budu priblino jednaki,
kako bi bila mala izoblienja.

Na slici 9.3 grafiki su prikazane: maksimalna utroena


snaga - PB, maksimalna korisna snaga P0m i
maksimalna snaga disipacije Pdm.
Oigledno, utroena snaga je predstavljena povrinom
pravougaonika OBFC, a korisna snaga povrinom
trougla AEQ ili QDB. Ukoliko povrine AEQ i QDB nisu
jednake treba uzeti srednju vrijednost te dvije povrine.
Maksimalna disipacija predstavljena je povrinom
pravougaonika ODQC.

Srednja snCCaIga, koju daje izvor za napajanje,


priblino je jednaka snazi koju troi pojaava u
mirnom stanju kada nema signala. Razlika postoji samo
u sluaju da postoje izoblienja, ali ako su ona
zanemariva. Snaga koju daje baterija za napajanje je:
PB = UCCIC.
Korisna izlazna snaga je:
P0 =

U cm I cm
I2 R
= cm L
2
2

Amplituda izlazne struje je:


I cm =

i c max - i c min
,
2

a amplituda izlaznog napona:


U cm =

u c max - u c min
.
2

Pa je korisna izlazna snaga:


P0 =

(u c max

- u c min )(i c max - i c min )


.
8

Stepen korisnog dejstva je:


h=

Slika 9.3. Grafiko predstavljanje korisne snage P 0m, utroene


snage PB i snage disipacije Pdm

9.1.2. Pojaava klase A sa transformatorom


Otpor potroaa je esto unaprijed odreen. Sa druge
strane, otpor optereenja odreen optimalnim uslovima
za dobijanje najvee izlazne snage, moe biti razliit od
otpora potroaa. Prilagoenje otpora potroaa na
izlazu pojaavaa moe se izvriti upotrebom
transformatora koji transformira otpor potroaa na
eljenu vrijednost otpora optereenja.
Na slici 9.4 data je uprotena ema pojaavaa sa
transformatorom, za prilagoenje otpora potroaa na
izlaz pojaavaa.

(u
P0
- u c min )(i c max - i c min )
= c max
PB
8 U CC I C

Pod idealnim uslovima, kada su karakteristike linearne,


a amplituda signala maksimalna i kada je napon
zasienja zanemariv u odnosu na napon napajanja,
odnosno, kada je UCmax i ICmin~0, bie:
icmax=2IC=2Icm , a ucmax=UCC=2Ucm ,
pa je stepen korisnog dejstva:
h=

I cm U cm
1
= = 0 ,25 = 25% .
2U CC I C
4

Pojaava klase A nainjen sa tranzistorom ija je


maksimalna disipacija 6 W, moe dati maksimalnu
izlaznu snagu 3 W.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 9.4. Pojaava klase A sa transformatorom

Primar transformatora za istosmjernu struju predstavlja


neznatan otpor. Obiljeimo taj otpor sa R1.
Na slici 9.5, ovaj otpor je predstavljen praktino
vertikalnom linijom. To je ustvari radna prava za
istosmjerni reim rada pojaavaa. Kolektorski napon je
jednak naponu napajanja UCC.

76

NISKOFREKVENTNI POJAAVAI SNAGE

Dinamika radna prava odreena je transformiranim


otporom potroaa. Smatrajui transformator idealnim
sa odnosom transformatora n=N2/N1 transformirani
otpor, odnosno dinamiki otpor je :
R1 = n2RL .

manja, zbog ega e stepen korisnog dejstva biti dva


puta vei:
h=

I cm U cm
= 0 ,5 = 50%
2U CC I C

Prema tome, za pojaava klase A sa transformatorom


je faktor korisnog dejstva 0,5, te je maksimalna korisna
snaga, koja se moe dobiti iz ovog pojaavaa, jednaka
polovini dozvoljene disipacije.
Analiza pojaavaa klase A koja je izvedena uzimajui u
obzir tranzistore, vai i za fetove i mosfetove. Razlika je
jedino u tome, to smo ranije pokazali, da je kod
izlaznih karakterisitika za fetove i mosfetove parametar
napon gejta, a za tranzistore struja baze.

9.1.3. Izoblienja u pojaavau klase A


Slika 9.5. P0m, PB i Pdm pojaavaa klase A sa transformatorom

Radna taka, po radnoj pravoj pravi R1 kree se izmeu


taaka A i B. Maksimalan kolektorski napon UCmax je
uslijed koritenja transformatora vei od napona
napajanja UCC. Za vrijeme porasta kolektorske struje,
nagomilava se energija magnetnog fluksa u jezgru
transformatora, te pri opadanju struje, ovu energiju
dobijemo nazad u vidu porasta kolektorskog napona.
U odnosu na predhodni pojaava, pojaava sa
transformatorom, pod istim uslovima rada imae jedino
smanjen napon napajanja UCC . Kod ovog pojaavaa
UCC=UC.
Prema tome, korisna snaga P0m ostaje ista. Maksimalna
snaga disipacije e biti ista. Ukoliko zanemarimo UCmin,
odnosno, ukoliko moemo rei da je UC=UCmax/2, snaga
napajanja, odnosno, utroena snaga e biti upola

Pojaava klase A koji se pobuuje idealnim strujnim


generatorom ima vrlo mala izoblienja. Izoblienja
nastaju zbog toga to faktor strujnog pojaanja nije
konstantan, ve je zavisan od struje. Pri malim
kolektorskim strujama je mali zbog rekombiniranja, a
pri velikim strujama se smanjuje zbog smanjenja
koeficijenta injekcije. Prenosna karakteristika IC=f(IB)
nije prava, kako je prikazano na slici 9.5. Na slici je
prikazano
konstruiranje
prenosne
dinamike
karakteristike polazei od izlaznih karakteristika. Za
svaku vrijednost bazne struje, prenese se vrijednost
kolektorske struje, kao to je to pokazano za taku D.
Izoblienja kod ovako relativno male nelinearnosti
prenosne karakteristike su mala. Meutim, uzevi u
obzir da generator koji pobuuje tranzistor nije idealan,
ve da ima konani unutranji otpor, izoblienja e
nastati zbog nelinearnog ulaznog otpora tranzistora.

Slika 9.5. Konstruiranje prenosne karakterisitke tranzistorskog pojaavaa

Uzimimo drastian sluaj, kada je pobudni generator


naponski, sa neznatnim unutranjim otporom. Tada je
kolektorska struja eksponencijalna, te ima izraenu

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

nelinearnost. Ukoliko bi pobuivanje bilo iz naponskog


generatora malog unutranjeg otpora, izoblienje bi bilo
vrlo veliko. Zbog toga se ne smije dozvoliti da

77

NISKOFREKVENTNI POJAAVAI SNAGE

kolektorska struja pri pobuivanju maksimalnim


signalom, padne ispod neke minimalne vrijednosti ICmin.
Ukoliko je ta minimalna vrijednost vea izoblienja e
biti manja. Moe se koristiti samo dio prenosne
karakteristike koji je manje zakrivljen.

Na slici 9.6 se moe pratiti signal od elektromotorne sile


generatora, koji pobuuje pojaava, pa do izlaznog
napona preko ulazne, prenosne i izlazne karakterisitke
pojaavaa.

Slika 9.6. Grafiki prikaz prenosa signala kroz pojaava klase A

Radi jednostavnosti, pretpostavili smo da pobudni


generator sadri i istosmjernu komponentu, koja
odreuje radnu taku tranzistora. Struja pobudnog
generatora jednaka je struji baze. Pad napona na ulazu
tranzistora (bazni napon UB) zavisi od odnosa otpora Rg
i ulaznog otpora tranzistora.

Talasni oblik kolektorskog napona, budui da je


dinamika izlazna karakteristika prava (radna prava),
odgovara talasnom obliku kolektorske struje.
Kod feta na ulazu nema izoblienja. Izoblienja nastaju
usljed zakrivljenosti prenosne karakteristike.

9.1.4. Simetrini pojaava klase A

Kada nema signala, EMS generatora je Eg, pad napona


na unutranjem otporu generatora je URg, a na
tranzistoru UB. Za amplitudu Egm, se vidi da je
izoblienje ulazne struje, relativno malo, dok je ulazni
napon izrazito izoblien. Da je otpor Rg vei izoblienje
ulaznog napona bilo bi jo vee, a izoblienje ulazne
struje manje. Kako je prenosna karakteristika
zakrivljenja pri velikim strujama, to je kolektorska struja
manje izobliena od bazne.

Bilo bi interesantno napraviti takav pojaava koji bi


obje poluperiode jednako pojaavao. Ovo se moe
postii na taj nain to se dva pojaavaa, odnosno dva
tranzistora spregnu tako da rade simetrino.

Nelinearnost prenosne karakteristike donekle ublaava


posljedice zakrivljenosti ulazne karakteristike.

Na slici 9.7 prikazan je simetrian pojaava ili pu-pul


(push-pull) pojaava.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Izoblienje pojaavaa u A klasi sastoji u tome to je,


obino, jedna poluperioda manje pojaana od druge.

78

NISKOFREKVENTNI POJAAVAI SNAGE

Slika 9.7. Simetrini (pu-pul) pojaava klase A

Generator na ulazu i potroa na izlazu su spregnuti


preko transformatora. Preko srednje take sekundara
ulaznog transformatora dovodi se istosmjerna struja za
baze oba tranzistora preko baznog otpora RB. Ova
taka je preko kondenzatora C spojena sa masom za
izmjenini signal. Prema tome, na bazu prvog
tranzistora T1 dovodi se izmjenini napon sa jedne
polovine sekundara, a na bazu drugog tranzistora T2
napon sa druge polovine sekundara ulaznog
tranzistora. Ova dva napona su fazno pomjerena za
180. Kada prvom tranzistoru bazni napon raste,
drugom e opadati i obrnuto.
Preko srednje take primara izlaznog transformatora
napajaju se kolektori oba tranzistora. Kroz prvu
polovinu primara tee struja Ic1, a kroz drugu Ic2. Ako
su tranzistori identinih karakteristika ove struje e biti
jednake. Kako teku u suprotnim smjerovima,
magnetopobudne sile nastale proticanjem ovih dviju
struja e se oduzimati, te nee biti predmagnetizacije

jezgra transformatora, to omoguava da se veliina


izlaznog transformatora (koliina gvoa) smanji.
Kada jedna kolektorska struja raste, druga opada, te e
se u sekundaru dobiti struja proporcionalna razlici te
dvije struje. Pozitivna amplituda sekundarne struje e
biti proporcionalna negativnoj amplitudi prve i
pozitivnoj druge i obrnuto ba kao to smo na poetku
eljeli da bude.
Na slici 9.8 grafiki je prikazan rad simetrinog
pojaavaa klase A.
Prenosne karakteristike oba tranzistora nacrtane su
tako da se izlazne struje mogu lako oduzimati. Ulazni
napon Ub je zajedniki za oba tranzistora, jer su
prenosne karakteristike postavljene tako, da je druga
dobijena obrtanjem prve oko take Ub za 180, te ono
to je za jedan tranzistor pozitivno, za drugi je
negativno. Na slici se vide i struje Ic1 i Ic2, kao i njihova
razlika.

Slika 9.8. Grafiki prikaz rada simetrinog pojaavaa klase A

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

79

NISKOFREKVENTNI POJAAVAI SNAGE

Razlika struja ic1ic2=ic, moe se nanijeti za svaku


vrijednost Ub. To e biti prenosna karakteristika
simetrinog pojaavaa. Ova karakteristika, kao to se
vidi, relativno je prava linija, to ukazuje na to da su
izoblienja simetrinog pojaavaa mala.
Korisna snaga simetrinog pojaava je dva puta vea
od korisne snage jednog tranzistora.

9.2. POJAAVA KLASE B


Pojaava klase B pojaava samo jednu poluperiodu
signala. Prema tome, radna taka je izabrana tako da

su istosmjerne komponente bazne i kolektorske struje


jednake nuli, kada nema pobudnog (ulaznog) signala.
Kada nema signala kolektorski napon Uc je priblino
jednak naponu napajanja tranzistora UCC.

9.2.1. Princip rada pojaavaa u B klasi


Na slici 9.9 vidi se da nema istosmjernog baznog
napona, pa ni istosmjerne bazne struje. Pretpostavimo
da je unutranji otpor generatora Rg mnogo vei od
ulaznog otpora tranzistora, tako da je bazna struja
proporcionalna naponu generatora.

Slika 9.9. Prikaz rada pojaavaa klase B

Naravno, to e biti samo za vrijeme pozitivne


poluperiode ulaznog signala.
Za vrijeme pozitivne poluperiode signala, tei e
kolektorska struja proporcionalna baznoj struji. Za to
vrijeme kolektorski napon opada od veliine napona
napajanja, sve do zasienja. Poveamo li i dalje baznu
struju, kolektorska struja vie nee rasti. Prema tome
amplituda bazne struje ne smije biti vea od IBmax, pri
kojoj dolazi zasienje, odnosno, pri kojoj radna taka
po radnoj pravoj dolazi u taku A, koja se nalazi na
koljenu karakteristike.
Pri negativnoj poluperiodi ulaznog signala, kolektorski
spoj tranzistora je inverzno polariziran, te nema ni
bazne kolektorske struje. Bazna struja je jednaka struji
zasienja kolektorskog i baznog spoja, koju moemo
zanemariti.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Sa slike 9.10 se vidi da imamo samo jednu poluperiodu


signala na izlazu pojaavaa, i to pozitivnu poluperiodu
struje i negativnu poluperiodu napona.
Oigledno da je ovakav bazni signal izoblien. Zbog
velikih izoblienja pojaava klase B sa jednim
tranzistorom se nikada ne korisi. Izoblienja bi se
veoma smanjila, kada bismo napravili simetrian
pojaava, kod koga bi drugi tranzistor pojaavao
negativnu poluperiodu ulaznog signala.
Uzeemo da analiziramo pojaava klase B sa
transformatorskom spregom na ulazu i izlazu, prikazan
na slici 9.10.
Kod ovog pojaavaa signal se dovodi na primar
ulaznog transformatora TR1. U prvoj poluperiodi, kada
je napon bazi tranzistora T1 pozitivan, tei e bazna iB1 i

80

NISKOFREKVENTNI POJAAVAI SNAGE

kolektorska struja iC1. Kolektorska struja e tei kroz


gornju polovinu namotaja izlaznog transformatora TR2.
Za to vrijeme nema kolektorske struje drugog
tranzistora T2, jer je baza negativno polarisana u
odnosu na emitor. Za vrijeme negativne poluperiode

ulaznog signala, baza tranzistora T2 je pozitivno


polarisana pa e struja iC2 tei kroz donju polovinu
namotaja transformatora TR2. Za to vrijeme, baza
prvog tranzistora je nageativna, te nema kolektora
struje prvog tranzistora.

Slika 9.10 Simetrini pojaava klase B

U sekundaru izlaznog transformatora usljed struje iC1


indukovae se napon u toku prve, a usljed struje iC2 u
toku druge poluperiode. Prema tome, kroz potroa RL,
struje e tei tokom cijele periode signala, da je
prenosna karakteristika linearna, na izlazu bi signal bio
neizoblien.

Na slici 9.11 prikazana je prenosna karakteristika


pojaavaa klase B sa tranzistorima sa slike 9.11 sa
ulaznim i izlaznim signalom, a sa naponskim
pobuivanjem.

Slika 5.13. Izoblienja klase B usljed nelinearnosti prenosne karakteristike

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

81

NISKOFREKVENTNI POJAAVAI SNAGE

Zbog velikog ulaznog otpora, pri malom ulaznom


naponu izlazne struje praktino nema. Tek kada je
ulazni napon vei od priblino dvije treine amplitude,
kao u ovom sluaju, poinje naglo da raste kolektorska
struja. Budui da je sprega tranzistora simetrina signal
je simetrian u odnosu na vremensku osu: parni
harmonici su uklonjeni, ali nepari su izraeni. Sinusoida
izlazne struje je izobliena.

Da bi se smanjilo izoblienje radnu taku Q1 i Q2


neemo uzeti za IC1=IC2= 0 i UB1=UB2=0, ve emo ih
uzeti ve od nule, kako je prikazano na slici 9.14.
U ovom sluaju, pri malim signalima, kada je IcmIc,
pojaava se ponaa kao pojaava klase A. Kada je
IcmIc, odnosno, kada Ic moe da se zanemari u odnosu
na Icm, pojaava se ponaa kao pojaava klase B.
Zato, za ovakav pojaava kaemo da radi u klasi AB.

Slika 9.14. Prenosna karakteristika pojaavaa klase AB, smanjena izoblienja u odnosu na klasu B

Pojaava dat na slici 9.7 moe biti pojaava klase A,


klase B ili klase AB, ve prema tome koliki je otpor RB.
Ako je ovaj otpor relativno mali i IC priblino jednako
maksimalnoj amplitudi izlaznog signala, pojaava e
raditi u klasi A.
Ako je kolaktorska struja IC mnogo manja od najvee
amplitude izlaznog signala, pojaava e raditi u klasi
AB. Najzad, ako je bazna struja zanemarljivo mala,
pojaava radi u klasi B.
Izoblienja e biti manja, ako se pojaava pobuuje
generatorom velikog unutranjeg otpora, isto kao i kod
pojaavaa klase A (slika 9.6).

I C1 = I C2 =

Energija koju daje izvor jednaka je proizvodu napona


izvora i srednje kolektorske struje. Kada su oba
tranzistora vezana simetrino bie:
PCC = U CC (I C1 + I C2 ) =

Analizirajmo, kakvo je stanje pri maksimalnoj pobudi.


Istosmjerna kolektorska struja protie samo za vrijeme
jedne poluperiode. Njena srednja vrijednost je (slika
9.9):

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

U CC 2i C max
2I U
= cm CC
p
p

Maksimalna korisna snaga, koju tranzistori predaju


potroau, zanemarivi gubitke u transformatoru, i to
jedan tranzistor u jednoj, a drugi tranzistro u drugoj
poluperiodi je:

9.2.2. Izlazna snaga i stepen korisnog dejstva


Kao to smo rekli, kod pojaava klase B kolektorska
struja ne tee kada nema signala. Prema tome, ovaj
pojaava tada ne troi energiju iz izvora za napajanje.

I cm
i
= C max
p
p

P0m =

i2
R1
I cm U cm
i
U
= C max CC = C max
,
2
2
2

pa je stepen korisnog dejstva pri maksimalnoj pobudi:


h=

P0m
p
= = 0 ,78 = 78%
PCC
4

Ako se istim tranzistorima (ista snaga disipacije)


nainimo pojaavae klase B i klase A, od pojaavaa
klase B dobiemo 5 puta veu snagu.

82

NISKOFREKVENTNI POJAAVAI SNAGE

Prilikom pojektovanja pojaavaa klase B, polazimo od


toga kolika nam korisna snaga treba. Prvo izraunamo
kolika je maksimalna disipacija, koju tranzistori mogu
imati. Polovina te vrijednosti predstavlja disipaciju
jednog tranzistora. Tranzistor biramo takav da ima
toliku ili neto veu disipaciju.

emitorska struja. Dovoenjem signala na ulaz, na izlazu


e se dobiti samo pozitivna poluperioda emitorske
struje.

Poto smo izabrali napon napajanja po jednaini 5.35


izraunamo R1. Ovaj otpor je optereenje jednog
tranzistora. Kako je to otpor u kolu tranzistora samo
kada tee struja tog tranzistora, to je potrebno nai
ekvivalentni otpor. Koristei ovaj ekvivalentni otpor
moe se nai odnos transformacije izlaznog
transformatora.
Struja kao to smo rekli, protie samo kroz polovinu
primara. Ako je svedemo na cjeli primar, to je ona
jednaka polovini kolektorske struje jednog tranzistora.
Prema tome:

Slika 9.15. Izlazni pojaava sa zajednikim kolektorom i NPN

Analogan pojaava sa PNP tranzistorom, prikazan na


slici 9.16 dae na izlazu samo negativnu poluperiodu
emitorske struje.

Iccm=Icm/2.
Napon od kolektora do kolektora iznosi:
Uccm = 2Ucm,
jer, protiui struja kroz polovinu primara indukuje
napon u drugoj polovini primara.
Ekvivalentni otpor optereenja na primarnoj strani
transformatora je onda:
R'L =

U ccm
U
= 4 cm = 4R 1
I ccm
I cm

Odnos transformacije je:


n=

N1
=
N2

4R 1
RL

Otpornost R1 je dinamika otpornost u kolektorskom


kolu jednog tranzistora (slika 9.9), a N1 ukupan broj
zavojaka primara od kolektora do kolektora.

9.3. DIREKTNO SPREGNUTI STEPENI SA


KOMPLEMENTARNIM TRANZISTORIMA
Za direktno ukljuivanje potroaa u izlazno kolo
pojaavaa potrebno je da pojaava ima to manji
izlazni otpor. To je pogotovu vano kada je otpor
optereenja mali.
Kod niskofrekventnih pojaavaa, potroa je obino
zvunik, koji ima mali otpor. Na slici 9.15 prikazani su
takvi pojaavai. To su pojaavai sa uzemljenim
kolektorom. Oni, kao to znamo, imaju mali izlazni
otpor.
Ovaj pojaava je sa NPN tranzistorom, R1 i R2 se
odaberu tako da tranzistor radi u klasi B, odnosno u
klasi AB. U mirnom stanju ne tee, odnosno tee mala

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 9.16. Izlazni pojaava sa zajednikim kolektorom i PNP

Prema tome, kroz potroa jednog tranzistora tee


struja za vrijeme pozitivne, a kroz potroa drugog
tranzistora vrijeme negativne poluperiode ulaznog
signala. Ako bi ta dva pojaavaa nainili tako da imaju
zajedniki potroa, kroz potroa e tei struja za
vrijeme cijele periode signala, a signal bi bio pojaan
bez izoblienja.
Na slici 9.17 data je takva sprega ovih pojaavaa. U
sutini dobili smo simetrian pojaava klase B
odnosno, klase AB.
Za vrijeme pozitivne poluperiode ulaznog signala,
pojaavaa NPN tranzistor, a za vrijeme negativne PNP
tranzistor. Kroz potroa RL struja tee za vrijeme cijele
poluperiode.
Da bi ulazi bili galvanski odvojeni meusobno i od
pobudnog stepena, signal se dovodi na jednu i drugu
bazu preko kondenzatora C1 i C2.
Tranzistori NPN i PNP treba da imaju iste karakteristike:
jednake faktore strujnog pojaanja, istu zavisnost
tranzistora strujnog pojaanja od emitorske struje i
jednake ulazne otpornosti, kako bi obje poluperiode
izlazne struje bile jednake i kako ne bi bilo izoblienja.
Za takve tranzistore kaeo da su komplementarni.

83

NISKOFREKVENTNI POJAAVAI SNAGE

Slika 9.17. Simetrian pojaava sa komplementarnim tranzistorima

ema na slici 9.17 je data da bi se shvatio princip rada


pojaavaa. Za ostvarenje te eme potrebna su etiri
kondenzatora za napajanje. Prvo to bismo mogli uiniti
je da umjesto UCC upotrebimo izvor za napajanje
kolektora UCC2, a umjesto UBB izvor napona UCC1.
Uslijed direktne sprege izlaznih tranzistora predhodnim
stepenom, nepotrebni su spreni kapaciteti C1 i C2, to
je naroito znaajno za pojaava izraen u integrisanoj
tehnici se u toj tehnici ne mogu praviti kondenzatori
velikog kapaciteta.
Na slici 9.18 dati su pobudni i izlazni stepeni koji se
koriste u integrisanoj tehnici.

Slika 9.19. Sinusoidalna pobuda daje izoblienu struju

Ukoliko
ovaj
pojaava
pojaavaa
signal
pravougaonog oblika (slika 9.20), ova pojava nee
imati uticaj na izlazni signal.

Slika 9.20. Pravougaona pobuda se ne izobliuje

Prema tome ovaj pojaava moe da se koristi kao


pojaava pravougaonih impulsa.

Slika 9.18. Direktno spregnuti pojaava klase B


sa pobudnim stepenom (T 1)

Izlazni stepen je pojaava klase B. Radni uslovi su


podeeni tako da je kolektorski napon budnog stepena
u miru jednak nuli (UC1=0). Kako tada kroz protie
nikakva struja, emitorski naponi T2 i T3 su jednaki, a
oba tranzistora su zakoena.
Prilikom porasta napona UC1 struja kroz T2 e protei
tek kada se dostigne bazni napon praga tog tranzistora.
Isto to e se desiti i kada napon UC1 opadne ispod nule.
Zbog toga, ako se na ulaz dovede sinusoidalan signal
(slika 9.19) na izlazu e se dobiti izoblien signal.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Da bi pojaava radio u klasi AB neophodno je vriti


pretpolarizaciju. Ovo se moe postii na taj nain to se
baze rastave, a izmeu njih se umetne takav otpor, da
pad napona njemu bude jednak dvostrukom naponu
pretpolarizacija (naponska praga) izlaznih tranzistora.
Slino tome je uinjeno na slici 9.17. Kako pri porastu
temperature otpornost otpora raste, a napona izmeu
emitora i baze opada, to e pri porastu temperature
porasti i kolektorska struja.
Da bi se izvrila temperaturna stabilizacija kolektorske,
odnosno emitorske struje, umjesto otpornika stavljaju
se diode, kako je prikazano na slici 9.21. i to onoliko
dioda, koliko ima tranzistorskih ulaza, odnosno
emitorskih spojeva, od baze jednog (taka A) do baze
drugog tranzistora (taka B). U sluaju prikazanom na
slici, imamo svega dva emitorska spoja, prema tome,
treba staviti dvije diode.

NISKOFREKVENTNI POJAAVAI SNAGE

84

U trenutku kada je T3 zakoen, kondenzator C se prazni


preko tranzistora T5. Kada je T5 zakoen, a T3 provodi,
kondenzator C se puni. Prema tome, kroz potroa RL
struja tee as u jednom, as u drugom smijeru.
Ako je na ulazu sinusoidalan napon bie i izlazni napon
e biti sinusoidalan. Na ovaj nain potreban je
kondenzator, koji je obino velikog kapaciteta, ali je
zato uteen jedan izvor za napajanje (-UCC).
Drugi kraj otpornosti RL ne mora biti uzemljen. Moe
biti vezan i za pozitivan pol izvora za napajanje (UCC).

Slika 9.21. Direktno spregnuti pojaava klase B (AB)


sa dva izvora za napajanje i dva komplementarna tranzistora

Na slici 9.22 data je jedna modifikacija koja se najee


koristi u praksi.
Umjesto jednog NPN tranzistora stavljena je
Darlingtonova sprega dva NPN tranzistora ili se umjesto
jednog PNP tranzistora koristi Darlingtonova sprega
PNP i NPN tranzistora, koja se ponaa kao jedan PNP
tranzistor.
Darlingtonove sprege su uzete zbog toga da se smanji
snaga pobudnog signala. Sada od baze do baze (od A
do B) imamo tri PN spoja. Zbog toga se za temperaturu
kompenzacije uzimaju tri diode.
Ova ema se jo u neemu razlikuje od prethodne.
Naime, koristi se samo jedan izvor za napajanje UCC, a
optereenje je prikljueno preko kondenzatora.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 5.18 Direktno spregnuti pojaav klase B (AB)


sa jednim izvorom za napajanjem i Darlingtonovom spregom

Pojaavai ije su eme date na slikama 9.21 i 9.22,


kao to se vidi, ne koriste glomazne i skupe
transformatore.

SELEKTIVNI
POJAAVAI

10

poglavlje

Selektivni pojaava sa tranzistrom

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

86

SELEKTIVNI POJAAVAI

10. SELEKTIVNI POJAAVAI


U ovoj glavi emo govoriti o selektivnim pojaavaima
kod kojih se pojaanje uskog opsega frekvencije postie
oscilatornim kolom. To su obino visokofrekventni (VF)
pojaavai. Selektivno pojaanje se moe ostvariti i sa
iroko pojasnim pojaavaima, ako se primjeni
povratna sprega, ali o toj vrsti selektivnih pojaavaa
emo govoriti kasnije.

Da bi se dobilo ravnomjernije pojaanje koristi se vie


oscilatornih kola ije se rezonantne frekvencije vrlo
malo meusobno razlikuju, ili su oscilatorna kola
spregnuta sa nadkritinom spregom.
U novije vrijeme kao visokofrekventni pojaavai se
koriste direktno spregnuti pojaavai (integrisana kola),
koji umjesto vie oscilatornih kola imaju samo jedan
keramiki filtar velike selektivnosti.

10.1. SELEKTIVNI POJAAVA SA FETOM I


JEDNIM OSCILATORNIM KOLOM
Analizirajmo selektivni pojaava sa fetom.
Na slici 10.2 data je ema ovog pojaavaa. Kao to se
vidi oscilatorno kolo je u kolu drejna.

Slika 10.2. Selektivni pojaava sa fetom i


jednim oscilatornim kolom

Na slici 10.3 data je ekvivalentna


pojaavaa za male signale.

ema

ovog

Slika 10.1. Idealni i realni propusni opseg


selektivnog pojaavaa

Idealni propusni opseg selektivnih pojaavaa pokazan


je kao gornji na slici 10.1. Pojaava van propusnog
opsega, ispod frekvencije f1 i iznad frekvencije f2 ne
pojaava, odnosno uopte ne proputa signal, a izmeu
frekvencija f1 i f2 pojaanje ne zavisi od frekvencije.
Propusni opseg realnih pojaavaa nije otro ogranien,
niti je pojaanje konstantno unutar propusnog opsega.
Kako se selektivnost postie oscilatornim kolima, to e
propusni opseg zavisiti od krive selektivnosti
primjenjenih oscilatornih kola.
Na slici 10.1 kao donja je pokazana frekventna
karakteristika pojaavaa sa jednim oscilatornim kolom.
Na rezonantnoj frekvenciji oscilatornog kola fo
pojaanje je najvee i opada porastom ili opadanjem
frekvencije. Donja granina frekvencija f1 i gornja
granina frekvencija f2 su one pri kojima kojima je
pojaanje opalo za 1/2, odnosno za 3dB u odnosu na
maksimalno pojaanje.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 10.3. Ekvivalentna ema pojaavaa sa slike 10.2

Parazitni kapaciteti su na emi izostavljeni. Ulazni


kapacitet potroaa i izlazni kapacitet feta, moemo
smatrati da se nalaze u kapacitetu C, jer su njemu
paralelno vezani. Ulazni kapacitet feta nema uticaja na
analizu, jer se analiza odnosi na ulazni napon. Povratni
kapacitet Cgd u ovom sluaju takoe neemo uzeti u
obzir jer za analizu nema znaaja.
Na ekvivalentnoj emi nacrtan je i serijski otpor
zavojnice. Otpor gubitaka u kondezatoru je toliko mali
pa ga moemo zanemariti. On je mnogo manji od
serijskog otpora zavojnice, tako da ukupan otpor
oscilatornog kola moemo staviti u seriju sa
zavojnicom, a kako su otpor optereenja i izlazni otpor

87

SELEKTIVNI POJAAVAI

feta vezani paralelno oscilatornom kolu, to emo


oscilatorno kolo tako transformirati da svi elementi
oscilatornog kola budu paralelno vezani.
Prema ekvivalentnoj emi moemo staviti umjesto rd,
Ro0 i RL jedan otpor R0e i smatrati ga efektivnim
diamikim otporom oscilatornog kola. Prema tome,
ekvivalentna ema visokofrekventnog pojaavaa se
moe uprostiti, tako da imamo samo strujni generator i
oscilatorno kolo, kako je prikazano na slici 10.4.

Granina frekvencija e biti kod razdesenosti e1,2 pri


kojima je pojaanje smanjeno za 2, a to e biti za
2Qee =1,
pa je rina propusnog opsega pojaavaa B=f2-f1=f0/Qe
isto kao kod oscilatornog kola.

Slika 10.4. Uprotena ekvivalentna ema pojaavaa

Naponsko pojaanje pojaavaa u funkciji razdesenosti


oscilatornog kola, prema slici 10.4 bie:
Au =

R 0e
U0
= - g m Z = - gm
,
1 + j2Q e e
Ui

gdje je: R0e efektivan dinamiki otpor oscilatornog


1
1
1
1
=
+
+
,
kola:
R 0e
R 0 rd R L
Qe= w0CR0e faktor dobrote oscilatornog kola,
razdeenost oscilatornog kola
Naponsko pojaanje na rezonantnoj frekvenciji je:
Au0=-gm R0e
Uporedimo li ovaj rezultat sa sa jednainom za
pojaava sa kapacitivnom spregom, vidimo da su oni
identini, samo umjesto otpora RC koji figurie kod
pojaavaa sa kapacitivnim spregom, kod visoko
frekventnog pojaavaa imamo dinamiki otpor
oscilatornog kola R0.
Amplituda naponskog pojaanja je:
Au =

A u0
1 + 4 Q 2e e 2

Odnos naponskog pojaanja i maksimalnog pojaanja


koje imamo pri rezonantnoj frekvenciji dat je na slici
10.5 i predstavlja ustvari efektivnu krivu selektivnosti
oscilatornog kola iji je dinamiki otpor R0e.
Au
1
=
= se ,
A u0
1 + 4 Q 2e e 2

gdje je: e selektivnost oscilatornog kola sa


uraunatim spoljasnim optereenjem (rd i RL), odnosno
efektivna selektivnost.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 10.5. Kriva selektivnosti pojaavaa je jednaka krivoj


selektivnosti ekvivalentnog oscilatornog kola sa slike 10.4

Ukoliko je faktor dobrote Qe vei irina propusnog


opsega je manja. Kako je Qe proporcionalan
dinamikom otporu R0e, to je irina propusnog opsega
utoliko vea, ukoliko je R0e manje. Sa druge strane,
pojaanje Auo je takoe proporcionalno R0e, pa ukoliko
je R0e manje i naponsko pojaanje je manje.
Prilikom projektovanja pojaavaa, obino se postavlja
zahtjev za odreenom sirinom propusnog opsega B.
Prema tome, Qe je unapred dato. Oscilatorno kolo koje
emo koristiti, zajedno sa izlaznim otporom feta i
otporom optereenja mora imati Qe toliko da zadovolji
irinu propusnog opsega. Faktor dobrote moe biti i
vei, ali nikako manji. Ukoliko je Qe vee i irina
propusnog opsega manja od one koja se trai,
proirenje propusnog opsega moemo postii
vezivanjem otpora paralelno oscilatornom kolu. Veliinu
ovog otpora treba odrediti tako da se dobije zadatkom
postavljena irina propusnog opsega.

10.2. POJAAVA SA TRANZISTOROM


I JEDNIM OSCILATORNIM KOLOM
Selektivni pojaava sa fetom, kod kojeg je sledei
pojaavaki stepen izveden takoe sa fetom, otpor
potroaa je vrlo velik, te se njegov uticaj moe
zanemariti. Zbog toga smo u analizi i govorili o
efektivnom dinamikom otporu oscilatornog kola.
Kada smo govorili o prilagoavanju posmatrali smo
oscilatorno kolo kao optereenje generatora i vrili
prilagoavanje izmeu feta i oscilatornog kola.
Tranzistorski pojaava, prikazan na slici 10.6 razlikuje
se od feta po vrlo malom ulaznom otporu, te ako je
sledei stepen tranzistorski, otpor potroaa e biti vrlo
mali, mnogo manji od dinamikog otpora oscilatornog

88

SELEKTIVNI POJAAVAI

kola. Selektivnost e od tranzistorskih pojaavaa


zavisiti od otpora potroaa, jer je on najmanji. Da bi
se ostvarila eljena selektivnost, mora se u ovom
sluaju potroa prikljuiti na izvod zavojnice.
Prikljuivanjem potroaa na izvod zavojnice vrsimo i
prilagoavanje potrosaa na pojaava.
Na slici 10.6 data je ema tranzistorskog pojaavaa
kod koga nije izvreno prilagoavanje potroaa na
pojaava tj. potroa je vezan na induktivnost bez
izvoda.

Neka je na ulazu neki generator elektromotorne sile Eg


sa unutranjim otporom Rg. Oscilatorno kolo na ulazu
ima induktivitet Li, kapacitet Ci i dinamiki otpor R0i.
Na slici 10.8 data je ema kompletnog pojaavaa sa
fetom. Jednosmjerna polarizacija je izostavljena. Otpor
RG je ekvivalentni otpor polarizacije (RG1 i RG2). Od
gejta na dalje pojaava je identian pojaavau sa
slike 10.2. Na slici 10.8 nacrtan je i parazitni kapacitet
Cgd.

Slika 10.8. Selektivni pojaava sa dva oscilatorna kola


Slika 10.6. Selektivni pojaava sa tranzistrom

Na slici 10.7 je ekvivalentna ema pojaavaa sa


uprostenom P ekvivalentnom emom tranzistora.

Na slici 10.9 dato je ulazno kolo pojaavaa sa slike


10.8 ali malo izmijenjeno, kako bi bilo pogodnije za
analizu.

Slika 10.9. Selektivni pojaava sa dva oscilatorna kola


Slika 10.7. Ekvivalentna ema selektivnog pojaavaa

Ekvivalentna ema je identina ekvivalentnoj emi


pojaavaa sa fetom, pa prema tome vai ista analiza
kao sto je analiza pojaavaa sa fetom.
Ukoliko se koristi hibridna P ekvivalentna ema
tranzistora, postojanje rbb, ini analizu sloenijom.
Meutim, za relativno uzak propusni opseg frekvencije
moemo serijsko-paralelnu vezu ulaznih parametara
hibridne ekvivalentne eme transformirati u paralelno
vezan otpor i kapacitet (transformirati je u P
ekvivalentnu emu ).

10.3.UTICAJ POVRATNOG KAPACITETA


NA RAD SELEKTIVNOG POJAAVAA
U dosadanjoj analizi smo pretpostavili da su povratni
kapaciteti kod feta Cgd i kod tranzistora Cbc vrlo mali.
Njihov uticaj na izlazno kolo nismo uzimali u obzir, jer
smo pojaanje raunali u odnosu na napon koji je na
samom ulazu feta, odnosno u tranzistor.
Meutim, na ulaz pojaavaa, obino nije prikljuen
generator sa zanemarivim unutranjim otporom. Na
ulazu se nalazi jo jedno oscilatorno kolo. Ovo kolo je ili
izlazno kolo predhodnog pojaavakog stepena ili je to
kolo kojim je nainjena sprega sa, npr. antenom.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Umjesto naponskog generatora nacrtan je strujni.


Ekvivalentan dinamiki otpor R0e i u sebi sadri pred
dinamikog otpora kolo R0i jos otpor generatora Rg i
otpore polarizacije RG.
Povratni kapacitet Cgd e se transformisati na ulaz feta
kao impedansa.
Z
Z1 =
,
1 - Au
gdje je:
Z=

1
jwC gd

povratna impedansa, a Au je naponsko pojaanje feta


od gejta do drejna.
Proizvod iz struje i napona je snaga koja se trosi u
otporu. Ako je napon na njegovim krajevima negativan,
znai to nije pad napona, ve je porast potencijala na
generatoru. Proizvod iz napona i struje je negativan,
sto znai da se ne trosi snaga u tom otporu, ve se od
njega ta snaga dobija. Prema tome, kada se pojavi
negativna vrijednost otpora, znai da se neradi o
termogenoj otpornosti, ve o generatoru.
Ne ulazei duboko u teorijska razmatranja uoavamo
da se na ulazu gejta u visokofrekventnom pojaavau

89

SELEKTIVNI POJAAVAI

pojavljuje negativni otpor to znai da se povratnom


spregom preko kapaciteta Ggd sa izlaza vraa energija
na ulaz pojaavaa.
Povratni kapicet transformiran na ulaz vezan je
paralelno kapacitetu oscilatornog kola. Uticaj kapaciteta
C1 je takav da e rezonantna frekvencija ulaznog kola
smanjiti. Praktino ovo smanjenje se moe korigirati
promjenom kapaciteta Ci ili induktiviteta Li, tako da se
ponovo dobije rezonantna frekvencija 0.
Na frekvencijama niim i viim od 0 se mijenja i oblik
krive selektivnosti kompletnog pojaavaa pa pojaava
ak moe postati oscilator.

10.4. PRIMJENA VIE OSCILATORNIH KOLA


Vidjeli smo da je kod visokofrekventnih pojaavaa
njihova selektivnost jednaka selektivnosti oscilatoenog
kola, pri emu se uzimajaju u obzir i svi otpori koji su
vezani paralelno oscilatornom kolu ili samo dijelu
oscilatornog kola. Veu selektivnost moemo dobiti na
taj nain to emo upotrijebiti vie oscilatornih kola.
Jedan primjer je da se, kako je opisano naprijed
prikljue dva oscilatorna kola, jedno na ulazu i jedno
izlazu iz pojaavaa. Podesnim izborom izvoda na
sekundarnim namotajima moe se podesiti da efektivna
vrijednost parametara oba kola bude ista, pa e i
selektivnost oba kola biti ista. I konano smo vidjeli da
ako je upotrebljeno vie oscilatornih kola da je vea
selektivnosa i manji propusni opseg takvog pojaavaa.
Idelani selektivni pojaava bi trebao da ima
ravnomjerno pojaanje u cijelom propusnom opsegu.
Kod viestepenih pojaavaa bolja ravnomjernost i iri
propusni opseg moe se postii na taj nain to se nee
podesiti sva oscilatorna kola na istu rezonantnu
rekvenciju.
Rezonantne frekvencije pojedinih oscilatornih kola
podese se tako da je rezultirajua selektivnost u
propusnom
opsegu
ravnomjernija.
Proirenje
propusnog opsega ide na raun smanjenja pojaanja.
Mnogo bolji nain pribliavanja idelanoj selektivnosti se
dobije upotrebom spregnutih oscilatornih kola u
pojaavaima.
Ako umjesto jednog oscilatornog kola na slikama 10.2 i
10.6 stavimo spregnutta oscilatorna kola, dobijamo
ekvivalentnu emu kao na slici 10.10. Ova ema vrijedi i
za tranzistorski i za pojaava sa fetom.

Na slici su R0e1 i R0e2 efektivni dinamiki otpori prvog i


drugog kola. Uz pretpostavku da je R0eL i uzimajui
pri projektiranju pojaavaa da su parametri oba kola
jednaki, tj.
R1=R2=R,
C1=C2=C,
L1=L2=L,
dobijamo da su Q faktori Q1=Q2=Q te je:
Q2K2=1,
gdje je: k =

M
L 1L 2

koificijent sprege izmeu L1 i L2.

Kako su obje veliine: Q i k pozitivne to je


Qk=1.
Veliinu Qk nazivamo jaina sprege.
Sprega pri kojoj je Qk=1, odnosno, pri kojoj se iz prvog
kola prenosi maksimalna energija u drugo kolo, na
rezonantnoj frekvenciji, naziva se kritinom spregom.
Pri toj sprezi je utroena energija u prvom kolu jednaka
utroenoj energiji u drugom kolu.
Ukoliko je Qk<1, transformirani otpori R2<R1, pa je
prenesena energija iz prvog u drugo kolo manja. Vea
energija se troi u prvom nego u drugom kolu. Za takvu
spregu kaemo da je podkritina.
Za Qk>1, transformirani otpor R2 vei je od otpora
prvog kola. Energija koja se troi u drugom kolu, vea
je od energije koja se troi u prvom oscilatronom kolu.
Meutim, prenesena energija je manja nego pri
kritinoj sprezi. Ovakva sprega se naziova nadkritina
sprega.
Pojaanje pojaavaa sa spregnutim oscilatornim
kolima je dva puta manje od pojaanja pojaava sa
jednim osilatornim kolom, ukoliko su dinamiki otpori
ovih kola isti. Osim toga, fazni pomjeraj izlaznog
napona pojaavaa sa jednim oscialtornim kolom iznosi
180, dok je fazni pomjeraj pojaavaa sa spregnutim
oscialtornim kolima svega 90.
Jaina sprege oscialtronih kola kod pojaavaa sa vie
spregnutih oscialtronih kola izabira se tako da
rezultirajua kriva selektivnosti bude to priblinija
idealnoj, da bi se u propusnom opsegu dobilo
ravnomjerno pojaanje

10.5. NEUTRALIZACIJA

Slika 10.10. Spregnuta oscilatorna kola


u selektivnom pojaavau

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

U poglavlju 10.3 smo vidjeli da e uslijed povratnog


kapaciteta Cgd kod feta ili Cbc kod tranzistrora,
pojaava nestabilno raditi, da e se kriva selektivnosti
deformirati, a mogue je i samopobuivanje
(osciliranje).Da bi se to otklonilo vri se neutralizacija.

90

SELEKTIVNI POJAAVAI

Neutralizacija se sastoji u tome, da se spolja izvri


povratna sprega, ali tako da neutralizira unutranju
povratnu spregu.
Na slici 10.11 prikazano je kako se moe izvriti
neutralizacija kod pojaavaa sa fetom.

Na isti nain se neutralizacija vri kod tranzistorskog


pojaavaa. Na slici 10.12. macrtan je tranzistorski
pojaava, kod kojeg je bazni otpor rbb' nacrtan kao dio
spoljanjeg kola.

Slika 10.12. Neutralizacija kod pojaavaa sa tranzistorom


Slika 10.11.Neutralizacija povratne sprege pojaava sa fetom

Za izvor napajanja feta nije prikljuen donji kraj


zavojnice, ve izvod, tako da su naponi Ud i Un fazno
pomjereni za 180. Ako donji kraj zavojnice preko
kapaciteta Cn veemo za gejt feta, oigledno je da e
struje Id i In biti suprotne po fazi. Ako Cn izaberemo
tako da su struje Id i In po amplitudi jednake, povratna
sprega preko unutranjeg kapaciteta bie neutralizirana
povratnom spregom premo spoljanjeg kapaciteta.
Iz uslova da je Id=In dobijamo da je:
C n = C gd

Ud
.
Un

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Ovo je uinjeno zbog toga da se pokae kako je


unutranja povratna sprega preko kapaciteta Cb'c
prikljuena sa jedne, a spoljanja sa druge strane
baznog otpora rbb'.
Zbog toga, za neutralizaciju, pored kapaciteta Cn, se
mora upotrijebiti i otpor Rn, kako bi se podesila faza
struje In tako da se ostvari neutralizacija.
Bez obzira kakav je visokofrekventni pojaava, napon
za neutralizaciju mora biti fazno pomjeren od napona
na izlaznoj elektrodi pojaavakog elementa za 180.

POVRATNA SPREGA
KOD POJAAVAA

11

poglavlje

Naini izvoenja povratne sprege

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

92

POVRATNA SPREGA KOD POJAAVAA

11. POVRATNA SPREGA KOD POJAAVAA


Povratna sprega kod pojaavaa sastoji se u tome, to
se dio izlaznog napona ili dio izlazne struje vraa na
ulaz pojaavaa.
Ova povratna sprega moe biti
sluajna i nepoeljna, ili se moe namjerno izvesti.
Sluajno povratna sprega moe biti preko povratnih
parametara pojaavakih elemenata (npr. h12, y12),
preko zajednikih vodova za vie pojaivakih stepeni,
preko unutranjeg otpora izvora za napajanje, preko
parazitnih kapaciteta.
Povratna sprega kod pojaavaa utie na pojaanje,
tako da ono moe biti vee ili manje od pojaanja
pojaavaa bez povratne sprege. Uslijed povratne
sprege propusni opseg pojaavaa moe da se povea
ili smanji. Izoblienja koja se stvaraju u pojaavau
mogu se takoe smanjiti ili poveati povratnom
spregom. Zbog smanjenja izoblienja povratna sprega
se namjerno izvodi kod pojaavaa.
Ukoliko je
povratna sprega dovoljno jaka, a fazni stav vraenog
signala takav da pojaava sam sebe
pobuuje,
pojaava se pretvara u generator koji daje signal na
izlazu pojaavaa i ako na ulaz ne dovodimo spolja
signal. Ovakav pojaava koji sam sebe pobuuje
nazivamo oscilatorom.
Sa povratnom spregom ve smo se sretali. Prvom
prilikom kada smo govorili o stabilizaciji radne take, a
drugom kada smo analizirali pojaanje na visokim
frekvecijama.

11.1. PRINCIP POVRATNE SPREGE


Kao to smo rekli, princip povratne sprege se sastoji u
tome da se sa izlaza vraa dio napona ili struje na ulaz.
Na slici 11.1. je pokazana blok ema pojaavaa sa
povratnom spregom.

Slika 11.1. Princip povratne sprege

Pojaava prenosi i pojaava signal sa ulaza na izlaz.


Obiljeimo signal na ulazu u pojaava sa X, na izlazu
pojaavaa sa Xo, a na ulazu pojaavaa zajedno sa
povratnom spregom sa Xi. Na ulazu kola povratne
sprege imamo izlazni signal iz pojaavaa Xo, a na
izlazu kola preko koga se ostvaruje povratna sprega
signala jeXr. Kolo povratne sprege na ulaz pojaavaa
je prikljueno tako da je:

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

X = Xi + X r

Dakle, signal na ulazu pojaavaa jednak je zbiru


ulaznog i vraenog signala.
Prenosna funkcija pojaavaa je:
X
A= o
X
U zavisnosti od toga ta je ulazni i ta izlazni signal
(napon ili struja) prenosna funkcija A moe biti:
pojaanje napona Au, pojaanje struje Ai, prenosni
otpor Rm ili prenosna provodnost Gm.
Prenosnu funkciju povratnog kola:
b=

Xr
Xo

nazivamo koeficijent povratne sprege. U zavisnosti od


toga ta je Xo i Xr, ona je: odnos dva napona (slabljenja
napona) odnos struja (slabljenje struje), povratni otpor
ili povratna provodnost.
Prenosna funkcija pojaavaa sa povratnom spregom
je:
X
Ar = o
Xi
Uzevi u obzir prethodne jednaine moe se napisati:
Ar =

A
1 - bA

U optem sluaju prenosna funkcija ne mora biti realna.


Ona moe biti vrlo sloena funkcija, naroito, ako je
pojaava sloen, ako se sastoji iz vie pojaavakih
stepeni. Meutim, da bi uprostili analizu i bolje shvatili
sutinu povratne sprege analizirati emo povratnu
spregu u podruju srednjih frekvencija u kom je
pojaanje realna veliina sa znakom + ako je izlazni
signal u fazi sa ulaznim, odnosno se znakom - , ako je
izlazni pomjeren za 180 u odnosu na ulazni. Isto tako
emo smatrati da je i b realna veliina sa znakom + ako
su u povratnom kolu ne mijenja faza, a sa znakom -,
ako se faza mijenja za 180. Osim toga, ograniiemo
se na analizu kola kod kojih moemo smatrati da se
kroz pojaava signal prenosi samo od ulaza ka izlazu,
a kroz kolo povratne sprege samo od izlaza na ulaz
pojaavaa. Pretpostaviemo jo da faktor povratne
sprege ne zavisi od otpora generatora i potroaa.
Analizom poslijednje jednaine se vidi da pojaanje
pojaavaa sa povratnom spregom zavisi od proizvoga
bA.
Ovaj proizvod nazivamo krunim pojaanjem
pojaavaa. Otkaimo na ulazu povratnu spregu, i
izraunajmo pojaanje od ulaza pojaava do izlaza iz
kola povratne sprege.

93

POVRATNA SPREGA KOD POJAAVAA

Xr
X
X
= r o = bA
X
Xo X

Budui da je izlaz kola povratne sprege vezan za ulaz


pojaavaa i na taj nain nainjen zatvoren krug, to se
proizvod pojaanja bA naziva: kruno pojaanje.
0<bA<1
Uzmimo sada da je bA veliina vea od nule - pozitivna
veliina, ali manja od jedinice. Tada je imenitelj na
desnoj strani jednaine za pojaanje kola sa reakcijom
Ar, manji od jedinice, pa je pojaanje sa povratnom
spregom vea od pojaanja pojaavaa bez povratne
sprege. U tom sluaju vraeni signal sa izlaza ima veu
fazu kao i ulazni signal, pa je signal na samom ulazu u
pojaava povean, to dovodi do poveanja i izlaznog
napona.
Povratnu spregu kod koje je bA pozitivno i vraeni
signal pozitivan nazivamo pozitivnom povratnom
spregom. Ukoliko je kruno pojaanje vee, toliko je
pojaanje sa povratnom spregom Ar vee. Najvee
pojaanje e se imati kada je kruno pojaanje bA
jednako jedinici. Tada je imenitelj u jednaini za Ar
jednak nuli, pa je pojaanje Ar beskonano veliko. To
znai da ulazni signal moe biti jednak nuli, a da ipak,
na izlazu postoji signal. Drugim rijeima, pojaava
sam sebe pobuuje, te se pretvara u oscilator.
bA>1
Za bA>1, pojaanje sa povratnom spregom je
suprotnog znaka od pojaanja pojaavaa bez povratne
sprege, to znai da izlazni napon promjeni fazu
zaradijana. Fiziki to nema smisla. Da bismo vidjeli
ta e tada da se desi ponimo ponovo da poveavamo
povratnu spregu polazei od bA =0. Odraavamo pri
tome konstantan dovedeni ulazni signal. Poveavajui
bA, odnosno poveavajui vraeni signal, sve je vei
signal na ulazu pojaavaa A pa e biti vei signal i na
izlazu. Rastui, amplituda izlaznog signala e dostii
veliinu napona napajanja, pa daljim poveanjem
povratne sprege tj. poveanjem vraenog signala,
izlazni signal se ne moe poveavati.
To znai,
smanjiti.
prenosne
poveanje
smanjenja
od 1.

da e se efektivno pojaanje pojaavaa


Aeff je manje od A uslijed nelinearnosti
karakteristike pojaavaa.
Prema tome,
faktora povratne sprege b dovodi do
pojaanja A, tako da bA ne moe biti vea

za Ar, odnosno funkcija povratne sprege je vea od


jedinice, pa je pojaanje pojaavaa sa povratnom
spregom manje od pojaanja bez povratne sprege.
Ukoliko je takva povratna sprega jaa, tj. ukoliko je
bA, vee, utoliko je pojaanje sa povratnom spregom
manje. Ovu vrstu povratne sprege koja smanjuje
pojaanje nazivamo negativnom povratnom spregom.
Signal sa izlaza koji se uzima radi povratne sprege
moe biti proporcionalan naponu. U tom sluaju se
ulaz kola za poratnu spregu prikljuuje paralelno
potroau.
Takvu povratnu spregu nazivamo
naponskom ili paralelnom povratnom spregom.
Izlazni signal koji se uzima za povratnu spregu moe
biti proporcionalan izlaznoj struji.
Tada se ulaz
povratnog kola b vezuje na red sa potroaem. Takvu
spregu nazivamo strujnom, rednom ili serijskom
povratnom spregom.
Izlaz iz kola povratne sprege moe se takoe na dva
naina prikljuiti na ulaz pojaavaa. U seriju sa
generatorom, te se na ulaz u pojaava naponi
generatora i naponi povratne sprege sabiraju. Tada
kaemo da se povratna sprega dovodi serijski ili
naponski.
Kada izlaz iz kola povratne sprege dovodimo paralelno
ulazu pojaavaa, tako da se struje sabiraju, govorimo
o paralelno ili strujno vraenom signalu.
Prema tome, po nainu uzimanja i dovoenju
povratnog signala, povratnu spregu moemo podijeliti
na etiri vrste:
1.

Naponsko-serijska ili naponsko-naponska ili


paralelno-serijska povratna sprega (slika 11.2a).
Kod nje se uzima signal paralelno izlazu, tako da
je proporcionalan izlaznom naponu, a na ulaz se
dovodi serijski sa generatorom, tako da se
naponi na ulazu pojaavaa sabiraju (napon
generatora i vraeni dio izlaznog napona).

2.

Naponsko-paralelna ili naponsko-strujna ili


paralelno-paralelna (slika 11.2b). Kod ove vrste
sprege, povratni signal je struja proporcionalna
izlaznom naponu i dovodi se paralelno ulazu, pa
se struje generatora i povratne sprege sabiraju.

3.

Strujno-serijska ili strujno-naponska ili strujnopovratna sprega (slika 11.2c). Povratno kolo je
na izlazu prikljueno serijski sa potroaem pa je
vraeni signal proporcionalan izlaznoj struji, a
signal iz kola povratne sprege vezuje se u seriju
sa generatorom, pa se naponi generatora i
naponi iz kola povratne sprege sabiraju.

4.

Strujno-paralelna ili strujno-strujna ili serijskoparalelna povratna sprega (slika 11.2d). Povratni
signal je struja, proporcionalna izlaznoj struji.
Izlaz pojaavaa je vezan redno sa ulazom kola
za povratnu spregu, a vraeni signal se dovodi
paralelno ulazu pojaavaa, te se struja
generatora i vraena struja na ulazu sabiraju.

1 - bA = F

Veliinu F nazivamo funkcijom povratne sprege. Kod


pozitivne povratne sprege, funkcija povratne sprege F
je manja od jedinice. Kada je funkcija povratne sprege
jednaka nuli, pojaava se pretvara u oscilator.
Drugi sluaj povratne sprege emo imati kada je
vraeni signal sa izlaza na ulaz pojaavaa negativan,
tako da je ukupna veliina signala na ulazu pojaavaa
sa povratnom spregom manja od privedenog signala
koji elimo pojaati. U tom sluaju kruno pojaanje je
negativno, pa se dodaje jedinici u imenitelju jednaine

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

94

POVRATNA SPREGA KOD POJAAVAA

pojaanja sa povratnom spregom u zavisnosti od


relativne promjene pojaanja pojaavaa bez povratne
sprege, primjenimo diferencijalni raun na izraz za Ar.
Odgovarajui izraz je sada:
dA r
1
dA
1 dA
=

=-
Ar
1 - bA A
F A

Dakle, promjena pojaanja pojaavaa sa povratnom


spregom razlikuje se od promjene pojaanja
pojaavaa bez povratne sprege za 1/F puta.
Ako je povratna sprega pozitivna F je manje od
jedinice, pa povratna sprega pogorava stabilnost
pojaavaa. Kod negativne povratne sprege F je vee
od jedinice, pa povratna sprega poboljava stabilnost
pojaavaa jer su promjene pojaanja sa povratnom
spregom F puta manje.
Da bi pokazali koliko negativna povratna sprega
stabilie pojaanje uzimamo da je kruno pojaanje
negativno (negativna povratna sprega) i da je bA>>1.
Tada u izrazu 1-bA broj jedan moemo zanemariti, pa
je kod takvog pojaanja sa negativnom povratnom
spregom
Ar = -

Slika 11.2. Naini izvoenja povratne sprege:


a) naponsko-serijska, b) naponsko-paralelna, c) strujnoserijska i d) strujno-paralelna povratna sprega

U daljoj analizi zadraemo samo prve nazive povratne


sprege:
naponsko-serijska,
naponsko-paralelna,
strujno-serijska i strujno-paralelna.
Ovi nazivi su
najpogodniji, jer se najlake pamte i najbolje
odraavaju karakteristike pojaavaa. Prva rije govori
o tome ta se stabilie kod pojaavaa, a druga kakvo
je stanje na ulazu pojaavaa.

11.2. UTICAJ NA STABILNOST POJAANJA


U prethodnom poglavlju smo pokazali da se pojaanje
sa povratnom spregom mijenja. Ako je povratna
sprega pozitivna pojaanje se poveava, a ako je
negativna smanjuje.
Samo pojaanje pojaavaa bez povratne sprege zavisi
od temperature, napona izvora za napajanje, od
pojaavakih elemenata koje smo stavili (pri proizvodnji
ili popravci pojaavaa), a ako je pojaava izveden u
integrisanoj tehnici, pojaanje pojaavaa nee biti isto
za sva nainjena kola uslijed tolerancije parametara
nastalih tokom proizvodnje.
Pretpostavimo da je faktor povratne sprege b
konstantan i nezavisan od svih navedenih uzroka
promjene pojaanja i naimo kako povratna sprega
utie na stabilnost pojaanja pojaavaa (izraen kao
odnos dAr/Ar). Da bismo nali relativnu promjenu

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

1
b

Kako b moe biti stabilno, ako kolo povratne sprege


ostvarimo pomou otpora, to pojaanje pojaavaa sa
povratnom spregom sve dok je bA>>1 ne zavisi od
promjene pojaanja A.

11.3. UTICAJ NA GRANINE FREKVENCIJE


I IRINU PROPUSNOG OPSEGA
Vidjeli smo da e pojaanje pojaavaa sa povratnom
spregom Ar biti nezavisno od promjene pojaanja
pojaavaa A sve dotle dok je bA mnogo vea od
jedinice (negativna povratna sprege). Iznad gornje i
ispod donje granine frekvencije pojaanje pojaavaa
opada, ali to se na pojaanje sa povratnom spregom
nee odraziti sve dotle dok se bA ne priblii jedinici.
Prema tome, negativnom povratnom spregom gornja
granina frekvencija se pomijera na vie, a donja
sniava, te se propusni opseg smanjuje. Ovo emo
pokazati na jednostavnom primjeru.
Uzmimo jednostepeni pojaava sa kapacitivnom
spregom koji ima gornju graninu frekvenciju fv i donju
fn.
Pojaanje takvog pojaavaa na visokim
frekvencijama je:
Av =

As
1+ j

f
fv

gdje je: Av pojaanje na visokim frekvencijama,


As pojaanje na srednjim frekvencijama,
fv gornja granina frekvencija

95

POVRATNA SPREGA KOD POJAAVAA

Uvrtavanjem u gornju jednainu, dobijamo da je


pojaanje na visokim frekvencijama pojaavaa sa
povratnom spregom:
Ar =

Av
=
1 - bA v

A sr
f
1+ j
(1 - bA s )f v

Propusni opseg je:

A sr

1+ j

Pozitivnom povratnom spregom (F<1) donja granina


frekvencija se poveava, a negativnom (F>1) donja
granina frekvencija se smanjuje (sl. 11.3).

f
f vr

gdje je:
f vr = ( 1 - b A s ) f v = Ffv - gornja granina frekvencija
pojaavaa sa povratnom spregom.

B = f v - fn

Za pojaava sa povratnom spregom:


B r = Ff v -

fn
F

Prema tome, gornja granina frekvencija pojaavaa sa


povratnom spregom je F puta vea od gornje granine
frekvencije istsog pojaavaa bez povratne sprege.
Ukoliko je F vee od jedinice fvr e biti vee od fv, a
ukoliko je manje, granina frekvencija sa povratnom
spregom e biti manja od granine frekvencije
pojaavaa bez povratne sprege.

pa kako se sa pozitivnom povratnom spregom donja


granina frekvencija poveava, a gornja smanjuje, to se
pozitivnom povratnom spregom irina propusnog
opsega smanjuje. Negativnom povratnom spregom
gornja granina frekvencija se poveava, a donja
smanjuje, pa se irina propusnog opsega negativnom
povratnom spregom poveava (sl. 11.3).

Dakle, pozitivna povratna sprega smanjuje, a negativna


poveava gornju graninu frekvenciju, kako je
prikazano na slici 11.3.

Budui da je donja granina frekvencija mnogo manja


od gornje granine frekvencije, to moemo smatrati da
je irina propusnog opsega priblino jednaka gornjoj
graninoj frekvenciji, tj. Bfv.
Naimo proizvod iz irine propusnog opsega Br i
pojaanja Ar kod pojaavaa sa povratnom spregom
B r A r = f vr A r = (1 - b A s )f v

As
= fv A s
1 - bA s

Dakle, proizvod propusni opseg pojaanje ne zavisi


od jaine povratne sprege i jednako je proizvodu
propusnog opsega i pojaanja istog pojaavaa bez
povratne sprege.
Slika 11.3 Uticaj povratne sprege na frekventnu
karakteristiku pojaavaa
(pojaanje, irinu propusnog opsega, donju i gornju graninu
frekvenciju)

Pojaanje jednostepenog pojaavaa na niskim


frekvencijama sa kapacitivnom spregom, uzevi u obzir
samo uticaj kapaciteta za spregu je:
An =

As
1+ j

fn
f

Ponovnim uvrtavanjem dobijamo:


A nr

An
=
=
1 - bA n

A sr
A sr
=
f
1 fn
1- j
1 - j nr
f
f 1 - bA s

gdje je:
fnr =

fn
f
= n
1 - bA s
F

donja

granina

frekvencija

pojaavaa sa povratnom spregom.

11.4. UTICAJ NA IZOBLIENJA I SMETNJE


Uslijed nelinearnosti karakteristika tranzistora nastaju
nelinearna izoblienja. Ukoliko je izlazni signal vei,
utoliko je i izoblienje vee. Ako je signal vrlo mali,
nelinearnu karakteristiku u podruju rada moemo
aproksimirati pravom, te nema izoblienja. To je
utoliko tanije ukoliko je signal manji. Kod izlaznih
pojaavaa teimo da dobijemo to je mogue veu
snagu, odnosno to je mogue vei izlazni signal.
Meutim, ukoliko je vea izlazna snaga, vea su i
izoblienja.
Izoblienja se mogu smanjiti povratnom spregom i to
negativnom povratnom spregom, kao to smo
napomenuli u poetku.
Uzmimo da smo izlazni pojaava maksimalno pobudili,
tako da smo dobili maksimalnu izlaznu snagu. Neka je
tada izlazni signal Xo. Uslijed izoblienja ovaj signal
nee biti sinusoidalan iako je pobudni, ulazni signal
ista sinusoida. Na izlazu e se pojaviti osim prvog
harmonika Xo1 i vii harmonici: drugi harmonik Xo2, trei
Xo3 itd.
Xo = Xo1 + Xo2 + Xo3 + . . . + Xon + . . .

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

96

POVRATNA SPREGA KOD POJAAVAA

Pored toga, promijenit e se i srednja vrijednost


istosmjernog napona, to ovdje nismo uzeli u obzir.
Da bi se dobio izlazni signal Xo, na ulazu pojaavaa je
bio signal X. Taj signal je bio toliki da se dobije na
izlazu prvi harmonik koji je

na izlazu smanjiti F puta i korisni signal i smetnju.


Ukoliko se moe korisni signal na ulazu poveati
nezavisno od veliine smetnje, na izlazu e se dobiti
vei odnos signal/smetnja, odnosno, negativnom
povratnom spregom smanjuje se uticaj smetnje na
izlazni signal.

Xo1 = AX.
Napravimo li povratnu spregu, smanjie se pojaanje,
pa e se smanjiti i izlazni signal. Kako je pojaanje
smanjeno za veliinu funkcije povratne sprege, to e se
i izlazni signal smanji za tu veliinu.
X or =

X
Xo
X
X
= o1 + o 2 + ... + on + ...
F
F
F
F

Da bi na izlazu dobili maksimalan signal prvog


harmonika neophodno je da na ulazu poveamo signal.
Ako na ulazu poveamo signal za F puta, poveae se i
izlazni signal. Meutim, na ulazu poveavamo samo
prvi harmonik, pa e se i na izlazu poveati samo prvi
harmonik.
Izlazni signal e biti:
X or = X o1 +

X o2
X
+ o 3 + ...
F
F

Dakle, povratnom spregom smo smanjili izoblienje, ali


smo smanjili i pojaanje, pa se to mora nadoknaditi u
prethodnom pojaavau. Prethodni pojaava je
pojaava malih snaga, koji ima vrlo mala izoblienja.
Na taj nain smo dobili maksimalnu izlaznu snagu
izlaznog pojaavaa sa smanjenim izoblienjem.
Kod pojaavaa sa pozitivnom povratnom spregom je
F<1, pa e izoblienja biti vea nego kad nema
povratne sprege.
Uslijed izoblienja, na izlazu pojaavaa se pojavljuju
vii harmonici. Ovi harmonici su nepoeljni i razliiti od
ulaznog signala. Nepoeljni signal na izlazu pojaavaa
se moe pojaviti i uslijed nekih smetnji kao to su
smetnje uslijed varnienja, sluajnih prekida i
ukljuenja potroaa na gradsku mreu, parazitnih
induktivnih i kapacitivnih sprega izmeu raznih ureaja,
loeg filtriranja usmjerenog napona itd. Negativnom
povratnom spregom smanjuju se i ove smetnje.
Smanjenje smetnji negativnom povratnom spregom
mogue je samo ako na ulazu moemo poveati signal,
tj. samo ako ispred pojaavaa u kojem se pojavljuje
smetnja imamo neki drugi pojaava koji nema smetnji,
kao to kod pojaavaa koji ima izoblienje, moramo
imati ispred pojaava sa negativnom spregom koji ne
izobliuje signal, kako je prikazano na slici 11.4.
Ako je na ulazu pojaavaa signal Xi, a signal smetnje
Xs, oba e se jednako pojaati bilo da nema ili ima
povratne sprege, te e na izlazu njihov odnos biti isti,
bez obzira da li ima ili nema povratne sprege.
Ako se smetnja pojavljuje samo na izlazu pojaavaa,
onda zadravi isti ulazni signal Xi, povratna sprega e

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 11.4 Lokalna povratna sprega na izlaznom stepenu


pojaavaa

Na slicii 11.4 prikazana je blok ema pojaavaa koji


ima predpojaava A1 i izlazni pojaava A2. Povratna
sprega je izvedena samo na izlaznom pojaavau. Ako
su Xs1 i Xs2 smetnje nezavisne od veliine korisnog
signala, onda e za isti koristan signal na izlazu, bez
povratne sprege na izlazu biti signal smetnje Xs2 i A2Xs1.
Sa negativnom povratnom spregom na izlazu e biti F
puta manji i jedan i drugi signal, tj. Xs2/F2 i A2Xs1/F2.
Ukupno pojaanje signala sa povratnom spregom je:
A r = A1

A2
1 - b2 A 2

Da bi se dobilo eljeno pojaanje Ar, obino se


pojaanje predpojaavaa moe podeavati.

11.5 LOKALNA I TOTALNA


POVRATNA SPREGA
Govorei o smanjenju izoblienja, zakljuili smo da se
smanjuje i pojaanje, pa da moramo signal pojaati u
prethodnom pojaavakom stepenu.
Na slici 11.4
pokazan je takav pojaava.
Na ulazu imamo pojaavaki stepen pojaanja A1, koji
ili nema ili su mu izoblienja zanemarljivo mala i dobro
je blokiran od smetnji. Izlazni stepen ima pojaanje A2,
a kako izoblienja nastaju u njemu i kako je podloan
smetnjama, to je povratna sprega izvedena lokalno
samo na izlaznom stepenu.
Izoblienja i smetnje se mogu jo vie potisnuti ako se
naini totolna povratna sprega, od izlaza do ulaza u prvi
stepen pojaavaa, kako je prikazano na slici 11.5.
Tada se smanjuju i eventualna izoblienja u prvom
pojaavau.
Neka su pojaavai A1 i A2 na slikama 11.4 i 11.5 isti.
Da bi efekat povratne sprege bio isti potrebno je da je
funkcija povratne sprege u oba sluaja ista.
Ukupno pojaanje bez povratne sprege u oba sluaja je
isto i iznosi:
A=A1A2.

97

POVRATNA SPREGA KOD POJAAVAA

Slika 11.5. Totalna povratna sprega

Pojaanje sa povratnom spregom pojaavaa sa slike


11.5 je:
A r = A1

A2
,
1 - bA1 A 2

uz uslov da je:
F=1A1A2 =12A2=F2, za 2 =A1
Kako je u oba sluaja funkcija povratne sprege ista, a
oba dijela pojaanja (A1 i A2) ista, to su i izoblienja i
smetnje na izlazu u oba sluaja isti, naravno ako su
izoblienja pojaavaa A1 zanemariva.

Kod viestepenog pojaavaa, kod koga se smetnje


javljaju u svakom pojaavakom stepenu, kao na slici
11.6, smetnja e imati utoliko vei efekat ukoliko je
blie ulazu.
Budui da je signal najvei u izlaznom stepenu i
izoblienje e u tom stepenu biti najvea.
Na izlazu se pojavljuje najvei signal od smetnje na
ulazu u prvi stepen, jer se mnoi sa ukupnim
pojaanjem pojaavaa A. Svaka smetnja koja se
pojavljuje dalje od ulaza, pojaava se samo onim
stepenima pojaavaa koji se nalaze desno od mjesta
uticaja smetnje. Smetnja koja se javlja na izlazu
pojaavaa uopte se ne pojaava.

Slika 11.6. Uticaj totalne povratne sprege na smetnje koje se pojavljuju na raznim mjestima pojaavaa

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

12

OSCILATORI
PROSTOPERIODINIH
OSCILACIJA
poglavlje

LC oscilator sa uzemljenom bazom

99

OSCILATORI PROSTOPERIODINIH OSCILACIJA

12. OSCILATORI PROSTOPERIODINIH OSCILACIJA


Oscilacije, kao to smo vidjeli, mogu nastati u
pojaavau sa pozitivnom povratnom spregom.
Pozitivna povratna sprega treba da bude takva, da je
vraeni signal u fazi sa pobudnim signalom i iste
amplitude. Tada e, iako se ulazni signal iskljui,
pojaava sam sebe pobuivati, odnosno, u pojaavau
e se odravati oscilacije.
Vraeni signal e biti jednak pobudnom signalu, ako je
kruno pojaanje pojaavaa jednako jedinici ili ako je
funkcija povratne sprege jednaka nuli.
Pojaava obino u sebi ima i reaktivne elemente, tako
da pojaanje nije realna veliina. Isto tako i faktor
povratne sprege ne mora biti realna veliina. Prema
tome ni kruno pojaanje nije realna veliina. Zbog
toga e oscilacije u pojaavau biti one frekvencije pri
kojoj je kruno pojaanje jednako jedinici (ili vee od
jedinice), ali realno. Odnosno, one frekvencije pri kojoj
je vraeni signal u fazi sa pobudnim signalom.

Tiristor, takoe, ima negativan otpor. Kako taj otpor


nije definisan, to je teko ostvariti prostoperiodine
oscilacije sa tiristorima.

12.1. RC OSCILATORI
Najjednostavniji oscilator sa jednim pojaavakim
elementom je RC oscilator. Uzmimo da analiziramo
jedan takav oscilator sa fetom, slika 12.1. To je obian
pojaava sa uzemljenim sorsom, kod koga se povratna
sprega izvodi preko RC filtra, koji obre fazu za 180.
Sam pojaava obre fazu za 180, te je tako vraeni
signal u fazi sa pobudnim signalom. Frekvenciju
oscilacija odreuje RC filtar.
Ulazni otpor je vrlo veliki, tako da njegov uticaj
moemo zanemariti. Kako za pobuivanje nije potrebna
snaga, to otpori R u filtru mogu biti veliki. Ako
pretpostavimo da je RRD, to optereenje uslijed filtra
moemo zanemariti.

Kruno pojaanje ne treba da je vee od jedinice, ili bar


ne mnogo vee od jedinice, jer tada pojaava radi u
oblasti zakrivljenosti svoje prenosne karakteristike, pa
e oscilacije biti izobliene. Oscilator e, pored
osnovnog harmonika, davati i vie harmonike.
Ukoliko se za oscilator upotrijebi pojaava sa
oscilatornim kolima, oscilatorno kolo (ili oscilatorna
kola) e priguiti vie harmonike. Ovakvi oscilatori
mogu raditi i sa jaom povratnom spregom.
Nije dobro ni kada je kruno pojaanje jednako jedinici.
Tada je povratna sprega kritina, pa e bilo kakve
promjene parametara elemenata u oscilatoru, koje
dovede do smanjenja krunog pojaanja uiniti da
oscilator prestane sa radom, jer e povratna sprega biti
nedovoljna za odravanje oscilacija.
Na kraju moemo izvesti opti zakljuak: povratna
sprega mora biti takva da je kruno pojaanje za male
signale vee od jedinice (A>1), kako bi pojaava
radio stabilno, ali ne i suvie vee od jedinice, kako
izlazni signal ne bi bio izoblien.
Oscilatori prostoperiodinih oscilacija mogu biti
nainjeni i sa elementima koji imaju negativnu
otpornost, kao to su tunelske diode, jednospojni
tranzistori (samo ulazno kolo) i termistori. Kako su ovi
elementi dvopoli, to nema povratne sprege sa izlaza na
ulaz. Princip rada ovih oscilatora je u tome da se
pojaavaki elementi prikljuuju kolima u kojima se
mogu pojaviti oscilacije. Sa svojim negativnim otporom,
oni umanjuju ukupan otpor u tim kolima. Kada je
ukupan efektivan otpor u kolima jednak nuli, oscilacije
e biti nepriguene. Ukoliko ukupan efektivan otpor u
kolu nije jednak nuli, kolo e raditi kao pojaava. Da bi
na izlazu imali signal mora se dovoditi na ulaz signal za
pobuivanje.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 12.1. ema RC oscilatora sa fetom

Analitiki proraun (ovdje izostavljen) pokazuje da bi se


mogle nastati oscilacije pojaanje pojaavaa treba biti
po apsolutnoj vrijednosti vee od 29.
Isto tako se dobije da je rezonantna frekvencija ovog
oscilatora jednaka:

w=

1
6 RC

, tj f =

1
2p 6 RC

RC oscilator moemo nainiti i sa tranzistorom. Na slici


12.2. date su ema i ekvivalentna ema RC oscilatora
sa tranzistorom.
Kod tranzistora ulazni otpor nije beskonano velik, jer
postoji bazna struja. Filtar ne moe biti sa vrlo velikim
otporima R. Otpor R je istog reda veliine kao otpor RC.
Zbog toga optereenje koje potie od filtra ne moemo
zanemariti. Otpore R1 i R2 moemo uzeti dovoljno
velike, tako da ih moemo smatrati mnogo veim od
ulaznog otpora tranzistora hi, i smatrati da struja koja

100

OSCILATORI PROSTOPERIODINIH OSCILACIJA

protie kroz otpor R3 protie i kroz bazu tranzistora,


odnosno, da je struja I3=Ib.

12.2. OSCILATOR SA
VINOVIM MOSTOM
Oscilator sa Vinovim mostom ima kolo sa povratnom
spregom u vidu Vinovog mosta. Kod ovog mosta, samo
na jednoj frekvenciji je izlazni napon u fazi sa ulaznim
naponom pojaavaa. ema ovog oscilatora je data na
sl. 12.3. U ovom sluaju za pajaava je diferencijalni
(operacioni) pojaava, koji ima simetrian ulaz.

Slika 12.2. RC oscilator sa tranzistorom

Najmanja vrijednost za faktor strujnog pojaanja sa


kojim se moe postii uslov za nastajanje oscilacija u
RC oscilatoru sa tranzistorom bie za neku optimalnu
vrijednost koja iznosi:
min=44,5,
to se dobije za rezonantnu frekvenciju od:

w=

1
1
, tj f =
4 RC
8pRC

Slika 12.3. Oscilator sa Vinovim mostom

Da bi oscilacije bile neizobliene, fet i tranzistor moraju


raditi u podruju pravolinijskog dijela svoje prenosne
karakteristike. To znai da moraju raditi u klasi A.
RC oscilatori se obino prave tako da im se frekvencija
po elji moe mijenjati. Frekvencija se moe mijenjati
promjenom parametara elemenata od kojih frekvencija
zavisi. Ovdje, kao to smo vidjeli, frekvencija zavisi od
veliine R i C. Kako uslov za nastajanje oscilacija ne
zavisi od veliine kapaciteta, to je najbolje radi
promjene frekvencije mijenjati veliinu kapaciteta C.
Praktino se tako i radi, i to istovremeno se mijenjaju
kapaciteti sva tri kondenzatora. Promjenom otpora R se
mijenjaju uslovi oscilovanja, pa e se mijenjati i
amplituda oscilacija. Pri manjim ili veim vrijednostima
od optimalne oscilacije mogu i prestati.
RC oscilatori se obino prave za niske frekvencije. Oni
imaju prednosti nad oscilatorima sa L i C, o kojima
emo govoriti kasnije, jer su otpornici jeftiniji od
zavojnica, pogotovu za vrlo velike frekvencije. Osim
toga sa promjenom kapaciteta ovdje se mnogo mijenja
frekvencija. Odnos maximalne i minimalne frekvencije
je:
C max
w
f
= max = max .
C min
w min
f min
Dakle, jednak je odnosu
minimalnom kapacitetu.

maximalnog

prema

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Da bi oscilator radio, kruno pojaanje treba da je


jednako jedinici. To znai da je
Ui=U1-U2=Ur
Frekvencija osciliranja zavisi od otpora R i kapaciteta C
lijeve grane mosta na slici 12.3. i iznosi:

w=

1
1
, tj f =
2pRC
RC

Za ovu vrijednost frekvencie dobijemo da je:


Z2
1
=
Z1 + Z 2
3
Odnosno da je: R1=2R2.
Promjena frekvencije i kod ovog oscilatora se izvodi
promjenom kapaciteta C. Kako ovdje imamo samo dva
kondenzatora, to je ostvarenje ove promjene jeftinije i
jednostavnije.
Odnos
maximalne
i
minimalne
frekvencije i ovdje je jednak odnosu maximalnog i
minimalnog kapaciteta, tj.

w
C max
f
= max = max , isto kao i kod RC oscilatora.
C min
f min
w min
Kod oscilatora sa Vinovim mostom moe se izvriti
stabilizacija amplitude oscilacija. Ova stabilizacija se
postie promjenom povratne sprege.

101

OSCILATORI PROSTOPERIODINIH OSCILACIJA

Pojaanje pojaavaa nije beskonano veliko, ve je


konana veliina, koja je jo i nestabilna. Tokom
vremena pojaanje obino opada. Promjena moe
nastati i usljed promjene napona napajanja. U sluaju
da pojaanje opadne, opae i izlazni napon Uo. Ako
pak pojaanje poraste izlazni napon e porasti. Porast
moe biti i tolika da nastupi izoblienje. Zbog toga je
neophodno da se sa porastom izlaznog napona smanji
povratna sprega i obrnuto, sa smanjenjem izlaznog
napona treba da se povea povratna sprega.
Prilikom smanjenja pojaanja Au, smanjio se i izlazni
napon Uo. Usljed toga smanjila se i struja I1 kroz
otpornike R1 i R2, te i disipacija snage odnosno
temperatura ovih otpora. Ukoliko veliine otpora zavise
od temperature i to tako da se povratna sprega povea
kada se pojaanje smanji, dobie se stabilisan izlazni
napon. Kao to smo vidjeli, prilikom smanjenja
pojaanja, odnosno, smanjenja disipacije na otporima,
treba otpor R1 da se povea ili otpor R2 da se smanji.
Da bi se to postiglo smanjenjem temperature, otpor R1
treba da ima negativan, a R2 pozitivan temperaturni
koeficijent. Prema tome, za stabilizaciju izlaznog
nmapona oscilatora sa Vinovim mostom, za otpor R1
treba uzeti termistor, ali za otpor R2 otpor sa pozitivnim
temperaturnim koeficijentom, na primjer sijalicu.

12.3. LC OSCILATOR SA
INDUKOVANOM POVRATNOM SPREGOM
Kod ovih oscilatora frekvenciju oscilovanja odreuje
oscilatorno kolo i ona je priblino jednaka rezonantnoj
frekvenciji tog kola.

12.3.1. LC OSCILATOR SA FETOM


ema LC oscilatora sa fetom data je na sl. 12.4. U kolu
drejna nalazi se oscilatorno kolo. Povratna sprega je
ostvarena induktivno meuinduktivnou M izmeu
zavojnice L oscilatornog kola i zavojnice Lg za koju je
vezan gejt feta. Kapacitet Cg je vrlo velik, tako da na
frekvenciji rada oscilatora pretstavlja kratku vezu.
Pomou Rg i Cg ostvaruje se polarizacija gejta.

Slika 12.4. LC oscilator sa fetom


i induktivnom povratnom spregom

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Da bi oscilator radio, odnosno stvarao nepriguene


oscilacije, povratna sprega mora biti pozitivna i kruno
pojaanje jednako jedinici. Drugim rijeima napon
povratne sprege Ur mora i po fazi i po amplitudi biti
jednak pobudnom naponu UG.
Na rezonantnoj frekvenciji oscilatorno kolo se ponaa
kao otpor RD. Prema tome napon Ud je pomjeren za
180 u odnosu na napon Ug. Struja kroz induktivitet
zaostaje
za
naponom
za
90.
Indukovana
elektromotorna sila u zavojnici Lg pomjerena je
unaprijed u odnosu na struju IL. Na taj nain napon Ur
bi bio u protivfazi sa naponom Ug pa bi dobili negativnu
umjesto pozitivne povratne sprege. Meutim, ako
krajeve zavojnice Lg zamjenimo, promjeniemo fazu
napona Ur za 180 i tako dobiti pozitivnu povratnu
spregu. Sa takama je na emi osnaeno kako treba
prikljuiti krajeve zavojnice Lg.
Pretpostaviemo da je efektivan Q-faktor oscilatornog
kola mnogo vei od jedinice pa je rezonantna
frekvencija jednaka frekvenciji oscilovanja oscilatora i
vrlo priblino jednaka:

w0 =

1
LC

, tj f 0 =

1
2p LC

12.3.3. LC OSCILATOR SA TRANZISTOROM


LC oscilator sa povratnom spregom nainjen sa
tranzistorom dat je na sl. 12.5. Analizirajui rad
oscilatora sa fetom, vidjeli smo da je prenosna
karakteristika najstrmija ili bar vrlo strma u poetnoj
radnoj taki. Sa poveanjem amplitude strmina se
smanjuje. Da bi tranzistor mogao poeti sa
oscilacijama, neophodno je da poetna radna taka
bude u radnom podruju. Ovo e se postii
polarizacijom tranzistora. Ova polarizacija je izvedena
na uobiajan nain pomou otpora RE, i razdelnika
napona RB1RB2. Da ovi otpori ne bi uticali na
naizmjenian signal, otpornik RE je blokiran vrlo velikim
kapacitetom Ce, a taka A razdjeljnika za napajanje
baze takoe je uzemljena velikim kapacitetom Cb.

Slika 12.5. LC oscilator sa tranzistorom


i induktivnom povratnom spregom

102

OSCILATORI PROSTOPERIODINIH OSCILACIJA

Pri vrlo slaboj povratnoj sprezi, tranzistor e raditi u


klasi A, kao to radi i oscilator sa fetom. Poveavajui
spregu, amplituda naizmjeninog baznog napona sve
vie raste. Zbog usmjerivakog dejstva emitorskog
spoja tranzistora, ostvarie se samopolarizacija kao kod
feta. Napon na otporu RB2 i kondenzatoru Cb se
mijenja, tako da tranzistor poinje da radi u klasi AB, B
i C, ve prema tome kolika je sprega. Za analizu rada
tranzistora kao oscilatora uiniemo slijedee
pretpostavke:
Frekvencija oscilacija oscilatora je mnogo manja od
granine frekvencije tranzistora, pa parazitne
kapacitete tranzistora moemo zanemariti.

Kako je bazno kolo periodino, a induktivitet Lb


relativno mali, to emo u analizi pretpostaviti da je
XLb= Lb mnogo manja od aktivnog otpora u baznom
kolu (hi+Rb).
Otpor zavojnice u baznom kolu Rb je takoe mali, pa
i njega u odnosu na hi moemo zanemariti.
Na sl. 12.6 data je ekvivalentna ema oscilatora. Da bi
pojednostavili analizu pretvorili smo strujni generator u
naponski generator i nactrali novu ekvivalentnu emu,
koja ima tri zatvorene petlje.

Slika 12.6. Ekvivalentna ema oscilatora sa slike 12.5.

Za nalaenje uslova za oscilovanje i frekvencije


oscilacija u dosadanjoj analizi smo traili kruno
pojaanje i stavljali da je ono jednako jedinici, tj.
izjednaili smo Ir sa Ib. Ovaj put emo uraditi na sledei
nain. Umjesto, da pretpostavimo da je kruno
pojaanje jednako jedinici, pretpostaviemo da je
funkcija povratne sprege jednaka nuli, to je isto. Ako
je funkcija povratne sprege jednaka nuli, onda je
pojaanje pojaavaa sa povratnom spregom
beskonano veliko. Pri beskonano velikom pojaanju i
pri minimalnom sluajnom pobudnom signalu izlazna
struja e biti beskonano velika.
Dobije se da je frekvencija osciliranja oscilatora
jednaka:
1
1
, kao kod feta.
w0 =
, tj f 0 =
LC
2p LC

radioprijemnicima, pri emu isti tranzistor slui i za


mijeanje. Tada se ulazni signal dovodi na bazu
tranzistora.
Treba zapaziti da se kod oscilatora sa uzemljenom
bazom ne obru krajevi zavojnice za povratnu spregu
(uporedi slike 12.5 i 12.7).

12.4. LC OSCILATORI U TRI TAKE


Umjesto induktivne povratne sprege, oscilator se moe
nainiti i na taj nain, to e se aktivan element
prikljuiti na tri take oscilatornog kola. Aktivan element
moe biti fet tranzistor ili operacioni pojaava.
Na slici 12.8 data je principijelna, a na slici 12.9.
ekvivalentna ema ovakvog oscilatora. Oscilatorno koli
je nainjeno od tri elementa ije su impedanse Z1, Z2 i
Z3. Pojaavaki element je u ovom sluaju operacioni
pojaava pojaanja praznog hoda Au i izlaznog otpora
Ro. Ulazni otpor je vrlo velik tako da njegov uticaj
moemo zanemariti.

Slika 12.7. LC oscilatora sa tranzistorom u spoju ZB

Na sl. 12.7 data je ema tranzistorskog oscilatora sa


uzemljenom bazom. Ovakav oscilator se esto koristi u

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 12.8. LC oscilator u tri take

103

OSCILATORI PROSTOPERIODINIH OSCILACIJA

Da bi Z1 ,Z2 i Z3 inili oscilatorno kolo sve tri impedanse


moraju biti reaktivne. Zanemarimo li aktivan otpor
svake impedanse imamo da je:
Z1=jX1, Z2=jX2, Z3=jX3

12.4.1. HARTLIJEV OSCILATOR


Oscilatori kod kojih su Z1 i Z2 induktivnosti nazivaju se
Hartlijevi oscilatori (Harltey). Na slici 12.10 date su
eme Hartlijevih oscilatora sa fetom i tranzistorom.
Slika 12.9 Ekvivalentna ema LC oscilator u tri take

Slika 12.10. Hartlijev oscilator sa fetom i tranzistorom

12.4.2. KOLPICOV OSCILATOR


Oscilatori kod kojih su Z1 i Z2 kapacitivnosti, nazivaju
se Kolpicovi (Colpitz) oscilatori. Primjer Kolpicovih
oscilatora dati su na slikama 12.11. i 12.12.
Fet ima vrlo veliku ulaznu impedansu, pa se izvedena
analiza rada oscilatora moe prihvatiti kao relativno
tana. Kod tranzistora ulazni otpor nije velik. On
optereuje oscilatorno kolo, te se impedansa Z1 ne
moe smatrati za istu reaktansu, to bi jako
komplikovalo analizu. Analiza bi bila jo komplikovanija
kada bi oscilator radio na vrlo visokim frekvencijama,
tako da reaktivne parametre tranzistora ne moemo
zanemariti. Tada bi morali koristiti komplikovaniju
ekvivalentnu emu tranzistora.

Slika 12.11. Kolpicov oscilator sa fetom

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

104

OSCILATORI PROSTOPERIODINIH OSCILACIJA

jednoj grani oscilatornog kola. Ukoliko se tranzistor ili


fet prikljueni na dio reaktanse, to e se njihovi
parametri prikljuiti paralelno maloj reaktansi, pa e
njihove promjene procentualno manje uticati na
promjenu cijele reaktanse, te i na frekvenciju
oscilatora.
Oscilatori se, pogotovu ako se eli stabilna amplituda
oscilacija, prave za male snage. Ukoliko nam je
potrebna vea snaga, vri se naknadno pojaanje
snage. Stabilnost ovih oscilatora zavisie i od povratnog
dejstva narednih pojaavakih stepeni, odnosno od
optereenja
oscilatora
nastalog
prikljuenjem
pojaavakog stepena, odnosno promjene ulazne
impedanse pojaavaa. Zbog toga se za prvi stepen
pojaanja koristi pojaava sa velikom ulaznom
impedansom (pojaava sa uzemljenim kolektorom) ili
pojaava kod koga je povratna sprega mala (kaskodni
pojaava).

12.5.1. KLAPOV OSCILATOR


Slika 12.12. Kolpicov oscilator sa tranzistorom

12.5. STABILNOST FREKVENCIJE


OSCILATORA
Prilikom analize LC oscilatora sa povratnom spregom,
vidjeli smo da ako se uzmu u obzir i aktivne
komponente, frekvencija oscilatora zavisi i od njih.
Kako, parametri tranzistora, zavise od napona
napajanja, kolektorske struje, temperature, to e i
frekvencija zavisiti od ovih veliina. Ove veliine se
obino tokom vremena mijenjaju, pa e se i frekvencija
mijenjati. Prilikom analize rada oscilatora, parazitne
kapacitivnosti tranzistora i feta smo zanemarili,
odnosno, pretpostavili da se oni nalaze u kapacitetu C
oscilatornog kola. Ovi parazitni kapaciteti takoe zavise
od temperature, napona i struje, pa e oni doprinositi
promjeni frekvencije.

Oscilator kod koga se pojaavaki element prikljuuje


na dio reaktanse oscilatornog kola je Klapov (Clapp)
oscilator. Ovaj oscilator je vrlo slian Kolpicovom
oscilatoru (slike 12.11. i 12.12.). Razlika je u tome to
C1 i C2 ne ine ukupan kapacitet oscilatornog kola, ve
su samo dio kapaciteta (slika 12.13. i 12.14.).

Prema tome, i zbog aktivnih i reaktivnih parametara


pojaavakih elemenata oscilatora, neophodno je zbog
stabilnosti frekvencije, stabilisati napon napajanja i
temperaturu okoline oscilatora.
Promjena temperature moe uticati i na parametre
samog oscilatornog kola, pa je potrebno, kondenzatore
i zavojnice izabrati takve, da im se parametri to manje
mijenjaju sa temperaturom.
Frekvencija oscilatora e biti stabilnija i ako je Q-faktor
oscilatornog kola vei. Ukoliko je Q-faktor velik,
promjena faze u blizini rezonantne frekvencije je vea,
pa se uslove za oscilovanje (A=1) prilikom promjene
parametara, postie za manje promjene frekvencije.
Sva prethodna analiza ukazuje na to, da e frekvencija
oscilatora biti stablinija ukoliko je uticaj parazitnih
elemenata manji, a Q-faktor oscilatornog kola to je
mogue vei. Efektivan Q-faktor e biti velik, ako je Qfaktor samog oscilatornog kola velik. Osim toga, on e
biti velik i ako se optereenja oscilatornog kola prikljue
na izvod zavojnice, odnosno na dio ukupne reaktanse u

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 12.13. Klapov oscilator sa fetom

Ukupan kapacitet oscilatornog kola je


1
1
1
1
=
+
+
C C1 C 2 C 3

Uslijed promjene parametara pojaavakih elemenata


mijenjaju se i C1 i C2, jer smo pretpostavili da oni
sadre u sebi i parazitne kapacitete pojaanih
elemenata. Osim toga, na njihovu efektivnu veliinu
utiu i realni parametri pojaavakih elemenata.

105

OSCILATORI PROSTOPERIODINIH OSCILACIJA

Na slici je 12.16. je oznaka za kristal kvarca na


elektrinim emama.

Slika 12.16. Simbol kristala kvarca

Kristal kvarca je odlian dielektrik. Prikljuimo li


elektrode na istosmjerni napon, praktino nee tei
elektrina struja.

Slika 12.14. Klapov oscilator sa tranzistorom

Frekvencija oscilovanja Klapovog oscilatora je:

w=

1
LC

, tj f =

1
2p LC

12.6. PIEZOELEKTRINI EFEKAT


Kistal kvarca ima piezoelektrinu osobinu. Naime, pod
uticajem deformacije molekuli kristala se polariu, tako
da se na suprotnim stranama kristala pojavljuje
naelektrisanje i obrnuto, ako se povrina kristala
naelektrie, kristal e se deformisati.
Ovu njegovu osobinu moemo iskoristiti za dobijanje
mehaniko-elektrinih oscilacija. U tu svrhu kristalu
kvarca
dajemo
pravilan
geometrijski
oblik
(paralelopiped, disk) na ije dvije suprotne strane
nanesemo metal i napravimo kontakte na tom metalu.
Tanak sloj metala je debljine nekoliko mikrona i slui
kao elektroda, kako je prikazano na slici 12.15.

Dovoenjem izmjeninog napona kvarc e oscilirati u


ritmu dovedenog napona. Kako je kapacitet kristala
relativno mali, to e i struja i amplituda mehanikih
oscilacija biti mala. Ipak e se izvjesna energija troiti
na odravanje tih oscilacija. Poveavajui frekvenciju
izmjeninog napona, dostii emo mehaniku
rezonanciju oscilacija kristala. Pri toj frekvenciji
oscilacije e biti najvee, pa e i utroena energija biti
najvea, odnosno i izmjenina struja e biti najvea.
Daljim poveanjem frekvencije, poto se udaljujemo od
rezonancije, amplituda mehanikih oscilacija se
smanjuje, pa e se smanjiti i izmjenina struja.
Prema tome, kristal kvarca se ponaa kao serijsko
oscilatorno kolo. Posmatrajui spolja samo izvode i
mjerei napone i struju, umjesto kvarca moemo
nacrtati njegovu ekvivalentnu emu, koja se sastoji od
jedne grane sa induktivnou L, otporom R i
Kapacitetom C vezanim u seriju. Dubljom analizom se
moe pokazati da induktivnost L zavisi od mase kristala,
kapacitet C od elestinosti, a otpor R od naina i
kvaliteta izrade i privravanja kristala u sredinu u
kojoj se nalazi (vazduh, vakuum ...).
Osim toga, krista sa svojim metalnim elektrodama
predstavlja kondenzator kapacitivnosti C, koji se crta u
drugoj grani, paralelno serijskom oscilatornom kolu
LRC.
Da bismo imali predstavu o veliini parametara kristala
kvarca navedimo primjer:
Kvarcna ploica dimenzija 30x4x1,5 mm ima:
- rezonantnu frekvenciju oko 90 kHz,
- induktivnost L=137H,
- kapacitet C=0,0235 pF,
- serijski otpor R=15 k,
- paralelni kapacitet C=3,5 pF,
- faktor dobrote Q=5500.
Kao to se vidi induktivitet je vrlo veliki pa je zato veliki
i Q-faktor.

Slika 12.15. Izgled kristala kvarca

Uslijed deformacije, izmeu elektroda se javlja


potencijala razlika, a ako se uspostavi potencijalana
razlika kristal e se deformisati.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Uslijed prisustva paralelnog kapaciteta C u podruju u


kojem je serijsko oscilatorno kolo induktivnog karaktera
moe nastati paralelna rezonancija. Prema tome, kvarc
se ponaa i kao serijsko i kao paralelno oscilatorno
kolo.
Na slici 12.17 je prikazana zavisnost reaktanse kristala
kvarca od frekvencije.

106

OSCILATORI PROSTOPERIODINIH OSCILACIJA

oko 2% i ako se induktivnost ne bi mijenjala frekvencija


bi se poveala za oko 1%, npr. sa 100 na 101 kHz.
Dakle za itav 1 kHz. Meutim, sa poveanjem
frekvencije induktini otpor mnogo bre raste. Za daleko
manje poveanje od 1 kHz induktivitet se povea za
2%, te se nova rezonantna frekvencija mnogo manje
razlikuje od prethodne. Umjesto 1 kHz, promjena e biti
svega nekoliko Hz.
Da bi se postigla to vea stabilizacija frekvencije
pomou kvarca, neophodno je:
1.

2.

3.
Slika 12.17. Zavisnost reaktanse kristala kvarca od frekvencije

Karakteristine take su r serijska rezonacija i p


paralelna rezonancija.
Razliika frekvencija rp se kree od nekoliko Hz do
nekoliko stotina Hz: Za tako malu promjenu frekvencije
induktivitet se mijenja u vrlo velikim granicama, pa je
radno podruje kristala kvarca upravo u tom opsegu
frekvencija.

12.6.1. STABILIZACIJA FREKVENCIJE


POMOU KRISTALA KVARCA

4.

Dimenzionisati parametre oscilatora tako da


kvarc radi u podruju frekvencija fr dofp i to na
frekvenciji kod koje je najvea promjena
induktivnog otpora sa promjenom frekvencije.
Obezbjediti takve uslove rada pri kojima su
promjene parametara oscilatora minimalne, kao
ro su stabillnost napona napajanja, istosmjerni
radni reim, temperatura okoline...
Izabrati kvarc koji ima najmanji temperaturni
koeficijent promjene frekvencije.
Stavit cjeli oscilator u termostat, kako bi radna
temperatura ostala konstantna.

Frekvencija oscilatora sa kvarsom je odreena samim


kvarcom. Meutim, u uskim granicama ona se moe
dostjerivati promjenom veliine ostalih parametara
kola. Pri ovome se mora voditi rauna da se ne doe u
podruje rada kvarca sa malom promjenom induktivne
otpornosti, jer e tada biti mala stabilnost frekvencije.
Oscilator sa kvarscnom stabilizacijom frekvencije
moemo dobiti kada bilo kojem LC oscilatoru
zamjenimo zavojsnicu sa kvarcom. Na slici 12.18 i
12.19 prikazane su dvije varijante Pirsovog (Pirce)
oscilatora.

Stabilnost frekvencije kod Klapovog osclikatora postigli


smo na taj nain, to smo kolo oscilatora modifikovali
tako da se promjena pojaavakih elemenata to manje
odrazi na promjenu paramaetara oscilatornog kola.
Primjenom kvarca, stabilizacija frekvencije se postie
kompenzacijom promjena pojaavakog elementa.
U svin oscilatrima ssa kvarcom, kvarc zamjenjuje
induktivitet. Kako se kvarc ponaa kao induktivitet
samo u uskom opsegu frekvencija od fr do fp, to i
oscilator moe raditi samo u tom uskom opsegu
frekvencija. Prema rtome, ma kako da se mijenjaju
ostali parametri oscilatora, frekvencija ne moe izai iz
tog opsega. Van tog opsega oscilatro ne radi. U praksi
je taj "radni" oseg jo ui.
Kod obinih oscilatora je stabilnost frekvencije reda
f/f=10-2. To znai da se parametri kola praktino ne
mijenjaju vie od 1-2%.
Uzmimo sad da smo napravili oscilator sa kvarcom
dimenzionirajui ga tako da kvarc radi u podruju gdje
je
najvea
promjenainduktivne
otpornosti
sa
promjenom frekvencije. Promjeni li se bilo koji
parametar, promijenie se i frekvencija. Neka se npr.
kapacitet ekvivalentrnog oscilatornog kola smanji pa
dolazi do poveanja frekvencije. Neka je smanjenje bilo

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 12.18. Pirsov oscilator sa fetom

107

OSCILATORI PROSTOPERIODINIH OSCILACIJA

Slika 12.20. Oscilator sa fetom i kvarcom u ulaznom kolu

Slika 12.19. Pirsov oscilator sa tranzistorom

Ovi oscilatori odgovaraju kolpicovim oscilatorima (slike


12.13. i 12. 14) u kojim je zavojnica zamijenjena
kvarcom. Na slikama su otpori RD i RC zamijenjeni
prigunicama LD i LC. Prigunice imaju vrlo veliku
induktivnost, pa praktino za izmjenini signal
predstavljaju beskonani otpor, a za istosmjerni kratki
spoj. Pomou njih se postie visok istosmjerni napon
(nema nikakvog pada napona), a izbjegnuto je
optereenje oscilatronog kola koje bi se imalo da su
ostali otpornioci RD i RC.
Jo vea stabilnost frekvencije bi se postigla ako se kao
osnova upotrijebi Klapov oscilator umjesto kolpicovog.
Na slikama 12.20 i 12.21 su date eme oscilatora
stabilisanih kvarcom, koji su dobijeni polazei od
Hartlijevog oscilatora.

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

Slika 12.20. Oscilator sa tranzistorom i kvarcom


u ulaznom kolu

108

OSCILATORI PROSTOPERIODINIH OSCILACIJA

13. LITERATURA
1.
2.
3.
4.
5.
6.
7.
8.
9.
10.
11.
12.
13.
14.
15.
16.
17.
18.
19.
20.
21.
22.
23.

Vojin Cveki, Elektronika II, Linearna elektronika, Nauna knjiga Beograd, Beograd 1987.
Vojin Cveki, Elektronika I, Poluprovodnika elektronika, Nauna knjiga Beograd, Beograd 1986.
Predavanja na predmetu Elektronika za III razred, JU Mjeovita srednja elektrotehnika kola Tuzla,
kolska 2004/05. godina.
Ratko Opai, Elektronika II, za III razred elektrotehnike kole, Zavod za udbenike i nastavna sredstva
Beograd, Beograd 1996.
Senad etovi, Boo Ljuboja, ivko Marjanovi, Osnovi elektronike, telekomunikacija i automatike,
Svjetlost, Sarajevo,1989.
I. Modlic, B. Modlic, Visokofrekvencijska elektronika modulacija, modulatori, pojaala snage, kolska
knjiga Zagreb, 1982.
Praktina elektronika, asopis za elektronike, ET Nikola Tesla, Beograd, 1998.
Slavoljub Marjanovi, Elektronika, diskretna i integrisana analogna kola, Nauna knjiga, Beograd, 1981.
Spasoje Tei, Integrisana digitalana elektronika, Nauna knjiga, Beograd 1981.
L.W.Turner, Electronic Engineers Reference Book, Newnes-Butterworth, London, 1976.
Katalog firme Intel, Component Data Catalog, Santa Clara, 1998.
Katalog firme Motorola, Analog Devices, Data-Acquisitio Databook, Norwood, 1982.
C. Jung, The New Penguin Dictionary of Electronics, London 1985.
P. Obradovi, Telekomunikacioni vodovi, Beograd, 1990.
International Telecommunication Union, Radio-relay systems, 1994.
International Telecommunication Union, Fixed-satelite service, 1994.
Z. Smrki, Mikrotalasna elektronika, kolska knjiga, zagreb, 1986.
www.diyaudio.com
www.elektronika.ba
www.sound.westhost.com
www.driverguide.com
www.bih.net.ba
www.bhtelecom.ba

LINEARNA ELEKTRONIKA za III razred elektrotehnike kole

You might also like