You are on page 1of 69

1.

) Znailnosti tevilskih sestavov (sistemov)


tevilski sestav je skup pravil, ki doloajo koliinska razmerja med tevili. Pravila, po katerih so zgrajeni tevilski sestavi, so lahko dokaj razlina, praktino pa prevladujeta le dva principa tevilskih sestavov, in sicer pozicijski ter nepozicijski tevilski sestav. Razvoj tevilskih sestavov je tekel prek nepozicijskih k pozicijskim tevilskim sestavom. Znailnost nepozicijskih tevilskih sestavov je v tem, da je vrednost cifre vedno ista ne glede na to, na katerem mestu v tevilu stoji. Zgled taknega sestava je tevilski sestav rimskih tevil. Znailnost pozicijskih tevilskih sestavov je v tem. da je vrednost cifre odvisna od mesta v tevilskem zapisu. tevilo N, ki ga zapiemo v pozicijskem tevilskem sestavu, zapiemo v skladu z naslednjim pravilom: N = Cn Bn + Cn-1 Bn-1 ++ C0 B0 + C-1 B-1 + C-m B-m B osnova tevilskega sestava C koeficient Bi ute

0 Ci B 1
(2)

2.) Pretvori 1A(16)=


1 0

1A(16) = 116 +1016 = 26(10) 26 : 2 = 13 + 0 13 : 2 = 6 + 1 6:2=3+0 3:2=1+1 1:2=0+1

(8)=

Ni 26 = B 8

Ni+ Ci+

3 2

0 3

C0 C1

1A(16) = 32(8) = 11010(2)

3.) Pretvori 26(10)=


26 13 6 3 1 : : : : : 2 2 2 2 2 = = = = = 13 6 3 1 0 + + + + + 0 1 0 1 1

(2)=

(BCD)

y 0 1 2 3 4 5 6

x4 0 0 0 0 0 0 0

x3 0 0 0 0 1 1 1

x2 0 0 1 1 0 0 1

x1 0 1 0 1 0 1 0

26(10)=11010(2)= =00100110(BCD)

Stran 1

4.) Setej dve binarni tevili 1001, 101 in ju zmnoi


1 0 0 1 9(10) + 1 0 1 5(10) 1 1 1 0 14(10) 123 + 122 + 121 + 020 = =8+4+2+0= = 14(10) 1001101 1001 0000 1001 101101 125 + 024 + 123 + 122 + 021 + 120 = = 32 + 0 + 8 + 4 + 0 + 1 = = 45(10)

5.) Pretvori 62(10)=

(BCD)=

(excess 3kod)

62(10) = 01100010 (BCD) = = 10010101 (excess 3kod)

6.) Znailnosti gray kode in invertirane excess 3kode


Invertirana excess-3kod Ta kod ima to lastnost, da se v vsaki naslednji viji tevilki spremeni le eno mesto. Drugi kodi pa imajo zelo razline prehode iz ene 4-bitne kombinacije v drugo. 5314(10) = 1100 0101 0110 0100(inv.excess-3/kod) Gray koda Tudi tu se vsaka tevilka spremeni le za eno mesto, je pa slabost v tem, ker je vrednost 0 dana s samimi nilami. 0 - 0000 1 - 0001 2 - 0011 3 - 0010 4 - 0110 5 - 0111 6 - 0101 7 - 0100 8 - 1100 9 - 1101
Stran 2

7.) Uporaba dvojnega komplementa


Ta kompliment dobimo, e poveamo enojni kompliment tevila za 1, pri emer enico dodajamo na najnijem utenem mestu. Upotevati moramo, da je (1+1=0) in, da se 1 prenese na naslednje mesto. Lastnost dvojnega komplementa je, da z danim tevilom tvori vrednost 0. To pomeni, da je dvojni komplement negirana vrednost danega tevila. ~dvojiki zapis 1111 1111 0101 0110 dano tevilo 1010 1001 enojni komplement + 1 1010 1010 dvojni komplement ~osmiki zapis 7777 1245 dano tevilo 6532 enojni komplement + 1 6533 dvojni komplement

~desetiki zapis 9999 1989 dano tevilo 8010 enojni komplement + 1 8011 dvojni komplement

~estnajstiki zapis FFFF B421 dano tevilo 4BDE enojni komplement + 1 4BDF dvojni komplement

8.) Osnovne logine funkcije


Te osnovne logine funkcije so: ~IN(AND):

Stran 3

~ALI(OR):

~NE(NOT):

9.) Pozitivna in negativna logika


Z loginimi vezji lahko izvedemo logino operacijo, ki je predpisana z logino funkcijo, kot na primer vkljuitev zaite in signalizacije pri vnaprej predpisani kombinaciji vhodnih spremenljivk. Da bi logino vezje lahko delovalo po danih zahtevah, moramo doloiti, kateri napetostni nivo naj ustreza binarni vrednosti 0 oziroma 1. Pozitivna logika: Kadar je binarna vrednost 0 doloena z nijim potencialom, binarna vrednost 1 pa z vijim potencialom govorimo o pozitivni logiki.

Stran 4

Negativna logika: V primeru, ko binarno vrednost 0 doloa viji potencial, binarno vrednost 1 pa niji potencial, govorimo o negativni logiki.

10.) Funkcija AND, pravilnostna tabela, logina enaba, realizacija, simbol

11.) Funkcija OR, pravilnostna tabela, logina enaba, realizacija, simbol

12.) Funkcija NOT, pravilnostna tabela, logina enaba,


Stran 5

realizacija, simbol

13.) Logina ali kombinacijska vezja


Logina ali kombinacijska vezja so sestavljena iz loginih elementov, izhodno stanje v nekem trenutku pa je odvisno od kombinacije vhodnih spremenljivk. Logino vezje mora opisati z 'm' loginimi funkcijami od katerih je vsaka odvisna od 'n' vhodnih spremenljivk. Osnovna znailnost loginih vezij je v tem, da so stanja izhodov odvisna le od trenutne kombinacije stanj vhodnih spremenljivk.

V skupino loginih vezij tejemo: ~aritmetina vezja, ~kodirnike, dekodirnike in prekodirnike, ~multiplekserje in demultiplekserje, ~primerjalnike, ~logina vezja za specifine namene.

Projektiranje in sinteza loginih vezij obsega naslednje korake: ~difiniranje problema, ~doloanje tevila vhodnih in izhodnih spremenljivk, ~konstrukcijo pravilnostne tabele, ~poenostavljanje preklopnih (loginih) funkcij, ~konstrukcijo vezja, ~preizkus delovanja vezja.

Stran 6

14.) Funkcija in vezje NAND

15.) Funkcija in vezje NOR

16.) Funkcija in vezje antivalence (XOR)

Stran 7

17.) Funkcija in vezje ekvivalence

18.) Funkcija in vezje invertiranega IN-ALI vezja


Inventirano IN-ALI vezje daje na svojem izhodu vrednost 1 skladno z logino enabo. Za inventirano IN-ALI vezje velja: Pravilnostna tabela Logina enaba x = AB v CD Funkcijski nart
D 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 C 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 A 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 AB 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 CD 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 AB V CD 0 0 0 1 0 0 0 1 0 0 0 1 1 1 1 1 X 1 1 1 0 1 1 1 0 1 1 1 0 0 0 0 0

V integrirani izvedbi ima oznako 7451 in 7454.

19.) Popolna ali kanonina disjuktivna oblika (PDO)


Stran 8

To je logina vsota produktov funkcijskih vrednosti 1 in mintermov m1, kjer lahko zavzamejo funkcijske vrednosti 1 0 ali 1.

funkcije

f( x1 ,x2 ...xn ) = i mi = V i mi i =0
i =0

i =2 n 1

i =2 n 1

Primer PDO:

20.) Popolna ali kanonina konjuktivna oblika (PKO) funkcije

f( x1 ,x2 ,x3 ) = ( i + M i )
i =0

i = 2 n 1

f( x1 ,x2 ,x3 ) = ( i + M i )
i =0

i = 2 n 1

Primer PKO:
Stran 9

f = ( i + M i ) f = ( 0 + M 0 ) (1 + M1 ) ( 2 + M 2 ) ( 3 + M 3 )
i =0

i =2 3 1

( 4 + M 4 ) ( 5 + M5 ) ( 6 + M6 ) (7 + M7 ) f = (1 + M 0 ) (0 + M1 ) (1 + M 2 ) (0 + M 3 ) (1 + M 4 ) (0 + M5 ) (1 + M6 ) (0 + M7 )
f = M1 M 5 M7

f = 1 M1 1 1 1 M 5 1 M7 f( x1 ,x2 ,x3 ) = ( X1 + X 2 + X 3 )( X1 + X 2 + X 3 )( X1 + X 2 + X 3 )

21.) Pravila preklopne algebre


Osnovne operacije preklopne algebre ali algebne logike so: ~negacija (NOT): f(x) = x
x 0 1 f(x) 1 0

~konjunkcija (IN, AND, log. produkt) ~disjunkcija (ALI, OR, log. vsota)
x1 0 0 1 1 x2 0 1 0 1 x1 x2 0 0 0 1

f(x) = x1 x2 f(x) = x1 + x2
x1 + x2 0 1 1 1

V preklopnih algebrah veljajo naslednje zakonitosti:

V preklopnih algebrah veljajo e naslednje zakonitosti: xx=0 x+x=1 x1=x x+0=x x0=0 x+1=1 komutativnost: x1 x2 = x2 x1 x1 + x2 = x2 + x1 asociativnost: x1x2x3 = (x1 x2) x3= x1 (x2 x3) x1+x2+x3 = (x1+x2)+x3= x1 + (x2+x3) distributivnost: x1(x2+x3) = x1 x2 + x1x3 x1+(x2x3) = (x1+ x2)(x1+x3)

22.) De Morganova pravila


Stran 10

~dvojna negacija spremenljivke:

~dvojna negacija funkcije IN:

~dvojna negacija funkcije ALI:

23.) Dokai De Morganovo pravilo: dvojna negacija funkcije ALI

24.) Analiza logini vezij, primer


Stran 11

Logino vezje z 'n' vhodi opisuje funkcija z 'n' spremenljivkami, s katero lahko sestavimo pravilnostno tabelo z 2n kombinacijami. Tako na primer vezje,ki ima tri vode, opiemo s funkcijo s tremi spremenljivkami A, B in C, s katerimi sestavljamo pravilnostno tabelo z 23=8 kombinacijami. Z analizo loginega vezja ugotovimo, pri katerih kombinacijah vhodnih spremenljivk dobimo na izhodu vezja stanje 1. Analizo loginega vezja bomo izvajali po koraki:
Vezje logina funkcija pravilnostna tabela preizkus vezja

Pri analizi vezja ravnamo tako, da zapisujemo logine funkcije od vhoda proti izhodu vezja. Tako dobimo na izhodu vsakega lena doloeno funkcijsko zvezo. e posamezne funkcije, ki so na vhodih lena ALI, poveemo s funkcijsko zvezo ALI, dobimo na izhodu x logino funkcijo: _ _ x = ABC v ABC v ABC _ _ _

25.) Minimiziraj logino funkcijo X=AB+ABCD+ ABC+BCD _ _ y = AB + CD + ABC

26.) Minimizacija loginih funkcij, primer


Stran 12

Za minimizacijo funkcij najvekrat uporabimo grafine metode, na primer Veitchov diagram. Poenostavljanje je izvedeno s pomojo zdruevanja tistih mintermov, ki imajo skupno lastnost. _ __ _ _ _ f (x1 x2 x3) = x1 x2 x3 + x1 x2 x3 + x1 x2 x3 + x1 x2 x3

_ _ fmin = x2 x3 + x2 x3

27.) Sinteza loginih funkcij in vezij


Sinteza je postopek sestavljanja log funkcije spremenljivk, katere kombinacije nam dajo funkcijsko vrednost 1. Zaetni pogoj pri sintezi je tehnini problem, ki ga moramo reiti z log vezjem. Predstavitev problema Pravilnostna tabela Minimizacija Logina enaba Vezje Preizkus vezja

Iz zahtev, ki izhajajo iz tehninega problema, ugotovimo, koliko je vhodnih spremenljivk ter pri katerih kombinacijah teh spremenljivk mora biti izhodno stanje 1. Za vsako kombinacijo vhodnih spremenljivk, ki povzroi izhodno stanje 1, napiemo IN funkcijsko zvezo spremenljivk. Posamezne IN funkcijske zveze spremenljivk nato poveemo z ALI funkcijskimi zvezami v konno obliko logine funkcije.

28.) BCD decimalni dekodirnik


Stran 13

Upotevati moramo redudance!

29.) BCD 7-segmentni prekodirnik


To je logino vezje, ki pretvarja zapis iz enega koda v drugi kod, pri emer je lahko tevilo vhodov enako tevilu izhodov ali pa tudi razlino. Primer prekodirnika BCD v 7 segmentni kod:

30.) kodirana in dekodirana vezja


Stran 14

~kodirnik je kombinacijsko vezje, ki sprejme 'm' vhodnih linij po eno za vsak element informacije ter generira na izhodu binarni kod na 'n' izhodnih linijah. ~dekodirnik je kombinacijsko vezje, ki pretvori binarni kod 'n' spremenljivk v 'm' izhodnih linij eno za vsak diskretni element informacije.

31.) Vezja za aritmetine operacije


Aritmetina vezja uporabljamo za izvrevanje preprostih aritmetinih operacij, kar sta setevanje in odtevanje. Sem tejemo: ~polovini setevalnik Ta setevalnik uporabljamo za tvorjenje vsote dveh enomestnih binarnih tevil a0 in b0.
1.sumand 2.sumand vsota prenos a0 b0 S0 C0 0 0 0 0 1 0 1 0 0 1 1 0 1 1 0 1

s 0 ( a 0 ,b 0 ) = a 0 b 0 + a 0 b 0 s 0 ( a 0 ,b 0 ) = a 0 b 0 C 0 = a0 b0

~popolni setevalnik Polovini setevalnik omogoa le setevanje dveh bitov na najnijem utenem mestu, kjer ni potrebno upotevati prenosa z nijega utenega mesta. ai bi Ci-1 Si Ci 0 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 0 1 0 0 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1

C i = C i 1 (a i b i ) + a i b i

S i = C i 1 (a i b i

Stran 15

32.) Polovini setevalnik


Ta setevalnik uporabljamo za tvorjenje vsote dveh enostavnih binarnih tevil a0 in b0.
1.sumand 2.sumand vsota prenos a0 b0 S0 C0 0 0 0 0 1 0 1 0 0 1 1 0 1 1 0 1

s0 ( a0 ,b0 ) = a0 b0 + a0 b0 s0 ( a0 ,b0 ) = a0 b0 C0 = a0 b0

Stran 16

33.) Popolni setevalnik


Polovini setevalnik omogoa le setevanje dveh bitov na najnijem utenem mestu, kjer ni potrebno upotevati prenose z vijega utenega mesta, (nima vhoda Ci). Popolni setevalnik nam omogoa tudi prenos z nijega utenega mesta, zato je uporaben za setevanje dveh bitov na katerem koli mestu.

a1 b1 ci-1 Si Ci

0 0 0 0 0

1 0 0 1 0

0 1 0 1 0

1 1 0 0 1

0 0 1 1 0

1 0 1 0 1

0 1 1 0 1

1 1 1 1 1

C i = C i 1 (a i b i ) + a i b i

S i = C i 1 (a i b i

Stran 17

34.) Komparatorji dveh enobitnih tevil


Komparatorji so kombinacijska vezja ki primerjajo dve tevili (A in B), tako da doloijo njune relativne velikosti. Rezultat primerjave pokaejo trije izhodi: x:A<B y:A=B z:A>B V praksi sreamo razline vrste digitalnih podatkov, ki jih elimo primerjati. To so lahko: binarna tevila, decimalna tevila kodirana v katerikoli binarni kodi, ali pa povsem splona skupina diskretnih elementov informacije. _ _

35.) Realizacija funkcij z NAND vrati, primer: X=ABC+ABC


Funkcijski nart Logina enaba

y = ABC + ABC y NAND = ABC + ABC y NAND = ABC ABC


_ _

36.) Realizacija funkcij z NOR elemeti, primer: X=ABC+ABC


Funkcijski nart Logina enaba

y NOR = ABC + ABC y NOR = A + B + C + A + B + C y NOR = A + B + C + A + B + C

Stran 18

37.) Narii vezje s katerim se bodo HEX tevila od D0 do Df spreminjala v BCD (v, x, y, z)
Na vhodu je 16 signalov, od katerih je vselej le eden enak 1. Ustrezni BCD kod za posamezno heksadecimalno tevilo zapiemo kot: Pravilnostna tabela
Di D0 D1 D2 D3 V 0 0 0 0 X 0 0 0 0 Y 0 0 1 1 Z 0 1 0 1

Iz tabele lahko nariemo naslednje vezje:

D8 D9 DA DB DC DD DE DF

1 1 1 1 1 1 1 1

0 0 0 0 1 1 1 1

0 0 1 1 0 0 1 1

0 1 0 1 0 1 0 1

38.) Multiplekser in demultiplekser


~multiplekser To je logino vezje, ki opravlja pridruevanje vejega tevila vhodnih loginih vrednosti manjemu tevilu prenosnih kanalov. Digitalni multiplekser prenaa podatke iz 2n vhodnih linij na eno izhodno linijo. Izbira vhodne linije se izvri s pomojo selekcijskih signalov: Pravilnostna tabela Simbol

Y(S 0 ,S1 ,S 2 ,Ix ) = I0 S 0 S1S 2 + I1S 0 S1S 2 + I2 S0S1S 2 + I3S0S1S 2 + + I4 S0 S1S 2 + I5S0 S1S 2 + I6 S0S1 S 2 + I7S0S1 S 2
Stran 19

Logina enaba

Funkcijski nart

~demultiplekser nam razirja manje tevilo vhodnih kanalov na veje tevilo izhodnih kanalov. Digitalni demultiplekser ima eno vhodno linijo in veje tevilo izhodnih linij. Pravilnostna tabela Simbol

Y0 = S 0 S1I Y1 = S 0 S1I Y2 = S 0 S1I Y3 = S 0 S1I


Funkcijski nart

39.) Izdelaj simbolini diagram multiplekserja za 8


Stran 20

enobitnih vhodov
Pravilnostna tabela Simbol

Y(S 0 ,S1 ,S 2 ,Ix ) = I0 S 0 S1S 2 + I1S 0 S1S 2 + I2 S0S1S 2 + I3S0S1S 2 + + I4 S0 S1S 2 + I5S0 S1S 2 + I6 S0S1 S 2 + I7S0S1 S 2
40.) Izdelaj multiplekser tirih dvobitnih signalov
Funkcijski nart Pravilnostna tabela S0 0 0 1 1 S1 0 1 0 1 Y0 A0 B0 C0 D0 Y1 A1 B1 C1 D1

Logina enaba

Logini enabi

Y0 = A0 S0 S1 + B0 S0 S1 + + C0 S0 S1 + D0 S0 S1 Y1 = A1 S0 S1 + B1 S0 S1 + + C1S0 S1 + D1S0 S1

41.) Izdelaj demultiplekser, ki ima na vhodu signale Y0 Y1 in


Stran 21

naj spremeni s pomojo zbirnih signalov S0 S1 na prvotne signale A0 A1, B0 B1, C0 C1, D0 D1

42.) Pomnilne celice


Osnovno pomnilno celico v sekvennih vezjih, ki lahko shrani en bit informacije, imenujemo flip-flop ali bistabil. Flip-flop je bistabilni element, ki lahko zavzame dve stabilni stanji, kar ravno ustreza binarnemu zapisu informacije, ki je lahko 1 ali 0. V osnovni izvedbi flip-flop sestavljata dva funkcijska elementa, ki sta lahko ali v izvedbi NAND ali NOR. Poznamo naslednje vrste pomnilnih celic: ~pomnilna celica z NOR elementi, ~pomnilna celica z NAND elementi, ~sinhronizirana RS pomnilna celica, ~D (delay) pomnilna celica, ~JK pomnilna celica, ~JK Master-Slave pomnilna celica, ~T pomnilna celica.

Stran 22

43.) RS flip-flop
e na vhodu RS pomnilne celice dodamo AND oziroma NAND vrata, dobimo sinhronizirano pomnilno celico. Z dodatnim CLOCK vhodom CP (clock pulse) omogoimo spremembo izhodnega stanja pomnilne celice z oziroma na stanje vhodov R in S. Logino stanje, ki ga postavimo na vhoda R in S, ne vpliva na stanje, dokler na taktnem vhodu CP ni navzo taktni impulz.

Sinhronizirana pomnilna celica RS

Simbol sinhronizirane pomnilne celice RS Karakteristina tabela pomnilne celice RS

44.) RS flip-flop z NAND elementi


Analizo vezja prinemo na vhodu, ki je v stanju 0,ker dobimo na izhodu elementa NAND zanesljivo stanje 1 ne glede na stanje drugega vhoda elementa. Iz tega sledi, da so aktivna vhodna stanja, ki vplivajo na spremembo izhoda, stanja 0; zato sta tudi oba vhoda prikazana negirano. V primeru, da sta oba vhoda pomnilne celice v stanju 0, je to nedovoljeno stanje, saj se soasno zahteva postavljene in brisanje izhoda Q. Funkcijski nart Pravilnostna tabela

Stran 23

45.) RS flip-flop z NOR elementi


Z impulzom na S vhodu postavimo izhod Q v stanje 1, ki ostane tudi ko impulz na S vhodu izgine. Vsebino celice briemo z impulzom na R vhodu. Ob vklopu vezja lahko doseemo resetiranje s pomojo diferenciatorja (RC-kombinacije): Funkcijski nart Pravilnostna tabela

46.) D flip-flop
To celico dobimo iz RS celice tako, da poveemo R in S vhoda preko invertorja. Izhod Q sledi stanju na vhodu D z doloeno zakasnitvijo, ki ju doloa prihod taktnega impulza na CP vhodu. Funkcijski nart Simbol

Karakteristina tabela

47.) JK flip-flop
Pri tej celici so notranje povezave urejene tako, da nimamo nedovoljenih stanj glede na krmiljenje vhodnih spremenljivk. J vhod predstavlja vhod za vstavljanje podatka, K pa brisalni vhod. Lastnosti tega flip-flopa so podobne RS flip-flopu, le da je tu kombinacija J=K=1 dovoljena in povzroi spremembo stanja izhodov.
Stran 24

Funkcijski nart

Simbol

48.) Master slave JK pomnilna celica


JK pomnilna celica nima nobenih nedovoljenih stanj kot RS celica. Slaba stran celice je, da potrebuje kratke clock impulze, ker v nasprotnem primeru ne deluje pravilno. To pomanjkljivost izboljamo z master - slave pomnilno celico.

49.) Serijsko paralelni pretvornik


Taken pomikalni register ima izpeljane izhode iz posameznih celic in zato omogoa dostop do vsakega bita vpisane informacije ali hkrati do vseh bitov v informacijski besedi. SIPO pretvori serijsko vpisan niz bitov v paralelno besedo doline tolikih bitov, kot je tevilo pomikalnih celic.

Stran 25

50.) Obroni pomikalni register


Pri pomikanju vsebin v pomikalnem registru se izgubi bit, ki izstopi na izhodu Q4. V nekaterih primerih pa ne elimo izgubiti informacije, ki je bila zapisana v registru. V takem primeru si pomagamo lahko tako, da poveemo izhod Q4 z vhodom J1 in Q4 z vhodom K1. Dobljeno vezje pa imenujemo obroni pomikalni register, kjer bo bit, ki izstopi nad Q4, ponovno vstopil na vhodu J1. Informacija se vrti toliko asa dokler je na vhodu C ne zbriemo.

51.) Paralelno serijski pretvornik


Pomnilne celice, ki imajo asinhrona vhoda, to je vhod za vpis in vhod za brisanje, omogoa gradnjo pomikalnih registrov s paralelnim vhodom in serijskim izhodom. Taken register omogoa asinhroni vpis informacije in sinhroni pomik vsebine registra.

52.) Kapaciteta pomnilnika


Stran 26

kapaciteto pomnilnika doloa tevilo pomnilnikih lokacij, do katerih imamo bralni ali pisalni dostop. Te lokacije so lahko enobitne ali vebitne, ponavadi govorimo o enobitnih ali osembitnih lokacijah. V primeru enobitnih pomnilnikih lokacij, kar najdemo pri nekaterih izvedbah dinaminih RAM-pomnilnikov, navajamo kapaciteto v kilobitih ali v megabitih, redkeje pa v gigabitih. e so posamezne pomnilnike lokacije organizirane v osembitne lokacije, to je po bajtih, pa navajamo kapaciteto pomnilnika v kilobitih, megabitih ali celo v gigabitih.

53.) Pretvorba BCD kode v excess 3 / kodo


Vezje je izvedeno s tirimi setevalniki. Prvi je polovien vsi ostali pa so polni. Polne setevalnike potrebujemo zato, ker moramo upotevati tudi prejnjo vrednost. Na prve vhode pripeljemo binarno vrednost, ki jo moramo priteti BCD kodi, da dobimo EXCES-3 kodo. Na druge vhode setevalnikov pa pripeljemo BCD kodo, ki jo elimo spremeniti v EXCES3 kodo. EXCES-3 kodo pa dobimo na izhodih setevalnikov. e elimo pretvarjati ve kot 4-bitne informacije v EXCES-3 kodo, lahko veemo e poljubno tevilo polnih setevalnikov. Monost pretvorbe je lahko tudi na naslednji nain.

54.) Utenostna vezja D/A pretvornikov


Stran 27

Prednost digitalno analognih pretvornikov z utenostnim vezjem je v preprosti izvedbi, slaba stran pa veliko t.uporov razlinih vrednosti. Natannost analogne spodnje napetosti je odvisna od natannosti izdelave uporov. D flip-flopi so uporabni kot elektronka stikala. Informacije se iz vhodov flip-flopov prenaa na izhode ob vsakem clock impulzu. Princip delovanja

D/A pretvornik z utenostnim vezjem

55.) Lestvina vezja D/A pretvornikov


Pomanjkljivosti D/A pretvornikov z utenostnim vezjem so v velikIh raznolikosti upornosti, oziroma v visokem razmerju med najvijo in najnijo upornostjo. Temu se izognemo z uporabo lestviastega vezja, ki zahteva le dve vrsti upornosti (R in 2R). Prednost takega vezja je predvsem v tem, da je laje izdelati precizne upore dveh razlinih vrednosti.

Stran 28

Stikala nadomeajo bistabilnega vezja

56.) D/A pretvornik na osnovi integracije


e v asovnem intervalu 't' na vhod operacijskega ojaevalnika prikljuimo niz pravokotnih impulzov, dobimo na izhodu integratorja napetost:

U2 =

U1 n t R1C

kjer je 'n' tevilo impulzov v asovnem intervalu 't'. e privzamamo, da so napetost, upornost in kapacitivnost konstantne vrednosti, je izhodna napetost odvisna od tevila impulzov v asovnem intervalu 't' po naslednjem obrazcu:

U2 = k n t

To lastnost integratorja lahko izkoristimo za digitalno-analogno pretvorbo, e predpostavimo, da je v tevilu impulzov na asovno enoto zapisana digitalna informacija.

Kot integrator uporabimo operacijski ojaevalnik s kondenzatorjem v povratni zanki. Izhodna napetost integratorja je premosorazmerna s tevilom impulzov na vhodu integratorja. Shift register poskrbi za konverzijo paralelnega lena.
Stran 29

57.) A/D pretvornik s paralelnimi komparatorji


Tak pretvornik mora imeti toliko referennih napetosti, kolikor ima predvidenih digitalnih vrednosti. Toneje, potrebna je ena napetost ker za vrednost 0 ne potrebujemo reference. Kolikor napetosti, potrebujemo komparatorjev primerjalnikov. Za 'n' digitalnih moramo imeti na voljo N komparatorjev. N = 2n 1 izhod manj, toliko mest

58.) Startno-tevni A/D pretvorniki


Namesto velikega tevila referennih napetosti, ki jih uporabljamo v pretvornikih z vzporednimi komparatorji, uporabljamo tu samo eno, ki se skokovito spreminja. Glede na to, ali referenna napetost bo vsakem ciklu pretvorbe naraa od 0 do analogne napetosti Ua ali pa analogni napetosti sledi, loimo startne in sledilne pretvornike. Blokovna shema startnega A/D pretvornika

asovni potek startnega A/D pretvornika

Stran 30

59.) Sledilno tevni pretvornik


Tukaj uporabljamo posebej dvosmerni tevec s kontrolo tetja naprej in nazaj. e je UA>U, kjer U pomeni izhod D/A pretvornika teje tevec naprej in dviguje stopniasto napetost. e pa UA pade in postane manji od U, prine tevec odtevati, kar prikazuje asovni diagram.

Blokovna shema sledilnega A/D pretvornika

asovni potek sledilnega A/D pretvornika

60.) Pretvornik s asovno kvantizacijo


Namesto stopniaste napetosti uporabljamo tu linearno naraajoo napetost. as trajanja od 0 do trenutka, v katerem agasta napetost dosee analogno napetost, pretvorimo nato v binarni izhod. Blokovna shema A/D pretvorbe s asovno kvantizacijo

Stran 31

asovni diagram A/D pretvornika s asovno kvantizacijo

61.) Postopna A/D pretvorba


Ta metoda se razmeroma pogosto uporablja posebej odkar so se IC vezja pocenila. Analogni signal se pretvori v digitalnega bit za bitom s tem, da se pretvorba zane na skrajno levem mestu oz. na mestu z najvejo uteno vrednostjo. Krmilna logika postavi najprej celoten register na 0, ob naslednjem urinem impulzu pa postavi najpomembneje mesto na 1. Blokovna shema postopne A/D pretvorbe

Postopni proces pretvorbe

Stran 32

S startnim impulzom postavimo flip-flop E v stanje 1, ostale flip-flope F, G, H pa v stanje 0. Stanje 1 se prenese v flip-flop D registra, stanje 0 pa v C, B in A. D/A pretvornik daje na svojem izhodu ustrezno analogno napetost U, ki jo primerjamo z UA.

logino vezje pretvornika

Stran 33

62.) Delitev pomnilnikov glede na nain naslavljanja


Po nainu naslavljanja pomnilnikih lokacij loimo pomnilnike na naslovljene in na asociativne. Naslovljivi pomnilniki so organizirani tako, da ima vsaka pomnilnika lokacija svoj enolino doloen naslov, pri asociativnih pomnilnikih pa dostop do pomnilnike lokacije ni urejen prek enolino doloenega naslova, temve prek doloenega vzorca, ki je sestavni del podatka. Med naslovljive pomnilnike tejemo delovne ali glavne pomnilnike, asociativni pomnilniki pa se lahko pojavljajo kot sestavni del sistema za upravljanje pomnilnika.

63.) Delitev pomnilnikov glede na nain dostopa


Glede na nain, kako hitro lahko iz pomnilnika dobimo podatke, oziroma kako hitro jih lahko shranimo v pomnilnik, razlikujemo naslednje naine dostopa: ~nakljuni dostop pri tem nainu je as dostopa do poljubne lokacije neodvisen od naslova pred tem naslovljenih lokacij. ~zaporedni dostop pri tem nainu je as za dostop do neke lokacije odvisen od naslova prejnje lokacije. ~neposredni dostop s tem imenom oznaujemo tiste naine, pri katerih je as za dostop do neke lokacije sicer odvisen od naslova prejnje, vendar je ta odvisnost bistveno manja kot pri zaporednem dostopu.

64.) Vrste in lastnosti bralnih pomnilnikov


V to skupino sodi vrsta razlinih spominskih celic. Te so: ~ROM vsebina je doloena z masko v tovarni. Ker je priprava mask draga, se ROM elementi uporabljajo takrat kadar potrebujemo veliko tevilo elementov z enako vsebino. Zapisane vsebine ni mogoe spreminjati. ~PROM vsebino doloi uporabnik tako, da s programatorjem prege varovalke v celicah. Tako zapisane vsebine ni mogoe zbrisati.

Stran 34

~EPROM vsebino doloa uporabnik tako, da s programatorjem nabije posebne kondenzatorje, iz katerih je celica sestavljena. Z ultravijolino svetlobo je mogoe vsebino zbrisati in pomnilnik ponovno uporabiti. Za EPROM elemente je znailna steklena ploica, ki pokriva ip. ~EEROM vsebino doloa uporabnik podobno ko pri EPROM elementih. Vendar za brisanje ne potrebuje ultravijoline svetlobe, temve lahko to delamo elektrino. EEROM elementi delujejo kot bralno/pisalni pomnilniki. Na poljuben naslov lahko vedno napiemo poljubno vrednost. Upotevati pa moramo, da je pisanje pribljino 20.000 krat poasneje od branja in da je pri veini proizvajalcev tevilo pisanj omejeno na priblino 10.000.

65.) ROM pomnilniki


Pomnilnik ROM je samo bralni pomnilnik, v katerega se vsi podatki vpiejo e pri izdelavi. Dekodirnik dekodira naslov tako, da glede na binarno kombinacijo naslova postavi eno izmed besednih linij v stanje 1, medtem ko so preostale v stanju 0. Z besedne linije, ki je trenutno v stanju 1, se logino stanje 1 prenese na tisto bitno linijo, ki je z njo povezana. Ta pomnilnik je poceni, e je izdelan v veliki seriji.

66.) PROM pomnilniki


Ta pomnilnik predstavlja programljivi ROM. Programiranju oziroma vstavljanju vsebine nanj je prepueno uporabnikom. Programiranje izvedemo tako, da s tokovnim sunkom pregemo oslabljena mesta oziroma varovalke. Na ta nain prekinemo povezave med horizontalnimi in vertikalnimi linijami. Ko je pomnilnik programiran, ga ne moremo ve reprogramirati, zato ga uporabljamo za enake namene kot ROM. Zaradi monosti naknadnega programiranja pa jih lahko izdelamo tudi v manjih serijah in je proizvodnja e vedno ekonomsko upraviljiva.
Stran 35

67.) EPROM pomnilniki


Pomnilnik EPROM je draji kot PROM, ima pa to prednost, da lahko vpisano informacijo izbriemo in pomnilnik ponovno programiramo.Ta pomnilnik je programiran z napetostnimi impulzi vije amplitude, kot je delovna napetost. Vsebino pomnilnika pa lahko izbriemo s pomojo ultravijolinimi arki in sicer vso vsebina pomnilnika hkrati. Programiranje in brisanje lahko vekrat ponovimo. Ta lastnost omogoa uporabo teh pomnilnikov na primer v programirljivih krmilnikih, ker v pomnilnik vpiemo program krmilja in ga po potrebi spet spremenimo, e se spremenijo zahteve krmilja.

68.) EAROM pomnilniki


Ti elementi so po zgradbi in delovanju zelo podobni EPROM-u. Tudi oni uporabljajo kot pomnilniko celico MOSFET tranzistor s plavajoimi vrati in hranijo informacijo v obliki naboja. Vendar pa za brisanje ne potrebujemo UV svetlobe, briemo jih lahko elektrino. Poleg tega pa ni potrebno brisati vse vsebine pomnilnika, ampak samo tiste lokacije, ki jih elimo brisati.

69.) Bralno-pisalni pomnilniki, lastnosti, zgradba


Bralno-pisalni pomnilniki se v raunalnikih sistemih uporabljajo za zaasno shranjevanje delov operacijskega sistema, sistemskih podatkov, stalnih programov (rezidenni programi), programov, ki se trenutno izvajajo in podatkov ter vmesnih rezultatov. Za vse te pomnilnike je znailno, da izgubijo vpisano informacijo, ko jim odvzamemo napajalno napetost. Informacijo lahko v pomnilnik poljubnokrat vpiemo ali jo preberemo, ne da bi pri tem pokodovali strukturo pomnilne celice. Za bralno-pisalne pomnilnike je uveljavljena oznaka RAM, kar bi lahko prevedli kot pomnilnik z nakljunim dostopom, kar pa glede dostopa ne velja samo za te pomnilnike, ampak tudi za bralne. Po izvedbi pomnilne celice delimo bralno-pisalne pomnilnike v statine pomnilnike RAM ali SRAM in dinamine pomnilnike RAM ali DRAM.
Stran 36

Lastnosti pomnilne celice SRAM in DRAM lahko primerjamo po doloenih znailnih parametrih in pri tem skuamo najti prednosti in slabosti posameznih izvedb. Lastnosti posameznih celic lahko strnemo v naslednje: SRAM pomnilniki

imajo pomnilno celico zgrajeno kot zati (flip-flop), zato je njihova


kompleksnost veja in s tem manja kapaciteta na enoto volumna ter vija cena na bit, zadrijo vpisano informacijo toliko as dokler so prikljueni na napajalno napetost, in zato ni potrebno sprotno osveevanje vsebine, imajo vejo povpreno energijsko porabo kot dinamini in poleg tega trajno obremenjujejo napajalnik, imajo zelo kratke dostopne ase, ki so izvedbah MOS od 10 do nekaj 10 nanosekund, pri bipolarnih izvedbah pa celo ene do nekaj nanosekund.

DRAM pomnilniki

imajo pomnilno celico zgrajeno iz enega tranzistorja z dodatno


kapacitivnostjo, kar omogoa vejo koncentracijo funkcij in vejo kapaciteto na enoto volumna, ki je priblino 4-krat veja kot pri statinih pomnilnikih, in s tem nijo ceno na bit, shranjujejo vpisano informacijo v obliki elektrinega naboja kondenzatorja, podobno kot pri EPROM-ih, le, da je dielektrik pri dinamini celici zelo slab in naboj hitro zgineva, kar zahteva sprotno osveevanje in s tem dodatna mikrovezja, povpreno manj obremenjujejo napajalni vir, zato je njihova energijska poraba manja kot pri statinih pomnilnih celicah, zahtevajo neprimerno dalje dostopne ase, ki so od ve deset do sto nanosekund, kar zahteva dodatne reitve v sistemih, kjer zahtevamo hitre dostope (uvajanje predpomnilnikov, organiziranje pomnilnikov v banke).

70.) Statini RAM (SRAM)


Stran 37

Pri statinih RAM-ih je pomnilnika celica zgrajena kot flip-flop. Ta je obiajno zgrajena iz 6ih MOS tranzistorjev. Bit, ki se zapie v SRAM celico, ostane nespremenjen, dokler ga ne preriemo ali izklopimo napetost. Uporaba SRAM ipov v mikroproceorskih sistemih je zelo preprosta in zelo podobna tisti pri bralnih pomnilnikih.

71.) Dinamini RAM (DRAM)


Je pomnilnika celica zgrajena iz enega tranzistorja, informacija pa je shranjena v obliki elektrinega naboja v parazitni kapacitivnosti pri tranzistorju. Ta kapacitivnost je zelo majhna. Ker je informacija shranjena v obliki naboja, v zelo kratkem asu izgine, e ga ne osveimo.

72.) Mikroprocesorjevo naslovno dekodiranje

Stran 38

3 state buffer louje mikroprocesor in RAM med seboj. Naslovni dekoder glede na zahtevano pomnilniko lokacijo izbere ustrezen signal v povezavi z RAM pomnilnikom.

73.) Razporeditev pomnilnika


Z besedo pomnilnik ali spomin oznaujemo tisti del mikroprocesorskega sistema v katerem so shranjeni ukazi in operandi. Gledano z mikroprocesorja je pomnilnik zelo preprosta naprava. Videti je kot skladia, iz katerega mikroprocesor jemlje ali v katerega daje podatke. Namesto o jemanju ali dajanju podatkov govorimo obiajno o branju ali pisanju v pomnilnik. V vsakem raunalniku imamo ve enot s funkcijo pomnilnika. Tipino so to naslednje: ~registri v mikroprocesorju ~predpomnilnik ~glavni pomnilnik ~pomoni pomnilnik Razporeditev celotnega monega pomnilnika mikroprocesorskega sistema imenujemo spominska mapa (memory map).

74.) Paralelni periferni vmesniki adapter PIA


V mikroprocesorski druini MOTOROLA 6800 je periferni vmesniki adapter PIA 6820 ali 6821 osnovni element za prikljuevanje vhodnoizhodnih naprav. Preko tega je mogoe prikljuevati vsako napravo. Seveda je v mnogih primerih ugodneje vzeti za posamezne naprave specialne elemente, vendar to ne pomeni, da z elementi PIA tega ne bi mogli realizirati. Element PIA se nahaja v ohiju s 40-imi sponkami in potrebuje za napajanje 5V napetosti.

Stran 39

75.) Programabilni periferni vmesnik PPI-8255


Elementu PIA ustreza v mikroprocesorski druini Intel tako imenovani programabilni periferni vmesnik PPI-8255. Ta element omogoa glede na PIA nekatere dodatne naine usklajevalnega delovanja. To pomeni, da ga je v usklajevalnih aplikacijah mono pogosto uporabiti tam, kjer uporabimo PIA, medtem ko je monost za zamenjavo v obratni smeri manja. V aplikacijah, ki ne potrebujejo usklajevanja, pa je zaradi individualno nastavljivih vhodno-izhodni noic element PIA obiajno bolj uporaben kot PPI.

Stran 40

76.) Naini delovanja PPI


IBF signal se vrne na 0 takoj, ko mikroprocesor prebere vsebino internega registra vrat. Signal INT, ki se pojavi na noici PC3 oziroma PC0, po konanem prenosu podatkov v interni register vrat, sporoa mikroprocesorju, da ga akajo podatki. Lahko ga uporabimo kot prekinitveni signal.

Krmilni signali pri vhodnem usklajevalnem delovanju vrat A ali B

Krmilni signali pri izhodnem usklajevalnem delovanju vrat A ali B

Stran 41

77.) Vezja za posebne funkcije


Med vezja za posebne funkcije, ki jih mikroprocesorski sistemi najpogosteje vsebujejo, so: ~krmilnik prioritete prekinitev PIC, ~krmilnik direktnega dostopa k pomnilniku DMAC, ~krmilnik disketnega pogona FDC, ~krmilnik kasetnega pogona TCC, ~krmilnik zaslonskega monitorja CRTC, ~programabilni tevci TIMER, ~A/D pretvorniki, ~D/A pretvorniki.

78.) Zgradba ukazov za mikroprocesor


Manji mikroprocesorski sistem je zgrajen iz mikroprocesorja, pomnilnikih elementov, vmesnikih adapterjev in generatorja urinih impulzov. Elementi so med seboj povezani z linijami, po katerih se prenaajo sorodni signali. V osnovi poznamo: ~aritmetine intrukcije, ~logine intrukcije, ~intrukcije za prenos podatkov, ~Bollove intrukcije, ~skoki, ~ukazi za nabor procesa.

79.) Ukazi za delo s procesorjem M6800


Ukaze za delo z nekim mikroprocesorjem vedno razporedimo v pet tipinih skupin: ~ukazi za prenos podatkov - v to skupino sodijo ukazi, ki prenaajo podatke z ene lokacije na drugo, ne da bi se pri tem podatek kakorkoli spremenil. Tako lahko prenaamo iz internih registrov mikroprocesorja v pomnilnik in registre vhodno-izhodnih naprav, lahko pa tudi obratno. ~ukazi osnovnih informacijskih operacij v to skupino sodijo ukazi za inkrementiranje in dekrementiranje (tetje navzgor in navzdol) internih registrov, pomik registrov, itd. 6800 ima v svojem naboru vrsto teh ukazov. ~aritmetino logini ukazi s temi ukazi se spreminjajo vsebina internih registrov v skladu z loginimi ali aritmetinimi operacijami na teh registrih. Ukazi te vrste so na primer negacija, konjunkcija, disjunkcija, setevanje, odtevanje, itd. 6800 ima od aritmetinih operacij v svojem naboru samo setevanje in odtevanje ne pa tudi mnoenje in deljenje.
Stran 42

~ukazi za spreminjanje vrstnega reda izvajanja ti ukazi spreminjajo vrstni red izvajanje ukazov tako, da prenesejo izvajanje iz trenutne lokacije v neko drugo lokacijo. Prenos je lahko pogojen s stanjem nekega statusnega bita v CCR registru, lahko pa je tudi brezpogojen. Poleg tega so prenosi povratnega ali ne povratnega tipa. ~ukazi za nadzor procesorja v to skupino, ki je obiajno razmeroma majhna, sodijo ukazi, ki doloijo nain delovanja procesorja. To so na primer ukazi za zaustavitev (WAI), omogoitev (CLI) ali onemogoitev (SEI), prekinitev in tako imenovani brez-operacijski ukaz (NOP).

80.) TTL kompatibilnost


V podatkih za mikroprocesorje in druge elemente je za vsak izhodni signal in za vsak dvosmerni signal navedeno, s kaknim tokom ga lahko obremenimo v nizkem stanju in s kaknim tokom v visokem stanju.enako je za vsak vhodni signal in za vsak dvosmerni signal navedeno, s kaknim tokom obremenjuje izhod v nizkem stanju in s kaknim v visokem stanju. Vhode in izhode digitalnih vezji pogosto oznaujemo kot TTL kompatibilne oziroma TTL nekompatibilne. Nek vhod ali izhod je TTL kompatibilen, e sta izpolnjena naslednja dva pogoja: 1) Uporablja TTL napetostne nivoje, 2) Dovoljuje obremenitev z namenjenim standardnim TTL bremenom (za izhod), oziroma ne obremenjuje izhod bolj kot eno standardno TTL breme za (vhod).

Stran 43

81.) Elektrina predstavitev signalov mikroprocesorja


Ker je mikroraunalnik sestavljen iz elektronskih elementov, je samo po sebi razumljivo, da bodo signali predstavljeni z neko elektrino veliino in sicer je to elektrina napetost. Napetost mora predstavljati dve razlini signalni vrednosti, logino 0 in logino 1. Pri napajalni napetosti 5V lahko napetost na signalni liniji zavzame katerokoli vrednost od 0 do 5V zato se je potrebno dogovoriti, katere vrednosti predstavljajo logino 0 in katere logino 1. Razumljivo je, da mora obstajati na tem podroju nek sploni standard, saj se v nasprotnem primeru posamezni ipi ne bi razumeli med seboj, e posebno, e bi jih uporabljeli od razlinih proizvajalcev. e sistemu nek izhod zavzame stanje logine 0, morajo vsi nanj prikljueni vhodi prebrati ravno tako logino 0.

82.) Lastnosti in oznaevanje signalov mikroprocesorja


Za vsak signal lahko reemo, da ga opisujejo tri lastnosti: pomen, smer, stanje. ~podatkovni in naslovni signali se oznaujejo brez rte nad njimi takrat, kadar visoko stanje pomeni logino 1, nizko stanje pa logino 0. ~kontrolni signali se oznauje nekoliko drugae. Kadar oznaka signala nima rte pomenit to, da je signal aktiven v visokem in neaktiven v nizkem stanju. rta nad oznako pomeni obratno stanje.

83.) Realizacija 8-bitnega setevalnika z dvema 4-bitnima


N-bitni setevalni je vezje, ki seteje n-bitni tevili A in B ter da na izhodu n-bitno vsoto S in bit prenosa (carry) C0. Veina setevalnikov je narejenih tako, da imajo poleg vhodov A in B e vhod za vhodni bit prenosa Ci. To omogoa sestavljanje ve n-bitnih setevalnikov v vezje, ki seteva poljubno dolga tevila.

Stran 44

84.) Osnovne tri skupine signalov mikroprocesorja


Signali nekega mikroprocesorja omogoajo stik med mikroprocesorja z zunanjim svetom preko njih procesor sprejema in oddaja informacijo. Delimo jih v tri skupine: ~naslovni signali prvi korak pri vseh prenosih je, da gospodar da na naslovne signale naslov, na katerega se odzove samo en suenj. Dananja vodila imajo od 16 do 32 naslovnih signalov. Na vodilih imamo lahko ve vrst naslovov tako, da je z dodatnimi kontrolnimi signali doloeno, kakna vrsta naslova je trenutno na signalih. ~podatkovni signali - tevilo podatkovnih signalov, ali kot tudi pravimo, irina podatkovnega vodila, je poleg tevila naslovnih signalov najpomembneji parameter vsakega vodila. Veina dananjih vodi ima za tevilo podatkovnih linij mnogokratnik tevila osem, tipino od 8 do 32 linij. ~kontrolni signal ti signali doloajo za kakno vrsto prenosa gre in vsebujejo informacijo o tem, ali gre za branje, ali za pisanje, kakna je irina podatka in kateri podatkovni signali so aktivni, kakna vrsta naslova se uporablja in podobno. Veina vodil uporablja od 2 do 8 kontrolnih signalov.

Stran 45

85.) Zgradba in organizacija Z80


Zgradba tega mikroprocesorja je veliko popolneja od mikroprocesorja INTEL 8080, hkrati pa vsebuje nabor ukazov mikroprocesorja Z80 vse ukaze 8080 in je popolnoma kompatibilen na programskem nivoju. V primerjavi z 8080 so najpomembneje naslednje razlike: ~en sam vir napetosti, ~preprosteji in popolneji kontrolni signali, ~ve kot dva krat veje tevilo registrov, ~veje t. dodatnih ukazov, ~dodatne funkcije.

Stran 46

86.) Opis signalov in bralnopisalni cikel Z80


Mikroprocesor Z80 ima 40 prikljunih sponk - signalov, ki jih delimo v tri osnovne skupine: ~Sploni signali, ti so: FI, A0-A15, D0-D7. ~Signali za krmiljenje vodil in za vstavljanje, ti so:
_

WAIT, BUSRQ, BUSACK, HALT, IORQ, M1, MREQ, RD, RFSH, WR. _ ~Signali za prekinitve, ti so: RESET, INT, NMI. Bralni in pisalni cikel za mikroprocesor Z80:

87.) Delovanje in nabor ukazov Z80


Z80 zaradi bolj popolnega nabora kontrolnih signalov ne potrebuje vezij za izloevanje informacije s podatkovnega vodila, preprosteje so tudi zahteve glede urinega signala. Za izvajanje signalov potrebuje Z80 1-6 strojnih ciklov od katerih je vsak sestavljen iz 3-6 urinih period. Ima 150 osnovnih ukazov nabor pa se mono povea. Loimo naslednje skupine ukazov: ~ukazi za polnenje (LOAD), ~ukazi za zamenjavo (EXCHANGE), prenos bloka (BLOCK TRANSFER) in iskanje (SEARCH), ~8-bitni aritmetini in logini ukazi, ~ukazi za delo s procesorjem, ~16-bitni aritmetini ukazi, ~ukazi za pomik (SHIFT) in rotacijo (ROTATE), ~ukazi za klic (CALL) in povratek (RETURN), ~ukazi za postavljanje, brisanje in testiranje bitov, ~ukazi za skoke (JUMP), ~vhodno / izhodni ukazi.

Stran 47

88.) Ukazi za transfer


Namenjeni so za premik enobitnih ali osembitnih operandov med rezultannim registrom in vhodnim ali izhodnim vmesnikom. Ti ukazi so: ~ L(Load) naloi vrednost parametra ali konstanto v rezultanni register, ki je glede na dolino operanda lahko enobitni ali osembitni register LI 1.2 ~ LN(Load Not) naloi negirano vrednost operanda v rezultanni register. Operand je lahko le enobitni. LN 1.3 ~ = - prenese enobitni operand iz rezultannega registra v izhodni vmesnik ali pomnilniko lokacijo marker, osembitne operande pa prenese v vhodne ali izhodne lokacije. = Q 1.1 = M 2.2

89.) Ukazi za logine operacije


Namenjeni so za izvajanje loginih operacij IN ,ALI in NE nad enobitnimi operandi. Rezultat operacije je v rezultannem registru. ~A(And) - izvede logino operacijo IN med enobitno vsebino rezultannega registra in enobitnim operandom, ki je naveden instrukciji. A I 1.1 ~AN(And Not) izvede logino operacijo IN med enobitn obesedo rezultannega registra in negirano vrednostjo enobitnega operanda, ki je naveden v instrukciji. AN I 1.2 ~O(Or) - izvede logino operacijo ALI med enobitno rezultannega registra in enobitnim operandom O I 1.4 besedo

~ON(Or Not) - izvede logino operacijo ALI nad enobitno vsebino rezultannega registra in negirano vrednostjo enobitnega operanda. ON I 1.5
Stran 48

~A( - izvede logino operacijo IN nad enobitno vsebino rezultannega registra in rezulatom operacije, ki navedena v oklepaju. A( L I 1.1 O I 2.1 ) ~O( - izvede logino operacijo ALI nad enobitno vrednostjo rezultannega registra in rezultatom operacije, ki je navedena v oklepaju. O( L I 1.1 A I 1.2 ) ~ ) - predstavlja zakljuek operacije v oklepaju. tevilo zaklepajev mora biti enako tevilu oklepajev.

90.) Pogojni ukazi


Namenjeni o za postavljanje izhodov in markerjev, startanje asovnikov in spreminjane vrednosti tevcev, e je izpolnjen doloen pogoj. Pogoj je lahko ali stanje v rezultannem registru ali sprememba vsebine rezultannega registra. Ti ukazi so naslednji: ~S(Set) postavi enobitne izhode in markerje v stanje 1, e je vrednost v rezultannem registru enaka 1, ~SP(Set Pulse) pri prehodu vsebine v rezultannem registru iz 0 v 1 povzroi v asovniku nastanek impulza programirane doline, ~SR(Set Risse) pri prehodu vsebine v rezultannem registru iz 0 v 1 starta asovnik kot vklopno zakasnitev, ~SF(Set Fall) ko preide vsebina v rezultannem registru iz 0 v 1, starta asovnik kot izklopno zakasnitev, ~R(Reset) e je vrednost v rezultannem registru enaka 1, brie izhode, markerje, asovnike in tevec, ki so bili postavljeni z intrukcijo SET; v primeru da je rezultanni register RR=0, ta intrukcija ne opravi niesar, ~CD(Count Down) dekrementira tevec za 1 pri spremembi rezultannega registra iz 0 v 1, ~CU(Count Up) inkrementira tevec za 1 pri spremembi vsebine rezultannega registra iz 0 v 1.

Stran 49

91.) Krmiljenje v odprti zanki


Krmiljenje v odprti zanki je znailnost loginih krmilij, pri katerih kombinacija vhodnih spremenljivk (razlina tipkala za rono posredovanje) prek krmilnega programa aktivira krmilne izhodne signale.

92.) Krmiljenje v zaprti zanki


Krmiljenje v zaprti zanki zaznava prek senzorjev stanja v procesu. Ta stanja se uporabijo v krmilju kot dodatni vhodni pogoji za izvedbo naslednjega krmilnega programa ali za ponovitev doloene krmilne sekvence. Tak sistem krmiljenja poznamo pri koranih krmiljih.

93.) Krmiljenje v zaprti zanki in povratna zveza v krmilju


Povratna zveza v krmilju omogoa nadzor nad izhodnimi signali iz krmilja in njihovo testiranje na mejne dopustne vrednosti. Take monosti izrabljamo pri raunalniko podprtih krmiljih.

Stran 50

94.) Vrste operacij nad enobitnimi in vebitnimi operandi


V tabelah je podan pregled posameznih ukazov po skupinah glede na operacijo, ki jo ukaz povzroi in nad katerimi vrstami operandov se izvri ukaz.

V tabeli imajo posamezne oznake naslednji pomen: OP operand OP negirana vrednost operanda RR rezultanni register X operacija deluje na oznaeni tip operanda

Oznaki . in + pomenita operaciji logini IN in logini ALI.

Oznaka pomeni, da se operacija izvede, ko se vsebina RR spremeni iz 0 v 1; rka C pa oznauje vsebino tevca.
Stran 51

95.) Operacija negacije in konjunkcije


Operacija negacije:

d) programski modul LN I 1.0 = Q 1.0 EP

Operacija konjunkcije

d) programski modul L I 1.0 AN I 1.1 A I 1.2 = Q 1.0 EP

Stran 52

96.) Operacija disjunkcije, operacija kombinacij IN in ALI


Operacija disjunkcije

d) programski modul L I 1.0 ON I 1.1 O I 1.2 = Q1.2 EP

Kombinacija operacij IN in ALI

d) programski modul LN I 1.1 A( L I 1.2 O I 1.3 ) = Q1.1 EP

Stran 53

97.) Razmnoevanje izhodov


Uporabljamo jih v primeru, ko moramo doloeni rezultat logine operacije prirediti ve izhodom. Za zgled vzemimo primer, ko s soasnim posredovanjem na dveh stikalih aktiviramo tri razline tokokroge.

Razlinima nainoma prikaza v funkcijskem nartu lahko priredimo tudi ustrezna programska modula: L A = L = L = L = EP I I M M Q M Q M Q 1.1 1.2 0.1 0.1 1.0 0.1 1.1 0.1 1.2 L A = L = L = EP I I Q Q Q Q Q 1.1 1.2 1.0 1.0 1.1 1.0 1.2

98.) Razstavljanje funkcij z uporabo markerjev


V nekaterih primerih je potrebno doloeno logino funkcijo programsko reiti po delih in delne rezultate vpisati v markerje. Do taknih primerov pridemo takrat, ko nam ali programski jezik ne omogoa direktnega reevanja ali ko je treba zaradi veje preglednosti pri testeranju program razstaviti na manje programske module.

Stran 54

L A = L ON O = L AN A = EP a)Krmilni nart

I I M M I I M M I I Q

1.0 1.1 1.0 1.0 1.2 1.3 1.1 1.1 1.4 1.5 2.1

1. podmodul (PM1) __________

2. podmodul (PM2) __________

3. podmodul (PM3) __________

b)Programski modul

99.) tevci
Za tetje izdelkov nekaterih dogodkov v procesnem okolju uporabljamo tevce. Pri uporabi teh tevcev naletimo na zahtevo za tetje od 0 navzgor in obratno od doloene vnaprej dane vrednosti do 0. tevci, ki to omogoajo, se imenujejo reverzibilni tevci. V trajno oienih sistemih so tevci urejen niz pomnilnikih enot, kot so flip-plopi, ki so med seboj povezani po doloeni logini funkciji. Ta funkcija doloa doseg in smer tetja. V krmiljih s prostim programiranjem so tevci funkcijski moduli, ki jim lahko programsko doloamo doseg in smer tetja. a)Funkcijski nart

b)Odtevalni nain

c)Pritevalnmi nain

Odst

Prst
Stran 55

L S L L CD L = L = EM

I C K I C C Q CW DW

1.3 10 600 1.2 10 10 10.2 10 1

a)Programiranje odtevalnega tevca

L I 1.3 S C 10 L I 1.1 CU C 10 L I 1.4 R C 10 L C 10 = Q 10.2 L CW 10 = DW 1 EM b)Programiranje tevca v pritevalnem nainu

100.) Primerjalniki
Primerjalniki so namenjeni za primerjanje dveh vebitnih ali besednih podatkov glede na enakost veje ali manje. V trajno oienih sistemih so primerjalniki izdelani kot binarni komparatorji. V sistemih s prostim programiranjem pa je primerjava dveh vrednosti zasnovana na odtevanju vsebin dveh registrov. Funkcijska narta primerjalnika na enakost in primerjalnika na manje prikazuje slika:

Programsko lahko reimo primerjavo enakosti z naslednjim programskim modulom: ENAKO CW 10 L L K 6 EQ = Q 1.5 EM Primerjavo trenutne vsebine tevca, to je tevila pretetih impulzov z doloeno vrednostjo, opravi naslednja programska sekvenca. Dokler je vsebina tevca manja od konstantne vrednosti, je na izhodu Q stanje 1. MANJ
Stran 56

L CW 10 L K 6 LT = Q 1.6 EM VEJE L K 6 L CW 10 LT = Q 1.6 EM e elimo imeti izhodno stanje 1 takrat, ko je vsebina tevca veja od konstantne vrednosti to pomeni, da izvajamo primerjavo na veje, moramo samo zamenjati medsebojna operanda pred intrukcijo.

101.) Vhodni moduli


Vhodni moduli spreminjajo signale iz procesa v interne bitne signale. Te posredujejo razlini dajalniki in senzorji, kot so na primer tipkala, stikala, kontakti releja ali indukcijski senzorji. Ti signali posredujejo signale v obliki napetosti, ki so lahko enosmerne in izmenine in predstavljajo informacijo o krmilnem procesu. Napetosti, ki se lahko pojavljajo v procesnem okolju, so: ~enosmerna napetost 25V ~enosmerna napetost 110V ~izmenina napetost 115V ~izmenina napetost 230V Glede na te napetosti so definirani tudi nizki (low) in visoki (high) logini nivoji:

low 0 do 5V 0 do 35V 0 do 40V 0 do 70V


Modul za vhodno enosmerno napetost 24V:
Stran 57

high 11 do 32V 88 do 127V 85 do 135V 176 do 230V

Vhodni modul za enosmerne in izmenine vhodne napetosti:

102.) Izhodni moduli


Izhodni moduli so namenjeni za prenos in preoblikovanje signala, ki ga posreduje centralna enota krmilja. Signali, ki jih posreduje centralna enota, so obiajno TTL nivoja, to pomeni, da je logino stanje 0 predstavljeno z napetostnim nivojem 0V, logino stanje 1 pa z napetostjo 5V. Za aktiviranje izvrilnih lenov krmilja so potrebni napetostno in energijsko veji nivoji signalov, kar doseemo: ~s tranzistorskimi ojaevalniki, ~z vezji s triaci, ~s pomonimi releji. Vezje izhodnega modula v izvedbi s tranzistorskim ojaevalnikom:

Vezje izhodnega modula s triacom:


Stran 58

Vezje z relejskim izhodom:

Prikljuitev razlinih bremen na izhodne module:

Stran 59

103.) Programski modul z dvema oklepajema in z dvema markerjema


LI O( LI AI ) =M 0.0 0.1 0.2 0.0 LI O( LI AN I ) =M 0.3 0.4 0.5 0.1

LM 0.0 OM 0.1 =Q 0.0 EP

104.) Programski modul z dvema oklepajema in enim markerjem


LI O( LI AI ) =M0.0 LM0.0 O( LI AN I AN I ) =Q EP 0.1 0.2 0.3

0.4 0.5 0.6 0.0

Stran 60

105.) Programski modul z dvema markerjma


LI OI =M 0.1 0.2 0.0 L M 0.0 O M 0.1 =Q 0.0 EP

L I 0.3 ONI 0.4 =M 0.1

106.) Programski modul z uporabo oklepajev, markerjev in primerjalnika


LI AI O( LCW LK EQ ) =M 0.1 0.2 10 6 LI AI O( LI ) =M 0.3 0.4 0.5 0.1

0.0

LM OM =Q EP

0.0 0.1 0.0

Stran 61

107.) Programski modul z uporabo oklepajev, markerjev in tevca


LI O( LI AI ) =M 0.1 0.2 0.3 0.0 LI SC LK LI CDC LC =Q LCW =DW 1.2 10 600 1.3 10 10 0.0 10 1

LM 0.0 OQ 0.0 =Q 0.1 EP

108.) Programski modul z uporabo tevca, markerjev, primerjalnika


LCW 10 LK 6 EQ =M 0.0 LI SC LK LI CDC LC =Q LCW =DW 1.2 10 600 1.3 10 10 0.0 10 1

LM OQ =Q EP

0.0 0.0 0.1

109.) Koraki pri izdelavi krmilja za krmiljenje in nadzor procesa


Stran 62

Koraki pri izdelavi krmilja za krmiljenje in nadzor procesa so: ~algoritem krmilja, ~pravilnostna tabela, ~minimizacija loginih funkcij, ~logine funkcije, ~tabela vhodov, ~tabela izhodov, ~krmilni nart, ~funkcijski nart, ~kontaktni nart, ~programski modul.

110.) Enokorana koda


Pri ciklini kodi je sprememba iz ene pozicije v drugo pozicijo vedno storjena le na enem mestu in zato taken kod imenujemo enokorana koda.

111.) Enojni komplement


Ta je definiran kot dopolnitev dane cifre ali znaka, do cifre najvije vrednosti doloenega tevilskega sestava. Te cifre so v: 2, 8, 10, 16 ikem sestavu 1, 7, 9 in F. ~N[2] = 01110100 1111 1111 - 0111 0100 dano tevilo 1000 1011 enojni komplement 7777
Stran 63

~N[8] = 1245

1245 dano tevilo 6532 enojni komplement

~N[10] = 1989

9999 1989 dano tevilo 8010 enojni komplement

~N[16] = 3E54

FFFF 3E54 dano tevilo C1AB enojni komplement

Naj enostavneje dobimo enojni kompliment v dvojikem sestavu in sicer tako, da pretvorimo 1 v 0 in 0 v 1.

112.) Pakirana decimalna tevila


To so zapisi tevil, pri katerih je vsaka desetika cifra kodirana s tirimi biti v 8421 BCD kodi. Ta zapis omogoa, da z enim bytom ali 8 biti zapiemo dvomestno tevilo. Za predznak uporabimo nije 4 bite v zadnjem bytu:

'' + '' 1100 (C) '' '' 1101 (D) Primer: - 2305 [10] = 02 30 5D[10] = 0000 0010 00110000 01011101[BCD]
1.byte 2.byte 3.byte

113.) Realizacija polovinega tevila z NAND elementi


Stran 64

a0 b0 S0 C0 0 0 1 1 0 1 0 1 0 1 1 0 a0 1 0 0 0 1 b0

a0 1 1 S0=a0b0+a0b0=a0b0

b0

C0=a0b0

114.) Zapis s plavajoo vejico in normalizirana oblika mantise


Osnovna oblika zapisa s plavajoo vejico:

N = m B e
N tevilo m mantisa B osnova tevilskega sestava e eksponent Za dogovorjeno ali normalizirano obliko mantise velja pogoj:

B 1 m B 0

B = 2 0,5 m 1
Predznak eksponenta 80 bitni zapis S eksponent S mantisa 79 63 0 predznak mantise Struktura zapisa s plavajoo vejico

115.) CMOS vezja (uporaba, nivoji)

Stran 65

CMOS tehnika ali komplementarni MOS. CMOS tehnika ima veliko dobrih lastnosti: ~majhna uporaba, ~nizka cena, ~neobutljivost na um, ~hitrost delovanja, ~toplotna obremenitev. Med slabe lastnosti tejemo predvsem elektrostatino obutljivost. od 0% do 30% Ucc log ''0'' od 30% do 70% Ucc nedefinirano od 70% do 100% Ucc log ''1''

116.) Za antivalenco 3 sprem. Izdelaj programski modul, funfcijski, kontaktni in krmilni nart, (minimizacija)
pravilnostna tabela x1 x2 x3 y Veitchev diagram 0 0 0 0 x1 1 1 0 0 1 1 x2 1 1 1 1 0 1 0 1 0 1 1 1 x3 1 0 0 1 1 0 1 1 1 1 0 1 Logina enaba 1 1 1 0 ymin=x1x3+x2x3+x1x2 Krmilni nart Programski modul L I 0.1 LM AN I 0.3 OM = M 0.0 OM =Q LN I 0.2 EP A I 0.3 = M 0.1 LN I 0.1 A I 0.2 = M 0.2 Funkcijski nart 0.0 0.1 0.2 0.0

Stran 66

Kontaktni nart

117.) Navodila za uporabo TTL vezij


Navodila za uporabo TTL vezij so: ~napajanje +5V z ustrezno toleranco, ~upotevanje nedefiniranih nivojev, ~trajanje enega impulza mora biti dalje od 30ns, ~neuporabljene vhode AND in NAND vezij veemo na +Ucc, ~neuporabljene vhode OR in NOR vezij pa veemo na GND, ~obvezna uporaba blokovnih kondenzatorjev, ~obremenitev izhoda max. 10vrat, oziroma glej navodila proizvajalcev.

118.) Karakteristini podatki IC vezij


Karakteristini podatki IC vezij so: ~napajalna napetost, ~temperaturno obmoje, ~fan OUT, ~fan IN, ~logini nivoji, ~tolerance.

Stran 67

119.) Zgradba mikrokontrolerja MC6803 in opis elementov


MC6803 je integrirano vezje s 40 noicami. Vezje je narejeno v NMOS tehnologiji. Deluje lahko na dva naina. Oba naina sta razirjena zato moramo mikrokontrolerju MC6803 vedno dodati zunanji pomnilnik, ki hrani program, po katerem deluje.

MC6803 vsebuje naslednje enote: ~CPE ,ki je izboljana verzija mikroprocesorja 6800. Dodani so mu tudi nekateri novi ukazi. ~urin generator, ~128 zlogov RAM-pomnilnika to je bralno - pisalni pomnilnik, ki ohranja vsebino le, dokler je prikljuen na napajalno napetost. ~venamenski trimer uporabljamo ga za generiranje raznih pravokotnih izhodnih signalov, merjenje asa oziroma frekvence, proenje periodinih prekinitev. ~serijski asinhronski komunikacijski adapter omogoa serijsko komunikacijo z zunanjimi napravami. Serijski adapter lahko hkrati sprejema in oddaja znake, prenos poteka asovno zaporedno, za komunikacijo pa so potrebne tri linije. ~paralelni vmesnik-port 1 to je 8 - bitni vmesnik, ki mu programsko doloimo za vsako linijo, ali je izhodna ali vhodna.

Stran 68

120.) Primer prikljuitve pomnilnika na mikrokontroler MC6803

Stran 69

You might also like