You are on page 1of 2

Substrate Engineering Using Smart CutTM and Smart StackingTM for

Next-Generation Advanced LSIs


Makoto Yoshimi1), Xavier Cauchy2), Eric Desbonnets2), Ionut Radu2), and Christophe Maleville2)
1) Soitec Japan, Otemachi First Square, 1-5-1, Otemachi, Chiyoda-ku, Tokyo 100-0004, Japan
Email: makoto.yoshimi@soitec.com
2) Soitec, Parc Technologique des Fontaines, 38926 Bernin, Crolle Cedex, France

Abstract segment has recorded unprecedented growth in these


Substrate engineering using Smart CutTM and several years, associated with increasing data
Smart StackingTM for advanced LSIs is overviewed. For communication by mobile handsets, and tablet
digital CMOS applications, planar fully-depleted (FD) computers. The requirement in mobile RF components is
SOI structure provides a realistic solution to bridge the becoming more and more severe and complex as the
technology gap between bulk CMOS and generation proceeds. In this presentation, substrate
three-dimensional FD structures. Production of planar engineering is shown to provide unique solutions in
FD-SOI will be started soon in 28nm technology. RF radio-frequency front-end modules (RF-FEM).
applications, on the other hand, are the areas where On the other hand, the advantage of Smart CutTM
substrate engineering is bringing unique values in has been recognized in rather thick Si applications as
well, where Si is several hundreds of nanometers to
performance enhancement with cost efficiency. Adoption
microns thick. These include power devices, photonics,
of high-resistivity SOI in front-end module (FEM) is
and MEMS applications, where strict control of Si
rapidly in progress. Meanwhile, bonded SOS has been
ensures stable device operation as well as enlarged
proven to provide excellent RF performance with its process margin. In this talk, recent progress of photonics
ideal Si crystal-quality and insulating substrate. Smart and future prospect are presented.
CutTM is also extending applications in power electronics, In the last part, extension of wafer bonding and
photonics, and MEMS applications, with its wide range Smart CutTM to three-dimensional (3D) integration is
of flexibility in Si and BOX thicknesses. By combining presented. Smart StackingTM enables low-temperature
Smart StackingTM and Smart CutTM, various circuit (< 400 deg C) bonding between SiO2/SiO2, and Cu/Cu
layers can be bonded onto another circuits, constructing layers. By using circuit layer transfer, various
innovative multi-functional 3D devices, such as multi-function stacked devices, which cannot be realized
intelligent image sensors, logic-memory stacked devices, by two-dimensional circuit layout.
advanced photonics circuits, etc..
2. Planar FD-SOI MOSFET technology
1. Introduction Recent benchmark [3] on 28nm technology using
For ever-increasing demand of high-speed data representative IP blocks, showed that planar FD-SOI
processing and power reduction, it is now obvious that consistently outperforms bulk CMOS in both low-power
the conventional bulk-Si CMOS technology can no and general-purpose applications. The process steps can
longer provide adequate solution with suppressing short be saved by approximately 10% in planar FD-SOI due to
channel effect and process variability. its simplified device structure, which well offsets the
Among the various fully-depleted (FD) structures, cost overhead of SOI wafers. As for circuit design,
FinFETs and multi-gate structures are gaining support as SPICE models for planar FD-SOI have been developed
the solution [1]. However, there is still high barrier in and integrated in all major commercially available
jumping from conventional planar technology to simulators. Under this situation, it is easy to port the
three-dimensional structures in terms of development design platform of bulk CMOS to that of planar FD-SOI.
cost and time. In this respect, planar fully-depleted (FD) Tuning or redesigning is only limited to a small number
MOSFETs [2] are quite attractive to bridge this gap. By of circuits, such as analog, and IOs. Back-biasing in
using planar FD, the fabrication process can be planar FD-SOI realizes multiple threshold voltages that
significantly simplified, bringing about efficient cost can be changed in a dynamic manner independently for
reduction with offsetting the initial wafer cost of SOI. each circuit block. Moreover, it should be noted that the
Suppression of the body-floating effects in planar option of co-integrating FD-SOI with bulk Si by
FD-SOI brings minimized disruption in circuit design removing Si-film and BOX, allows for full utilization of
when porting bulk-Si circuits to SOI. Production of bulk-Si circuit IPs. The above discussion can be applied
planar FD-SOI technology is about to be started in 28nm to 20nm, and expectedly to 14nm.
node [3]. SOI wafers for these planar FD-SOI technologies
On the other hand, mobile communication product have been developed and are now ready to support mass

978-1-4673-2475-5/12/$31.00 ©2012 IEEE


production of LSIs. Fig.1 shows the technology roadmap
of SOI wafers for digital applications, where evolution 6. Summary
of planar FD-SOI (FD-2D) wafers and that for FinFET Substrate engineering by using Smart CutTM and
structures (FD-3D) are shown. Smart StackingTM is extending the limit of state-of-art
technologies by providing atomic-level thickness control
3. Substrate engineering for RF front-end module and affluent options for material and circuit-layer
(FEM) applications combination. They will go on playing an important role
Due to the stringent requirements of 3G and 4G in future electronics, where multi-functionality,
standards, FEM of these generations consists of the best increasing data width, parallel processing, etc., are more
choices of substrate chips in terms of RF performance and more required.
and cost-efficiency. GaAs has been the state-of-the-art
material for low-noise amplifier, but adoption of Acknowledgments
high-resistivity (HR) SOI by Smart Cut is rapidly The authors would like to thank Ms. Jocelyne
increasing for switch applications in recent years. Wasselin, Mr.Keith Ito, and Mr. Thomas Piliszczuk,
Improvement on linearity, RF loss, etc., has been made Soitec, for their collaboration to this presentation.
by using HR-SOI, while stability of the resistivity of the
substrate during device process has also been improved. References
As compared with GaAs, HR-SOI provides a [1] E.Karl et al.; Tech. Abst. of ISSCC, p.230 (2012)
cost-efficient solution, while its extendibility to system [2] O.Faynot et al.; Tech. Abst. of IEDM, p.50 (2010)
integration on the Si platform is also very attractive. [3] P.Flatresse et al.; http://www.soiconsortium.org/
On the other hand, bonded-SOS, is another option [4] G.P. Imthurn et al.; Tech. Abst. of IEEE SOI Conf., p.1
when ideal isolating substrate is preferred. Wafer (2011)
bonding, made by Smart Stacking of Si layer onto a
sapphire substrate, realizes higher mobility and RF
performance than conventional SOS technology [4]. Soitec FD-3D
Soitec FD-3D Wafer wafers

Top Silicon Layer


Buried Oxide (BOx)

4. Substrate structures for Si Photonics


Base Silicon

FinFET G

As compared with digital applications, Si


D
Soitec FD-2D
SoitecFD-2D Wafer wafers

photonics uses rather thick Si (0.25–1um) and BOX


S
Ultra-Thin Top Silicon Layer
Ultra-Thin Buried Oxide Buried Oxide

(1-2um) to confine the photon signals in the waveguide. Base Silicon Base Silicon

Planar FDSOI
Nonetheless, control of Si thickness is strictly required to Undoped fully
depleted channel
S G D Foundry offering

realize stable propagation of the signals. To achieve Base Silicon

more efficient photonics system, efforts on hybridization Traditional


Planar bulk
First products expected at 28nm

of Si, Ge and III-V materials are under way to integrate S


G
D

Severe limitations at 28nm and 20nm End of life


all the functions in the system, including lasers, 40nm 28nm 20nm 14nm 10nm
modulators, filters, and detectors, etc..
Fig.1 SOI wafer roadmap for digital applications.
5. 3D integration by low-temperature wafer-to-wafer
bonding
With approaching limit of 2D-scaling, 3D •

Efficient pre- bond surface t reat m ent s
High bonding st rengt h at low t em perat ures
integration is now the inevitable technology for • Best in class W2W alignment accuracy at wafer level
• Com pat ible wit h TSV last t echnology for vert ical int erconnect ions
continuing performance improvement of LSIs, realizing • Compat ible wit h convent ional BEOL (Al, Cu, W, Ti); F2F and F2B st acking
more band-width, with minimized RC delay and the • Support ed by advanced m et rology (pre and post bonding)

form factor. Smart Stacking offers low-temperature ( <


400 deg C) wafer-to-wafer bonding for various 3D Bond/Align + Anneal

structures. BOX layer of SOI acts as efficient etch


CMOS

CMOS
Thin + TSV CMOS

stopper for multiple-layer stacking. By utilizing Oxide Dep + Planarization


TSV

TSV

SiO2-to-SiO2, or Cu-to-Cu bonding, various structures,


oxide
oxide
oxide
oxide oxide

such as sensor-to-logic, logic-to-logic, memory-to-logic, CMOS CMOS CMOS

MEMS-to-logic, can be realized, with sufficient bonding


energy and alignment accuracy, as shown in Fig.2. It
should be noted that combination of Smart CutTM and Fig.2 3D stacking by using oxide-oxide bonding, which
Smart StackingTM brings about 3D-integration that enables 3D integration with accurate wafer-to-wafer
enables strict control of thicknesses by using various
materials, as well as vertical interconnection. alignment and vertical interconnections using TSV.

You might also like